From 55e7922bcfa7c769c5b6879eae53d0cdf0cea07a Mon Sep 17 00:00:00 2001 From: git archive service user Date: Sun, 29 Dec 2019 19:05:13 +0100 Subject: [PATCH 1/1] Initial commit by marcello Galli --- LICENSE | 20 + README.md | 29 + code/README.md | 95 + code/docs/INN_95_17.pdf | Bin 0 -> 1631909 bytes code/docs/egs4cyl.man | 121 + code/docs/istruzioni_cyl_2.txt | 257 ++ code/exe/egs4_cyl_2.exe | Bin 0 -> 614400 bytes code/input-output/cyl.out | 1667 +++++++++ code/input-output/cyl_2.dat | 20 + code/input-output/cyl_2_y.dat | 20 + code/input-output/cyl_y.out | 2404 ++++++++++++ code/input-output/dum.lis | 27 + code/input-output/for000.dat | 1 + code/input-output/for006.dat | 366 ++ code/input-output/for010.dat | 5 + code/input-output/for020.dat | Bin 0 -> 8192 bytes code/input-output/hatch.out | 3739 +++++++++++++++++++ code/input-output/hbook.out | Bin 0 -> 212992 bytes code/input-output/hbook_y.out | Bin 0 -> 483328 bytes code/input-output/higz_windows.dat | 1 + code/input-output/hist_cyl_2.dat | 82 + code/input-output/hist_cyl_2_y.dat | 90 + code/input-output/last.kumac | 9 + code/input-output/mat3mev.dat | 5168 ++++++++++++++++++++++++++ code/input-output/netserver.log | 95 + code/input-output/ntuple.out | Bin 0 -> 12288 bytes code/input-output/ntuple_y.out | Bin 0 -> 16384 bytes code/input-output/ntupley.out | Bin 0 -> 69632 bytes code/input-output/ntupley_y.out | Bin 0 -> 188416 bytes code/input-output/ucx$ftpserver.log | 86 + code/input-output/yaps.dat | 6 + code/input-output/yaps_y.dat | 4 + code/procedures/copy04.com | 21 + code/procedures/definizioni.com | 10 + code/procedures/for_cyl_2.com | 48 + code/procedures/link_cyl_2.com | 47 + code/procedures/link_cyl_2_alpha.com | 49 + code/procedures/run_cyl_2.com | 48 + code/procedures/run_cyl_2_y.com | 44 + code/procedures/setdisplay.com | 1 + code/source/000readme.txt | 12 + code/source/ausgabe_cyl_2.for | 279 ++ code/source/comm_cyl_2.for | 18 + code/source/comm_cyltot_2.for | 121 + code/source/comm_yaps.for | 71 + code/source/egs4_cyl_2.for | 238 ++ code/source/egs4funl.for | 2680 +++++++++++++ code/source/guarda_2.for | 409 ++ code/source/histin_cyl_2.for | 143 + code/source/howfar_cyl_2.for | 678 ++++ code/source/howfar_cyl_2a.for | 905 +++++ code/source/howyaps.for | 831 +++++ code/source/init_cyl_2.for | 254 ++ code/source/petgracom.for | 22 + code/source/petgraf.for | 478 +++ code/source/petgraf.inc | 18 + code/source/petgraf_com.inc | 19 + code/source/petgraf_data.inc | 14 + code/source/petgraf_higz.inc | 11 + code/source/petsub.for | 541 +++ code/source/pg_comm.for | 71 + code/source/source_cyl_2.for | 41 + code/source/statend_cyl_2.for | 161 + code/source/yaps.for | 320 ++ codemeta.json | 43 + 65 files changed, 22958 insertions(+) create mode 100644 LICENSE create mode 100644 README.md create mode 100644 code/README.md create mode 100644 code/docs/INN_95_17.pdf create mode 100644 code/docs/egs4cyl.man create mode 100644 code/docs/istruzioni_cyl_2.txt create mode 100644 code/exe/egs4_cyl_2.exe create mode 100644 code/input-output/cyl.out create mode 100644 code/input-output/cyl_2.dat create mode 100644 code/input-output/cyl_2_y.dat create mode 100644 code/input-output/cyl_y.out create mode 100644 code/input-output/dum.lis create mode 100644 code/input-output/for000.dat create mode 100644 code/input-output/for006.dat create mode 100644 code/input-output/for010.dat create mode 100644 code/input-output/for020.dat create mode 100644 code/input-output/hatch.out create mode 100644 code/input-output/hbook.out create mode 100644 code/input-output/hbook_y.out create mode 100644 code/input-output/higz_windows.dat create mode 100644 code/input-output/hist_cyl_2.dat create mode 100644 code/input-output/hist_cyl_2_y.dat create mode 100644 code/input-output/last.kumac create mode 100644 code/input-output/mat3mev.dat create mode 100644 code/input-output/netserver.log create mode 100644 code/input-output/ntuple.out create mode 100644 code/input-output/ntuple_y.out create mode 100644 code/input-output/ntupley.out create mode 100644 code/input-output/ntupley_y.out create mode 100644 code/input-output/ucx$ftpserver.log create mode 100644 code/input-output/yaps.dat create mode 100644 code/input-output/yaps_y.dat create mode 100644 code/procedures/copy04.com create mode 100644 code/procedures/definizioni.com create mode 100644 code/procedures/for_cyl_2.com create mode 100644 code/procedures/link_cyl_2.com create mode 100644 code/procedures/link_cyl_2_alpha.com create mode 100644 code/procedures/run_cyl_2.com create mode 100644 code/procedures/run_cyl_2_y.com create mode 100644 code/procedures/setdisplay.com create mode 100644 code/source/000readme.txt create mode 100644 code/source/ausgabe_cyl_2.for create mode 100644 code/source/comm_cyl_2.for create mode 100644 code/source/comm_cyltot_2.for create mode 100644 code/source/comm_yaps.for create mode 100644 code/source/egs4_cyl_2.for create mode 100644 code/source/egs4funl.for create mode 100644 code/source/guarda_2.for create mode 100644 code/source/histin_cyl_2.for create mode 100644 code/source/howfar_cyl_2.for create mode 100644 code/source/howfar_cyl_2a.for create mode 100644 code/source/howyaps.for create mode 100644 code/source/init_cyl_2.for create mode 100644 code/source/petgracom.for create mode 100644 code/source/petgraf.for create mode 100644 code/source/petgraf.inc create mode 100644 code/source/petgraf_com.inc create mode 100644 code/source/petgraf_data.inc create mode 100644 code/source/petgraf_higz.inc create mode 100644 code/source/petsub.for create mode 100644 code/source/pg_comm.for create mode 100644 code/source/source_cyl_2.for create mode 100644 code/source/statend_cyl_2.for create mode 100644 code/source/yaps.for create mode 100644 codemeta.json diff --git a/LICENSE b/LICENSE new file mode 100644 index 0000000..d144986 --- /dev/null +++ b/LICENSE @@ -0,0 +1,20 @@ +This legacy and unmaintained code should be +considered in the public domain. + +No license was assigned to the software. + +It was developed in the context of a national program +on medical physics by a scientific collaboration +between ENEA and the Bologna University, +and neither can claim the ownership of the code. + +The program includes routines from the EGS4 package, developed at SLAC. +This software is copyrighted by Stanford University and the Canadian government; +it is free for non commercial usage, see: +(http://rcwww.kek.jp/research/egs/egs4_source.html). + + +Reference for the EGS4 package: + W.R. Nelson, H.Hirayama and D.W.O.Roger, + The EGS4 Code System + SLAC Report-265 - December 1985 diff --git a/README.md b/README.md new file mode 100644 index 0000000..d6027cc --- /dev/null +++ b/README.md @@ -0,0 +1,29 @@ +EGS4CYL +======= + +A Code for Montecarlo Simulation of a PET equipment +--------------------------------------------------- + +This is legacy FORTRAN program, written for +VAX VMS machines, it was developed around 1994, in the +framework of the Italian collaboration: *Hirespet*, +for the creation of an high spatial resolution +tomograph. This code was used as a research tool. + +It simulates a simple cylindrical equipment, with +a ring of detectors and was used to estimate +the efficiency of different detector geometries +and scintillation materials, as YAP:Ce. + +The program uses the Higz and Hbook CERN routines for +data visualization, and the EGS4 Montecarlo package. + +This code was more a research tool, than a stable, +"production" software; was only used by a little +group of scientists. + +After some usage for specific tasks, this program +was forgotten. It is saved here as an example of +FORTRAN 77 programming on VAX VMS computer; to be +included in the collection of the +[*Software Heritage*] project (https://www.softwareheritage.org/). diff --git a/code/README.md b/code/README.md new file mode 100644 index 0000000..affb45a --- /dev/null +++ b/code/README.md @@ -0,0 +1,95 @@ +Source code for EGS4CYL +======================= + +The source code for EGS4CYL and the related material +has been divided into different folders: + +- docs: usage instructions, and a scan of an ENEA + internal pubbilcation on the code. + +- exe: the executable code, compiled on a VMS Vax computer + +- input-output: the input to the code was given by some + files, with a rigid syntax. + Some input files are in this folder, along + with some output examples. + +- procedures: some simple interactive or batch procedures where used + to compile and run the program on the VMS machines. + These simple procedure where written in the DCL + language, an interactive shell of the VMS operating system. + +- source: FORTRAN77 files. About eight thousand lines of code, + but some routines have more than a version and about + three thousand lines are from the EGS4 package. + +The EGS4 routines are assembled in an unique file: "egs4funl.for". +User written routines have each its own file. +The "petgraf.for" and "petsub.for" files contain the routines +for graphics, (written by S.Ferriani) other routines +where mostly written by M.Galli. +The main program is in the file: "egs4_cyl_2.for" + +It is interesting to note, in this legacy FORTRAN 77, how some +parts have still the fixed width syntax that was used when the FORTRAN +statements where written using punched cards: a character +in the first column for comments, statements beginning in +column 8 , all uppercase character etc.. Other parts +use the character: "!" for comment (a Vax FORTRAN extension), +lowercase statements and indentation. + +Different coding styles are sometime mixed in the same file: +it is clear that the code was developed using different +tools and editors, in different times and was subject +to many changes. + +This program lives in the transition phase from old FORTRAN +style to new technologies. In the older parts (the EGS4 routines) +we also see an extensive use of the GOTO statement, while +more recent routines use some structured constructs and +indentation. + +Comments are scattered throughout the program without +a clear organization; are essentially personal notes +by the authors, written for themselves, to ease code maintenance. + +This program was born as a research tool, and never reached +the status of a "production" code, as is clearly shown by the +heterogeneous coding style. + +There is an extensive use of COMMONS statements: memory +areas shared by some routines but not by others. +Commons are used here, mainly in the routines by M.Galli, +to *isolate* sections of the program; a practice which became +common much later, with object programming and languages as +C++ and Java. + +The EGS4 package, as used by EGS4CYL, is obsoleted, +but a new version, has been developed: +[*EGSnrc*](https://nrc.canada.ca/en/research-development/products-services/software-applications/egsnrc-software-tool-model-radiation-transport), +with improved accuracy for the particle transport computation and much easier to use. +This new version is distributed under the terms +of the GNU Affero General Public Licence, and available from +[*github*](https://nrc-cnrc.github.io/EGSnrc/) + + +-------------------------------------------- + +Reference for the EGS4 package: + W.R. Nelson, H.Hirayama and D.W.O.Roger, + The EGS4 Code System + SLAC Report-265 - December 1985 + +------------------------------------------ + +Reference for the EGS4CYL program: +(pdf file included in the Docs folder) + +EGS4CYL: + S.Ferriani, M.Galli, D.Bollini + Un Codice per Simulazioni Montecarlo di un Apparato PET ad Alta Risoluzione Spaziale + ENEA Report: RT/INN/95/17 ; ISSN/1120-5571 + +------------------------------------------------- + + diff --git a/code/docs/INN_95_17.pdf b/code/docs/INN_95_17.pdf new file mode 100644 index 0000000000000000000000000000000000000000..f031976df51ce5054b6780699fec294f4094dba2 GIT binary patch literal 1631909 zcmeFa2~<tfG9Do<-n1fq@SAzj zjMeam1&nC;IWL;L3L*JNhR3f#D9#QDX>LU1>NW9*1DS%5d>9*}5Jw1c5km5aSr-$x zIF_*zzT_FPIdWyhBClBpX;x(XM!$$SkC^D#nDr6s;}K_sG$(Rhd_)`)M4GdX5g*|h zu`(uH^^(VukGCNz0IKi&7;(`Xk)ZXP)~!QSA0fJ=`4Q_^t45;g)6GqHV|-i$BU(4% z@BsQ6sA~-;Ir%zi%|WUd&lq7c^pN0})FVH7ANKYO44xmnU``;dVqTEP(xsMFcdKtq zws4?KaC2~+es0C8mCGdT#<#WA7ov^+unsNTyeY9FC+qrwvAI`QbQ^Llc8!tC&1RXU z)YYYUcdqzwfQwZU!|+XEhwnBC?w`JSW4uT-O(X*tmaDqD-@Iq|W9po%^_IkB>8XPska+o2NH4&KePs|C9D? zmQ9ME?Sd(58=DM`vbp;fEnQqw^~}zeVqaW%Id1cYO&fcqUAiN5^z||`cKxtmo{#q< z(fuhlldY%nPM=@9J~BG0{cY<(hpl&?MLu19|8UWoj=d-E9pD9AUHkM8>!9Lj@x_fb zM=QK`o6ksTY&zqe%?mEuthoIk2uGZm(%JRm1A#&QeD(%N7j{O+C zVu}@RDV15O)nmHBJZjv%)v=it@=ZUcrvGAKvh_X_+k@r*cFn<<{8QsX*PUJ+lgw`& zPZ*gw^kAv+0q}K(ji+>_=tis$|1{O`PQ|_|22lO$;7nHisJd10q3Yl7;OywnoNZ4b ze_?Gq2Ya%L@u3t9GI)I4Cdi)u!pOEmj7;+In73rf97e(rEB}do;c&bWYuFeH$foG^ z859F!|t zr_Unh{M{mE`$fctOo!b+DO^xc|3W7-aU)u*iLB9g+)aE=?W>6C71K?RI0hOWczJNFQt5}iP-whhw_@G?% z+z)!CjHuTD@CSbdfP2RnZL(X-e+o2k^aW$no@R6@L6^s!cjHjtvTnYP7wamaO z*btL3LO4Y&e&jRL-$(+2>d`jW_je?V{Eq&5nfi)~au>`rT?)=mf)V_SFP+9>1mV_l1 z^|lf?amflOL;0HUGJsEY52gJK93=9ex07%jSPVS^|Qi=!AS~37g0%f<)!#5u| z3$^%Is>Zl+;}nYIOa%}C}z@ltnY@99u;n%|n#hNt@d>TI3Eeb20jU1AMrEZ+>R_IIcYNE6bW%o(9CZ1&A5Dg zSa)n#uQud{dJB=c7LwY8+l0HSe+=Wa5plE7q6yLkTDtDi;GdSbc_5A}u#+{n;do3f zq6Js)2$mJGGEKM@a{UzTG_T-&>MI3d8J^88Iwv|!hhLo@8GE3H>uNlI!d&iDp`H}d zOzWi(mv>E`+8|m`;+qCU=A%7AFGy74omZ?hum#fD7Aa+#%6yFi<+W(eoJ@&hMD1a;I{4=`?>?OsA0ZYso?LvkPi+=syq|%LHcKp;I((jhElztbXN4Wp%@u-%onCY)Z4>?2 z`Ee(Bd3rdUZh*H<;V26W3%B@u*|On)M$R-ZR-5t-|2j4}PKS-=8E4Ok;o%vmw^ zc>-05i`10H&;=|;i=>3HNEEKV1-XB8r?;_r37K)syp)V`?`Y*QP82G_?K}eW1k2i% zji4=x4REgZ7=L=i0~S6teN3w4d;<&4YE@Vh(q&Z`fn#+Elg0W8Tds}QKz+F)fH zNdFnygu^~B0CXiD?Ip_S-9QuQ$x#TPrPFj=Zyz>?CuIQ|$b(9g4(D@iAleJgqVl)C zAS+!A)uXS05D@~`aoMW8nDNGO`p1mFC)olD4Q=D zby{R@K;=%HSHqklSd`7wIoQRN?%Xa?-(HHG6FHvNDCL}SAh4Hcxf^RrG_u)v@2c?x z0bZmpL&}h&q%6c0!`5px=4JBr+J@564r+yWx{#k_PYga*!KEpd3RxmhtS8_N`zZjdP>BWJPP^mRm!f}^MX*&fR{5G!Z@>(=ZfUrgw z;PI}GB?(B9osC%)3rR4@bI%~)7_tO^R+62PI9Ih0 z&Tj1ZD_DHMG`A9R@Dwb0Z>_YjE{efm?9^oG=@r!!)=tC{8r`LSw$h`VDsl!L`L-FIZS+kEk#046dQWm7DDKJNZLz?R=bnyN~SxA&KmV;aC zv995Y5v`4#Y9?@XAg;|T8_+VuP}&>h_X4H$CMmgGR|=&a>N|ZlBOR2BUq!cpHc3%knY2;~e)Tixt&rqB zP>&gC58P5No*r>4MaKBeb7!jLcsY>t;KVqN66h3u|hh zR1}!ey+;@#&-6+HwsA!|+RV9{`~3Eu(Ljqt3qaM0Ij1yG zzfrPP|D2MwRX>`FucvL(1Ki8*?G^8Gm7}Qby1DJ=sbZj*g(~K$0qKt6+gYGntlU2{ zxfxX~MxUXOMQXqgfF}m@7M=p_hYp*}n(g$Vh#{u+>hyQLrP6DJN>(?s zyPMfHM?GEsq@SYoh;2N3+*QP$D9rEI@7L3kBQ(A@U)-Xv6h8;cwT@d)QA`9eHI2r= zryuG-I`nV{py%2HXKSRJ{O!Fgi=*<*1>;CP{Eqg1pzKycKe%Gz$P)A5)CqBGb1bXe zd%Z8|9uML1k{eP%IN^6+27BZjmZTu3HW(T3-lOJ9W=ym6TO$o8_%0!0XH_8;Epy$> zu=T#Dk@~8P-a;--`b5TvZV>XcJhkRJ=8GamEE%}D1&HZ9(5Xr23&kgc-d9_(3c&8v zccszAU;*IQ(3M3%WH6Ht1`7D?-k_HbBs|c+5VVr_9fUqCXf>e&(X=u)zk89!egTsX z*!p`V1hkyCBywkqqKd%-0__m+mRtkgnUKIUN{tdv7NB691|to~=Hyk0rY#i%n#f)Z z-s0DxU@jGOjRyT}aZA7N%?z|CPJ556yZMst6@$~0l;?EuaclV}!zsIs6#lWr9mLbV z?bTpj5nYjlK1TdN!)a>2rf-nv!Dy^;H}oN80qb_@UM|m>y0i*e=OAuY-;^Bd z!_gJetUVYn_1+?qJ%!;T^<+`rc`r0=_3_9^MPsaYX+9e)?S1D=~nJbSCTaB zT+nU3ZlV}fEi}q&6k7s;&y9aBi6w)t|$yZ$nQ4v9nmKXKvuMjxd z`k^MFCZ3~qNyDI;nU@c}BCigSxh_dGHLy?X0S0fM$FdmJWu#Z)drM2N5-vx6V>XQY&O*r+9!Z1FPV2AOCFP>g;P>uj;>hlyMaTig^@7Lxx1Ifq+FTlisJX(5( zWekQ|&(v1{eA!Ice!7U53eh_UX>eDq zz(8Gnhq~ZCV;0*OPo53k(8;^3Fw>C05lgh$dI146hWfq+PZpVIp(6-4_ALB~c2p=x zaPMVWxiQz9V@}vGaX4BM@*7J-LT0vv8YoBx}+ zH>q`6j**;ApwQ~hWGdc8QABhAN4Qi1E#PJc#5)3N67+@<5# z(Cnpa;gV7tLImmW5JeSrE%9=OIkqBQjFClee#X3le{_eH!VTCa6}lHDP%5fBDzGD` zQ90QuqV1=x2S!y$pV0VhCQia;>X&yB1?*HUMOtyg-R%*+4T6~0KD^@Qb?>mb`>y+< zed-lanAJd9tTdjh^*~0KPn&U8hPI&gYKfp(t1USQZQ8yjQK8-PM8CM-AFbM^lZv{X zfU>2ltf=Q1%b&XpaQ5?Rz4?3;Yhpv&0m8CT&Qx9t(BGnfexH^ArSs%ux{Lx?S+su-oiCn zwSPf9W}3-NO-`2)*VS}nqzBg3Fbi2d{vop>fi_wFJ~1E(Kh3EC$2P{X3uW#nu_fVA zr)S9~u$ou02{m`N-z8;hb$K&Urk3+EtP2e6n4FyODikfi=ncQYPTYOtZh$x z-s_AP=be$`mxxdB*?MS;l$kGO0*sB4FVIwGzrzQ~yu00ty7+VPr01xP20`X64TMr$ zRiuQ7L@Ll4_}_Rt=XE55O34NTqMnStL%jnfg7ZXTrzz6hX=*VtEVUVuji`Y&da79! zcC7#(j?*&g#MVKf_dpWdlZ?`!LQL)W$x0Za9=4N4E~G_j2}Y*wd`s}*`rB3;K8e#m zMrS08B28vegnG@w@i&2<(o>5n1V_|Y_tU`uU;&mQ!rfPr-phTq@I9~By;7E3gcM{w zFT0$YUdO^Q@={sdSaTB!lEN#T>vT7kp=Ew2HiNh#wXC8Qk*!IU9N0zn#OaeNe7Scp z^UW$WT(I272{0rhQcL}nJNx}O)fDU*=5B+R$`Zn)0?06%Oc+ge^X8ar#d6<~D@5U` zx`UsCU(@oeh!%Uz_DgzeG;1vO>JKMh#d2+YG1EA6@yB)G0_wC-&tmUdse2*(bIEj= z-|@7&u_B{R?VrcBmY9zY$l%_PMv7sVFoyJ}tI1A%esb8iBe?EePs!DfGnUE6(s$liGf zZMZ%0DTMn^hU{T4e;2*A`zmDr?M1aqy~_~Rwhmvo+{y8uj9d@)^tZTw z6}PuKx`$xsE}a@1oZ1kxj36!Bxb8Oq?OZZnIhH5Baw|r1>S7d8WUe7kfv!s=(5rFO za^v$`-OMvmsY)vJ{+~+J8$e1@CgZIUe*pkn;Ce@Yk%U=blBQs!X%?mvkp!bhG|9}F zGl>(0xTgvL6esIi{o5F6&?zKA(E6vSy_HbU$Nr?vRA%ZDeV+p8n#;-M1new1`N~BY zu1&BwL1lMpr=8}cXy9}YCbCKGOQKRX&U|B*axmH|7l3;B7hbZ>{I-kWN;Mxy(sV6v zQZu1Y@M*y;|r76upIgI7i)hY9}sYm$)@}}F}PeE-><0aeS=cDw)ahg9?-X*(B*F4hc(|5Ky%rZCX z_ATWkhH`y*%m0uv_2G)gjHfYbaWl%sFwgqLoCF&D3z~q9Pfi-|UJ&t!_X8%aWG#W7 z*>oe?I?o%>dq$z@=k3E1)_07F-lkc5;X>NJFjUSw*=T^*MFFy+QyI2KNozx>GX*AY$0)Waa_JW~n1(%f;CU~~%{j~H~WJqLh33G|rE<(xM%6=SCq zT*vcvESLZUY4k#N6tdg738yc%$azfGjY|r2wb-iJ+Iu>dond(V!;4?2dG8fVxpi6| zj=9n&nUcJqe!$R_OY^gIP>l0*P0(U>t~r607$x)0XopcCW!-7tb4$65j9YPG-Ze#` z!n1-LwGtRtBx%H}?+hnQJd5-F-6-mCd-IG;I+v8&0_u4EjW?4S1%=g~&Wy0s-8uMh z%3i$Cm+vLi?uS9m+KBG%jMaCzFYM5#vA!BaMGx@j_1ErmCB=3K(| zac3^xAXH{X^LdKvp`$7@+k-ez&mdcuYg{rbuJ<6%B%c^LQDnY@cM|AsbiRJF+`aR` zss7%|>mqXp&}7=C1r&7yg|ET+*>$fvw4nd{@HN+Uyv8c6Zs{2=iT&&I7@E%&zJlug z6bAzryeFV6=5@$srcVvvjIyOyV{Sy-)U~4g+SPHo(}d3Z)Z0VL%WiHq9`7KAVscHJ z>qYrO+Y!Afs_O+iRHKp>g<{oGc1_*9X&jna2ggfTX)LHUA+oaXHgRlLu|-?n>R9r ze;6ySd5s>1g1Tiw@VwZ4HP5v{JGaU*^5{(zNeGGc4M}`6Y#>mn;8tHU=rP5XVrZR* zZDEwbw<_~B^vx@X+|?#E+HdVN|L`tj_o+8TI>E@#M&B4iSD@f4tlN?~<+qa8npk`2 z&5aFaxlkps7ok#O-sn@mQJ>&GAjEaWT0Y^U06cjK7xS+x^ijd*a3;3j3?y)Nba6S?3W zD0rTr$UC5X(jK5v;lX;z=b?FuXd33O@vmN)oyw%C47IJ3t^McSb-VB1X0|1NG1g?5 zmi5U=ak6##2e+ARRXzQ!vHp6SIWK|WXdS1`_DyT??z`XAb)>8p#^LykI{7wbwKXFx zlj~|2u?iDP=zfzr=W?-vFX=oyL3^M(vk5nH&d%a={s#t*(VKX^rKJb(o>{Xr2)m2D zqbf9n6_^chju+D!ST$aEy2P~pZnURHQPeu&R!rfQOWMr#OfJ=BqtMWu4~WnXTl!+C zk2{mKWWKz1WsA5r^hxc79%B>89yij3IAmLcyEHwYM=^S1-oK>>>Wsn5C*BgsQFsd( zEJcVLcvEhvbeD=dHS0f|pvgN2Je2n2`~5hJeab_Q`a#5JoV~tfyp~FOr><|qFJfCV z+x$#FI!U=ZvV6f_dPX%7ngHt>zT!Gq+Lm!4Ij^RM8kkj_MS8>MzXbH%k2GS3|?&_N@n~1iTl~*rja~#ZxqFJuw zx(Y4l82yGO(-^IcsgbO_j?jgrJ6&cjyyL{~7whhASC;R4^D4d&NDJG5@=VlAgOC`I zo>>ymWIE$EjNpb`L(b+tcQZSAg;1O3e6Q%8C1+H00y&fkq4_ud&N$X`{y&{|0ZtrD|7I4?{ z{M@xJTJ5KD_pE&A>kC(-N*{m7ElSX7>Z@E3v8Ve~dC9B`Xhs*|(VXpP-coL%9-wLD zfM}{EK%)ew_YU{BQlC#1Z>6Z$1_18eq-(}M;pmTVFVJSn$=3k#YbBaD3)ck#y5<5G z4-{03fzj#cetsz6*Y3<(I3lvctWJj#>r)plpLlosY3G4U&dUb8Wi)7^dACufXb?9;mluR6ls3VZ*wa+Gskx+y8;!VXD zHi33!O#vCKn0Y>R?D82Ha`n$JowxGb6EB?5AGr{oGgX+EoLs}u5DzrR=0cIGH#>R$ z;05#|jiEeGE{SEXxK?-DD1f6!L>{do0kj->M9F}{X49wMw|7oDFSy48>qpDQDzB+L zMwqiwvjn#yN~dh|Mfd>qbv-7}yu^Lrac`Bo+zRx7Hj<2CU3h&|QDLD_WbQQ94fOQk z$OJ;ZA_l4)ERO4X!A-bTy)!YeyNK(`gBo43Ne~a_go*XifTFEebYmanF9`UyyEJRY zTaTxY=X9q;zZ~paayB@M<5pNLSP8?VVq{xMLI71-{PZoJtmrzV+Bg}Y5A=p`vau5` z1_eYhzMV>E_cCfvAN=AdAQczN%t6f%50ZBZnh!qrIPZn*EbKKXvEN3H9_bzF!gHxU- zwW~g>OF;!FOjT0wqOO#kytL8N{)IlFGTgtETv%QsBZm~2Opr&N=eXNYRb~M$ih9M6 zu>g!D0^~trVXED)NWw^$^~cgA7~v$}o<1$>F-P0b+byUfu^TS`it-bm@&jns@zsQ# zf2>HKJnUlpK!AH{sR^mnbc_{#>kU^56~N$LpT7d{YM-y<*Yty2?RU>el@i`HPy4JM z?0BAlsWeG@VDLtk&N0xcLnQ3%kvYusNILW$V#5r(4Ag~N*!XdyB^ppfXiavSc}uzs zk2hXh#5Gn+poL1arKu=jVm9R!v5mAYX|uNI%nmz>RF_3r4U^UdN^*=#S|nc5k`Pp{ z;F<*0tO?l!V{Rd_{4}`@LG_QYrGT&}id|khp*g|3DilwLd+a3dCtYQl5)w~?pk#LC z>WtX8v1m9~BNqxC09i{#<}1ix|3Yl{hBZ`rAB7G@0@4d!s{6q^an@4!2=}v~>-}0K zuAx5uAk^|>tE{CjMvu4{<(^=v{94SL#GMMH5(M9{uGv;l-WVYH;{v?S`|761B zU{8N1iS}Pb%dGOY64V8y%nSK1Mzsr3Kv$sLMa0=wDhrZ(1yH;BU5BoV zrqMJ?@=~g0J~!7;(PkMcRo({t7iGNGEkWXRA(vrg?&F6JV1K0IDwXdodhkUY0!t%j zJlr_Cg#C?x+-qPhFRWVUfQbzEdLG}kxbOb&%54~@1!5_S&TlCP;1!&YZYXmjsPJY) zO>cWk9d;5+Q$EMmoVLQPcTVat52t{H!nn1jS~&Qp^DyV-kvqGCjT<^P7~mDNWT6@M zkq@^gY%kXE(+HS;Nmo+1C=BboT#KpAXrfG_{oI}Js2R(89;a<)dD9#}1;_Q*NBWmG zIc6w%S$<0=KmK`|lKS3+E^O1XV8}i6qL6KZ8ciX0=JIyr*Q07TWufb4HI7!-_FL8b zmek6L{}4u(ppR)aFt4UvP94y4MoX!JCU=;l_LfB>wz`=`vQ`?Ti=zH`rK9#B{Q0Z| zF4EE>uZ>=vT4GD1k00I;!*M_6LJ^#TSx+{HUCBLBI*8=0t5cu85vUVv5G3J4F@_Q~ zi+$YGMmPL&t;GftwX%hMKaVjNN-(<)N>(WMn96JuJCa)aO< zY~%d$YubvpRK+F*F$U0_B2kPvRAgOODL$xzr7(JE8(^d;<>WHwG?uPqQe>Kh zha{G=uJ7_0CVfdB5#}GI?;!Qa@(U&(@K`NF>s}%WYsEFHwM+<=8;#E8To%4Fl={sh zYBe4hg?<@;mvA+@WQl zbpc~Oy8fszN6JKMV-LF-@t7F1WnJkp7(*92UCO-Bl|jh@8amqYWckl(gaY?l2X)7k z{ItQq*dhiR4;drePA!zSnkJeYnArbV?PkA{c{rA@WLB(^Il;}2YcCZ&-O6k?j0m~>f6jtJxglQ9h&CrvuSMX zo*Fu4Giynl6LkeO8I9p4f*nFv)5Mqy=lYMvD1l5-*J)bldvDBG-mHl?KG0W!%kJID z_s8I!k9aJ=Z_zc!(`HWY%?i#JY8YzhH&V(mQ<6zSP3eGy`#6lbNyIzJ!_B{BwNYtf zRVapO4Nv8B&GjF^L>8P@wv~M+mZr}=0>T5z(3%0CZM_-5016-Ss|&?5_GQ2JP8qVT zAC*x1j=Ek|rm82<>I-uh2(?B9y|>KIEZ7RzMDdF;7ODO{<5V)+WN)BFn|%86%o!;kkZpt*N2Tz@3?E1=#G zLxtZ9BGwvP%7$&n{Ob~XQ1uNivF#jeKf71<-@gf9`z3MT{!iNglz((1;NR>7z(M@w zbf4Av27*{yoctky8dFQf5@GV1+letsqG$~thQOfJvlh`Fu`7iJc#sUR(sfYrX1+-# zs+(*Zua&@PTC&a@YYx{xf`)qi3Xy}GiyH2LlBGf9-Ippc)DuJ)*cgD*w&F=!5~-Tj ze-Wy*1aqpM9xy4e!9V3yG8}o@!GYy(~ytOq<#|{Wa_*_c2YRJxnL}j0Th9rtj z2tWb@RGvaGQpl_de49D|#6RClO~_Qn&P375yCqjCbG9Fc)*(!)+IAe{>cp+u<;1<) z>XtB;3Wu$nlMVjZHR&++(pne=xN|lrK)E11JTk4STe6X}z?jQGp#f4|M0`_})fvz| z3_tuEtn~RHguVUH=Ar%fZ>-pU>nw!hKNzTiEc&;O!B=}KI_T@|m|@$$f&1r3)fdeF zFTzw`jzfIeQTitZ=-*-fRe*|}_stz+`_HShFAm}NFU`m+J|Cg9by6vePfIgfcwqH^ z_((838S)pck#M-}@N&P=5o2!fAJAr^y`&kEU z4#i~oT)Ow(3LNPxT(%Z32#)YHoh6BR>==IWh3>kZZp}W; z;#b$|=Pld6*M`t6PNZ*L8uhe(W+tVm^_{cbZ_gjUK-c(tKMIclEfXun=3*~2z9asv zP+FV7jb;}X1kd|p&x{|uw`kxlkHJ_ar9DEfKkwR5eSXiRSz0p~myINo<7dob|MD~6 zuW1~1PL|8P6%VfbPIj9A$2fI{gW3E!zrF}xk&?W-Z|pCvf0PP$-aWrm>FB*Mf92wu z4x?)QrTGsFPMzXK|5iHC@OWKNir`>TOYp5N-1mE}x72Uyo!PtoRge3o2dtvIP1*XDTYwS`Z; zcAIRUKYs3#8D=JC3-dC=V=d7l%Y_fQscyUq`cEczqr>yWtHwMnA{4K<^Y{8EeCpC|qvb<1ar|-OVqxnwTX%~-j#gSL9BZN9u+RB% zV11p}g;TK;g0{{&d3URm`O1|0jotTqu7ymxAlYwxce=+;1{`YT_$cw10n2-ig-9 zfnn47&f4?CqVG?6v%*pB+?*A4!M7$`=1-4E(!9C#xP^}0UWeRxi=29w*DjO=>xFAr zA$`c|=xk?7&%E0gHIJm08%~dNT0L!aTD{L*+1`%WU)-%pclXTNmo40SK4616{hsXc z!@1bk*o**V^1-{A_xoHt?*Dv%82n*Ekx5ouV!Fe~hGtFMZ9B637QN7-{t$m^jm&QH z4||Sntex-v_L-sW=73E!u-d9zW!{$WuhN0vB| z6FW`4&mY~_c#=I!bo%hrJ+IDb6fqMtyyl+uGdzSTDscHFOgkXZWk@}{30_R z9m-0ag?EZl59b_PWrlrv=FHgqxRNZpx!L=L{<)X#GQ30d&7{KSpYB=yn9uTCM8BzP zE%01RxY*#iG3Me)!pKeYv;+*JSp3gdHms=k&X|tVw79#=JI7GG!0h;jyiIkLJ6v9e za<;s__C{-ef-g0zfp0s$Ct7WCk=fYUA-}#OURpEa>7-xD>w8o7uJQjPV8NM3{=>E| z9kHeJz>^=ho?WrI_L*DzNGdbFm~?&o@T-{JT3+`%9nHG0=8_}ka;L|eZ+%8GicA+4 z9UneDCN||_i{Hj4Q#kuePmrh+j7(4J#-A}S{;{#M#8qTVw%u;Ecdg*fgO2Avp3=QW zvOVsO-ko5jIeByO)U}iid)5f2pFU7;uqu2D%r?IE=55k*r;M{1OUt@`s-uMm@699~pYlkz zk9^zibZ6pz|4qb^hpw$!&YbsZ+4Y+hPS)NJtz$y-s;E9Iyv|%{erBSlIscb^HWx

OGsExt6-<6&lU0yvKmpZ#E z;KL8v;gfT=3x~UNxUc*LCC!bxzl<>5Jt@99MDjp5tx2MDu)HhEu? z^c!Bq=YWf?qr;Gabx;K>R31BwxO}Q)0TWQ5+0y+|%VLABvjf@2#gR-N%;zD)svGt; zc4RWyR@IV>tc=!G73Elgc*f{1)cp@zuln4YYy%;|3;EZZxYa{FtA2-nKKK0R=AXZV zqxm$F=r6GC$u7>YkOJAp9@>9LNCBHq-$2-88z&VOA0d-%VBrSU1BF7dvHkcx#pz>{ zA;>W5`w2%C9E!6uB*2#&JJ=Pp`Gb%@0ULzYyU)1VJJ~qG?uG!vAl49I2WLk+ zC+Gp%I5;^_R7bsS92}g;ly3q4)QgMb;OwXdZ|ekG{|PW$NDTod!_lc`(1vVlt4b{z zy7_v^=|P!H!}>{xf9gSbu@+2ruZo+1P$2itR_bt7g#F#{MHT6*24~ zNeAgnM(ilIHk6Nqwk10Zb~!{3=(`R9x3j0%xu~WPR>81Uxrm={z8?0_eA+oU4e}?% zzyT6OHJd|_VK(6x$P@<`vWg&fkiO2))wHquh{)eUrcj)0oIcUU*1?7XZ-*emguNli zws4@T{brl5Zw4WMlkJ>rpp>bIZtF;~QFSo{7^cJx0Ve+&O4~cwkU#RUiqf`FNC!s> ziD2*inL+Hx_Jc$p0uD3J{v+Ua5cuFVD2KKxR{q$Ry)$Ky<>1Ke$SyW^e`agdR{4Jf z-O&LGs0#c?=(e_>MbPdeL=|vHyFtzw8o6o@=05@sh0W#9z#Tpl15V~A3fVz6QMLI3 z{Ld2k?}+{v*p5S5#EIhaneFWyzaqIRx-m5Hf3Kkaq()%Dq>ubTakTx+Dt2VMzw)4J zxjO{<`xObr-tn{O+d8=Xl~HUz%jCaWmO+a#81|u1hQdA$s=54RSyXkMNPe(-jV}C* zQ`KA=jFIb-7Da4~*%Y@jVk6{H*nE*L$tNN_k})eLAxQPQvxBY8C#4T7EqTPOkB9GW z3>q|Ai{LklV&Y-BCd7rTvR0pp?hFF@)URJ$%*w?P@j)b5aq43UfLWv^5ef0|)lWsH zpxGO&C#8xkEK-F9AjhiK+JpCy!Bp$d!F$LLgJm}cAK(N+PWki;B)RR->ySvcL+?Xf zGnnr@_zqMLgIU0X4^X5BZRy|x6v4ri(ZL6m$P6Z>e0+jKup1hKYOiB(i{#^Pa1?{F zwU1A57=!VVk56zM_Cw=A>qqLgaXf108Hpz@aO|3~F5hfjU}kCxto^&Q|; zeDPQQxAxZm-)e6Sa)64Hp}ri_11eVhKJNaK*!BNT^(}|5LTCS5e(PiK_9?;`RJUqxvD2Jg=c=b=(ml&^f7 z|G;uW)BOo+yCJOq(PW{&$C~n0g2J~8a1FK^)Ty5}DvYLo?_5I{}%G zn`_EfdwAcT>%mrk#rpfbBgt%0G2V?O^e+k| z?VDS06xE9=TG$PxOH;nzs6tQlGckr}@!w*BuWae*Z*F2!RIUEX0^hIXz8qSFF`~~b zU~322>z`u+RZoA*1Yc=7tGtAhx7b9w3?6#^<@Jw3+&-J#Yn)Chq9IfC)+DwD25)PN zUNNFSNrDdB~4*JIH^eQ{`Mzs`_+Qg2G0s}84o#a56=CHU@WInaYbZoq+ z4TTW8m3;WAx;VMbX^m8I2S5tY7Gfus4J+qp9@zjNv%2Ldvb=T?4?|C!RKME~sQOlx zbrf~UGb06q&@Q8T^lM;F+ky{`0(!tGtdEO z{DC@P%&*XsC;MlJ3{nipBp@Q726C2b_^4g0=K*nBLhJ4k7vX+Gv)bw;Ji?wy!r(o3 zQRVo_#kiA7%mf9g>qs*CJ7SV3kLu798ylNdKGJZYhx;pBQ&Wjz*ijRODtA&6;hpM2 zg1t`h4TPY=QNPPYt#`M3?(RPnm?P?_tDO31Psd6PqS(9rVHQvn@);X4g4zo&VQUWm zECp$qO|}P*NN3@EvsyXa{%c9U$C)NJPu)^2F(iYQmzxg7!s-NPNFAWnf1tP3>iOof zI1N{?AA^?5n(G<`IhwNWCcDF|dQYKY75a|Aet~W3=JOS4&3MugWrfa&%EF9D?Its$ z24OJSJM)oxq8{i~5+@58$}Qt_+oF7Idu!)^a5`q@n~}j?^ST}rn&a_eM(LEe%yVnr z#I$dbP|JCAVGP&?6GWcdJkRI>g;^nKQ7c$Mg+Ut5u_@tMO>`ar8AXbOu^?^|Ga5GQw#Rl8^>u^SU;ixjio zRahLb_YO^c(o(=vu6II%oq*iodXJf+bu;iyF=O0{Ef(S11Fkm_QW*^Uk_Pt7xehK9 zAI~vWn}$EAL+`sgx*ZghdRTJ&yMeEA0K_OVPW6|a@YLZU{DeLO5u?(#bs}4i7dg_o zQAKY#fhpNqtIS&T6V`5YE~zFiJEZI_2JdUq3tG{D#NJzJNABzzOObO7i5Jv!E;O(#83jFuyd`rE%r4f02My^TbC?meQrU+sqYlhP2Iygeg&x~RxhT$V z?xzcwHI*nTB5ghsK55W~r_|ce~&-8kf zd}TQlx|9-eDyys;hM->COWz0VeA)t{O6U5IpV4S7 z?bH*F)8KxXA)BRCjI^3w{NTE>zYqItQ%mt%Vt?|x%|R5=P!&S?k9Loke0=n?pG3$(E;7e;mK(iGL|ZZy`6fm8pW1s$uoNr zMpuH)yo(0Cch1T(xl>DxW;9tD-Cz@6Nv6&wzVxs1bqVSnXl_A4dpW=RWsq-MK<0{r zby6YL=Aa1Q+kr%bx+AL-C zK1pr`vJz9)rOb+3TMo0y>z3m#$R;v2t?VI$?pDhSH3`ABf#goIPA}jj;9heBli>7Q zXBEyeFRalCoKyj1w9C;IDWI7Ov#(mj%DVdONL${>%Chy=}Vg;W!veG zN(dRrDA*lYlfSirq`X|tR}=wIYTYri{>eBs6ibS_>E@!2+Y>!APJbrTOgl|O;b!Po z@k)a@?l(k{G82NY;OTKzmCmZriy|HhcgKh98Sd;0dahD5gKQ3ra~ahon$XK#^J`CB zxLp=#X%XhAbp|doEnF8A>NeX~`f}ji9E}!kOpdsOkpSL#kdx9kAvcXJ$7T4o^y?=t z#J+B{Y%*TWHut#dsbP-i`?0DMQbr;%hxO5us*LTjnk%Kw=@p)pdxp^gXZ0cN%a*&{ zFLi2agi{lzYpqF4F~U4Zzw3&mY%JF47k3r6!?Hf@Aeq5?SE1QBIfE|A6E>fBn4vd@ zS#+e+n%k2GB$O!3$~2|%V|{j~by`cM*urS{HQ=gUYMm(ND{*^FtH*9%8IUpRTE}C+p zrWcCch##bfXC_ZdfoGf`7^PW%>oQpsOv9UaX={FBeO5Bmw=nsg2M?rNxnk^osiRZR zi8(#JV5iaZ@@?rY{q4O#v8{z)E9_{s?lHzrOJWMTq%67RO(Lxy2LS^8&1P>GAFIYEQsw^#6@zo8woDRUNNy=gbYZQ+e%qS-@jb_ zR`CgVrr;mL``@IT!jnXQ32M`Ozg=r&u-#wr{{98)m+})|7Aya)`TlCP`t8Fbs@?0q zuF)L7e`WfogS=nRhIjwibpM<6nQHg^&wCi(j!q1=`peQ39trzMi0?Qt^CbWW`R{)q z0Qc{>{HtXtQ}>(Y!BvSHgVQ~fx8n5uO6^Z4h5qY!JAI|qzCGQ8t^P9IPT#N7{*+7q zh09?~^uNL7PG2dtZ>#dbR)0nN`&HVX62D>2$Y(ZpRQ*p?{xQr|9sOMZMwQX?X92f5 z%5tB#%&3dVdt}tFJOsK?ut|}?FU&s4s-}%SA4Rm-Xlbc2N4+W$mvaqc|FReNv>5uO zJ?HAP853%2)?OJE_JFe_4&yc_$-o3hYwkUMb6Z#YQx1%6C~LaCIl9+JUSC=iq^ZfW zJmH)P`sPu4E1XSpQN?)_`X3fQ3ha0uu%?4wedabv4E#pid-5W~W@b-e6eHYg3@N!? zzDuXPyY9tJFVDDuj7)B*-N>I{A)80I6QketqXXcjw>)3NL+(Xbw08F|$tx`T^mDmq zr2M?g33?I~EgqOGj0xHLvy%W-Dx`h*iBDUQqaB@CH;?oJJbG-$ha+9aKny@~N@-y0 zwh+dJ^DM${ufs$fqt)ERm4gvT>q~-dFvdD-j8kKSrzNq}oA5GUowshQ<1tPWnGbZn z6!6r1n6M)#fE*Oihbzd@=|1^rcoGS;r@#aAF)+3R4-uINuUV$wIUBOF$<}0kI}@Ln zn6#&Bn@=~N4m@*bC?_&}%GvkS_AsVBf z#P9%U+uX7$5mvs4LMmSG(SC~bo+>Md&lSpd_tv#zvW-BKJ%6WmCVNtq%?(6(Mh%A)|hVZDW&evUw;LjOaUTtpT-;`RXGoT2|PHR z4~kw6=xl&=5JazR3PQ4Zr}UWSWzpeVi^|7)i~M2v8)C}-?qthsdJF2^PFL)t_v%D% zTe=H6wObdmNwl{|6ZJo&NVE9hC9Ii^-p)3!9?;V=c2k{31(P+z@>z$qc);r1vu_sV z7!(VYtUFPL4m&vgUzqZ5MVbG};#vR3lskQ8%D;V7YOvK`mE8Y@DgS@wtOGo5`xo^F z&pLzz#TqR&aXaKq(zEm#9XQf!F>>u<{3*>++vz86RXardY-J70YkO-(ZVl6DI%fay z#F}$GXZ8jDh+8l@$kT*a)tI*7Hgi&=(d;ER2pu-Q4I!cLataUS9G-doh1H?5%MRjAwQ$ zLh~HV3+@%fiR-+qdluItu<%#AkFf7yCN!Z>WcO72i%H0<_+n{@Xi z+Y$Nqj$|Fme)JGO!Z~!%(b@pWn)XU^7{Kf)Ox2~?JXZ5Cd4*(daYec)PJ5f`p~iq zDeE4*e&D;lR`%@Fm7WJLb6>#!huZtqx!WYS9u{PWrkuRKg)Tm);OV!b$!`&A(%T0W zF=MyBd9~+tdl?X?;X}uwziEcC7~oFfItW> z0Y*?1LJJ@@1O=6*0@5LfNR^@#DMkncY!Jtp0mTA>pwx&orGz9PnNdfiOAA4Ukq$}| z2>ETC8PA+K?|FaceAjip>%Fe;<*&WTzSdrA?Ny%Ve(rlc-T%O`@>idW+}WvR zHgD}yC5`ual_nSA35n3@ylSYKh0#oZ`OEoV7?IkUUJE<2UV6FTI^inyqZh3vaO6Rz zN%-;zf4lv}8z1>5Y(w-Q!lTjmM=4&kVf7lT8XD^0u5uI->gSnFw^dU?yqVnjvgP`~ z*|QxuZRZwU{Sgo!lJ00=O$ZXOD10HR{S!1A+;jtp%1il$?6>QOLs^4z!XmCWPDh>a zZ+AQXYoL~@(Gd%RTt{AjL*CBJ19lrIj`}gj;-D}adkMkloZj?tRg#_@RjT8Xbe>qJ zcixrGi!BP@%;{Yy(cCYr@#x19*T~rVccGQ1tVgT9jkis>G`|1(%^#Y$!zlScU5|oy z&^WoDXCkCQuahlu1^qG<;Fu$C>{LpPgh`Jg(- zm18Gi!0Bf2{ks?5-Z6dx_TT)rIz`w7kHMJi()gI!BG`6&|6rNer_%#8;{>eK+2!`$Ot-=ap=u7@%UBxE~(2e;o#EPy_I6mIfi;cb~th>*hY;H#8aG9lacNKd5&4iHgvi&bzeJQjHYG6VAlA!G6b5 zDu3cW@7ks8jb*Jp4EKjO#zGD}I(q8Z$;!at&2{AdqebDZo5x&tB&i(M&2AFCEboZ5 zyB84opym^DwRJ*6L|J5GS;(M?`(n8IV_7=xX@!g~#?=A#AqZN? zDxW>~aqQqX^2qYkC7}~H54tdKyVswZ!q-*T{rEZCMl8OeSXldl7UHd=e76Xga55vS zr&4NAhUU>Ze52xy>;v&9;URX0$h^f0ts*+k48ns(=RQ_ zC2}ht%HTIo1+FxYNV9~RuEOGAy-_RqgSKTp{h}vIPX`-4EPP#Gd80Dp zwvyqDfMT|)w&sO&amCT4nC$9`TRYj`iF|YT+i#AJRNaEF4FtaP;g|S<{;cR=#fKf$ z_fksiMKiF*D4YKAOE14a)ZP7Dz;s#1+QOsU|2BV({JrchwPDv?#}(60XlA^HIlOzl z@^P1PzMp5*cX;SwrLa?WEXc2tY3xf!&Q|ob1s%x)5@(F>{#^D*Qg$uf?9_V^vS9Y9z=6%(IP0#z>j4qMOhG4^AoElRenxCOa zQ0-2SznA>B@gdT{!zl`U?*1yq6bCt1=$KA^rv8&#=%CXgI{V_1DsvyO;M@r!3CE;pg7_(jmf2dTpZ~u7ekN%K=>oz;yf~A<+4a3%-qleC3?$80q}MqSw~_dl?7-`Ft%H z&{Y|2qotY{BR%bHell>e{jYy6T+!3j`9mF}YXGPU{!H-)cujvR*$5EX0M@Uj_O=iP z_!!${aswbm??37(ekZo;8Gco20G$7AWyRON_%lgf`)k2pFAM=O$d`h#fE?s+%7L#6 z8$Cm#KQsgeMt@)BzvIaN)~7%pZmW3!m_bwTH@V3_tuoe7?~l#^)Hz?|F<YRZtCUAu|2G-V*TVm-T-om4ZJxR2UoZZ#+S|G< z;6(<2RN(iH(!m&f(I$K?`OnG~%pV={*NZPD|4F%`{fDSxTO{=RMEGN%d@cFU(iNaV zz<}Ex6o0w+=XKWo+E03VhF`|^*OLFNThRqRoi2c{Xl{#cwmE&8y1xy;e=Pa;ac2mO z=&$+}!@p=K{yYoy|Im+pz0mt@*8H_QzRp5@V7UD*c+$uIrP6;VSpiN(2lQP)W&d{Z zn=b3077a+SeiyRnYzxSMPXF2++u-Kcp$C);R2wK65WxS|<-cG2V}JZUBn-cHho0VV za-P4H{O5`I-wkR*O~c<5LEDRxw%%8jjgF4t*O90Dn{?%Gh5sMTMeRS-UE5NauL_>u zB#M74`j5%@kNe4&ePVm-0CGcq)vFk8SNr$<KhvvxDd_k2{)>7Q zU<=aQMnsQqW0}Bc{X=zeI1E_lzc6yY`~nP#FGSTZH^5i?!r%OI1N6H7*8+fk`aSdZh+Z`{aV0w9OX;+<;zdoWBf~0;jQe=k`9_L9H*NdF4)_Wwt+Vz`Yq0q^|3 zDp@i73mW{tl9m70Br9J=!1lENlVs(~Q2f&p^e>EVVCwzOuKk}QSuy+z0Q$d@m2D{e zfB*iYJ@8*gP`?bt?HTna$;!6S`_CKwzc9=G7BScP8cGCW$p5iGBJh@f7DqJv3(zin zNaDYaqJDXByXjv&W~0AYIR8S!f!+Im3VGjX+u#3_%JZKjQ2+AScC)`y1-2d0fAf0- zX38I{>eufLe3Sp!_x^7f5=MUsng7@0{L53@t^O)e+CDMi-)uEtj{T4M*nhE){zOk757m1=jK&(wPkaiv&3$ne<3=VCn|X#x_H&yp>Zk14^{@B>Lw;## zzIU%J8$8F|nA@nB&l--q+BDmLb=^`cHUr50X2;u__nrq5$&x6B38d?!YvjRq!lABv zp!H6tgc^sh)9JyWcectLyiPR)7qPe%xmyFJbdP5fU zhwxUSk2W#s?d`LvCM}90lA16W=N-vVS~oIf-b;5!95x3k0wgVjm^=_9;Y+KYVB~j0^P=f+ODVEq7au?;by%~@`q=kmQ<-GbBQvDhFWL*MkZ!f(p zz$Kk>d}MNhR{fkC1$Fn$Pk##Xm7r(ax<#Dl6z75|uN+FBx`n*It<{vIHPj+=-7x3| zT{43#VhwiMlT_o~J7v0c%}gbjE*g~OuP~uQ3Mw|R_D zy2BMhiTyEn9X=BcVM_4h959e#V|b+(exZ<_1dxnNM>f^0`HqW2v}jwTnHI%wPI{6u z@m{@CZ&j>dkh(HBuS&KK)_I{GwKg4mct+i>>Ehiga9U-s%JtpreaomvK$g=q)T4E; zsRo~>G?(5%XOy+{{eYb(iX*LbpCMi_sG)AqM;$B8;r&5okYAg?SlD8~&ZZo2A6c|N zl~X8|wsNnulNi$gWQr{Jk5E<~d7!(4@~UOwwc!e`J)4hrE?6ie&2Z>zs z`V)hLQ1_Kuy!|dUoeG%i2_oI){QvH!;a+xC|R9 zic@SW-Vlz)ufVe=KSPU&i;%rlqRAx`3?RaQn6FgwSOMPbG?pTy0(Xp_5CH&?De>~~ zQ1aR2EBTP!)n!H?*KFWu`gwveE`>Y$IqMuz2y^Dr!aj`Ld1@fJ2G8>`Go-jgo;oJl zwY-1>zTbyX)VGvZ@|ncS3icG_aap+2b8!$Cd|L&j*a)P*&;$c(8rDYnG5Ak`lm22qOx= zZ`JU&SoBRkwL!#{9+wTLTwLEwDJayGuq7=a{pGIlde36wd~5H>i#y_<^9=6Iv=~rc zSKKiYJk~!xelAc?l3?C=v!2vJY;dWloZVtP%E<7lW?_sFWRadA|X` z*ObM^k;S|h%~~JcHlQ+540(8*{TdID4;(wMkPqbjhUQ-h4MuDR?9?BZ!=x0v-v9C9 zxB`&qLVZjr7M%?(F%hqdb0mO#fP_ba-V)Fxc38QwJp>&D1D5^{ly5SP8A$ev54G`@ z7M<#`N}1n4S8qIT8Yo>2#_ySJ4XY9}IV45KxviZs^xo<_xpqAPHJ1stiKjBA zNTMP3Gz$7hp#^eVecdZhwfV|d{6yZ*dI&FybpRuXi{Dg+&ei)?EAiMvI-J@FGtJaP zvj?-m%U=4H7Ww^*zKrWoN?3|)Q>bGmUKK&?p^@J~VAzA7`Qal>C_D_vH8l{?L~)9T zhj3HzN9J)969vbL-hh}*zYcc{DHj0AmE!YABf#X)9zmHib13I=* z(hi>rrA5F-K)gvWlVf(GYxr(&2KgW#gI=PmSJ(V1A;%!}RNZ|jmfnyKnC#rkOLC7t zYw__|BRv~H7|eygvftwd8OVEC zT(MNjt4uq^Qbbll)EoEAQhtKd`CdpI?WtTWNt!aPaiOMT74!ECC@aVXz(RB*7>rqB z--@UJz6C>*fIfTpG*3~0ue`rRd>UO7i#$FWr_aYGLL@1XGsZ_$r4|~b=lUI<`aTvO z?5vzZvW#cZOs0T@BNJ6(B8Of@-w8hd-Y9yfH7N4_HXN>q;8eZJuZ@D_Tkj&|V?zUL4 z6bjDt;*E7Cb_R>^N+33hQicS$%Y~Nj5O(S8g7;|W` zINuE8!j0+j2)b*Tn!?4|kY%cG_6%Anq8G1ijFmGy>PHgfl%vao2DwWSIlgz%Ip9L& zHUW}Qd?d3EK2q z>FpGN&8YcHX>3%#b6r zH;zru(M`r!sbbc{uyTnDL>fNHL@T~$W}jADjzGq-Vi#t0MVX$-#XHICnbWB}-k7TF zrKUxN1ZLuB;d$REF!=;;_EShRPAOGcA=ZP{&M4e`pU2q3Rk5%Rea>X5EphO96DzV4 z9@X?M{FQ9W8s>onoIl`ui+a)5kDABzs ze~X5Gc+PjEw}9E|A)>4bgN|Y{PODE~HcEY~kXc=_(Bdn{qM!nCQL+waZ*D2ov7}l8 z@`7P(rZ8Y2B5QI4Pcp%%VH{KgO+hHix=5mbz*w4yZ_Ip?vF1QA!4{!zR)gY>5;TClT z9xJ@kD49YBA4{9xYQk2_q3}1Zp=-yK;kG)8N`#c9DSXgm_1v6+|2#d_|<{D6WGr#<|(;T=p0@Q=k}!q zJBm|MS~#^nAucF~zoq_2eGr#7CsIwM{3K2m&STK;feI|CF;Y4F^y+?u$Q_c*nC31^ zg(uY(!>nM)v;#{KG^eU7kZ>7fDHPaNT?b^8D)|g~xmvi@_jqwjNhqb13@2xVMA!{q z0UE>m3@W)rb+ytxtFYAo%~EBAEi$>JRv)Wbg`A6{hp%IF%oT`5sf8u2>hl zC@bUlv%Ck$bsFUtyY%kbO*Xm_>EunUdF#ttb zee=p{dUZs3zrOH7dm5_iV9X4{wm(dbxkTa-%;#TE3<#I4=z!Dnt|u%vy(X;+b7{E2 zL%Bo7dE5TiKrL)589W_igN>f%#R|wpN*9Id$kyJ1yi&(9GKjQ9eW3$G5sSa(GZIh~ zb=1J&!Cg3K-bXnH-P4M4?b?(Mrz4{zV-PA$}x9GBti z>^v4J@PwLwal;ER;!8s<0VgKT^aV)tqYUd;sIh&|vylAmTrRN`S6W@D^TLNlj?;xsKdInQQ!^&j*y9Rqn!I1@1J4H-)Hank4*C>T zL17|r6FI1v4$_7Cezle0GISBImns=fu(DAEp>Ht5!upDM1RF&~g7xYWB7*=z3qZ&( zcdM|5aA~j89{FBT65d#w<$ojKhX%H8;kG$kKAeD|Ifn_%C9+GzDM5{v9Z|9_77Lxx z5JOaGz)p3OWEhMmwK{yB?eJ0XEY2zEd8F(Zbd(MlXkk7Smu@w71Zj2j`M3hZUsv)q zuLD=>xhuT4XL)*^J^vwjhfT0_bNBUW@pNDhjOV?)Me4&UnP@I&ET0}F&TS!6VZ<#2 zceXgcEu)uumtaYZy>K*`HeSrFFrdL}vwp~MQKL#oGN(!dh`tgBQL7O+!Q2vY~l z#^7@h`sk0ZtgJL~j^{HJMKESU3TM-&R@M_f3*uP8u-EC7zD-i2!TdZG*JK2ezoOH( zG`C6i9nH%iP+QbHm~LH^ur>4hGGS>EX_|eD#YBN2IXO)js_BEaJXtBpkl3)izTy4m z!qtr$OLG%(rijkyYG6cr@7$&a>e0+uZukg_4)01C4cNJG*XfX_f*5SAoHi~LWogO_ zJ8bC46dzI>!z$TD6S?HgL-=DoO(D53RIe<53$xywJH<7E3@mOaCnxGl`r>m8_r9rFY>j8A12Ig|iTyrv)VGCEwR4EFUFv8#_;wO z1xMhXOQGUqk}tx;4uXfVK+e9N1ro(qXm|OXCfktZea3I2M_+tYwys>lN1z3 z^-P*R!Vi%SPngnL4?&dJ-jX~nsTc7L9wUsKuf-|c<1@n(=3TIL4jZBZN*$Q;!Y)lP z`Nr531z#D!f7;Te+?l_v;(dJ)UY{Yj3j)uxdoqT@FI`so67vIbij60{%~NB1CH~3lw?XR5M^_pSkMMn z>$tPTINcWSOo50&hryBhur$TH^`8Mhvl$rayi9Bu?pg* zE%#0!hCy*s@^EJDH5LiA)$u_%42rrQOT~+k8R*-(Y0=vQ4$S5puzUqhU5t_09130H zk!7as`>(n|&*TVX@wV_foH>g{#S3!W$I!r-8MjL|F0ChS&^IoCt~Rf)^sNyr$?_`< z%Bw0D6%p3yOg!xBqR$h6LtmX=JEQ?2pJw#v$T^OScQKEKdvGUL0np_xQ_x@$YDw6_ z`&G%-9K!Dl*hK`DE!U<+^Jta8Q4$;E%H_((km4o}4`JPwf%^R(eNB%2 z{l~8w+`ABAV!L3A7hUKJ3_!-DwWlt+NRfYFluvHDqw+nZNFswzgyErYpy8K6#{k^s zhXzU{fHOqsF(CD-YM>YRJcT!dwE{iieec10Y!bn~KKTFTaVnKUnY%!!iOfP$A4?nZV+f;k) zN=nBoJ}Y3`Tif?dr(4Fm;d#b5j6Zqn?sEy`A_OSX2x3TxfBmc0dManKp9JJN4hs^} zQw%jf+u@IW0w!i!EcA%4k{h_;HCvlDdmER`DinqMrL4C$QCs=;uJM&`@KItMkX$Tk z?pwQ8n1$(Af+weE-KQ7FbtRYNjq~4xx@TM(=y zNAANmDhc<{!W-)DcrQkW>Ty80=a)$2<(WJf^wW;*emoqPbOA*BU=zSG9+W|U_ba+E zLWA}Y1d}>@YV(BCYF%kOd9vI07Io0>6=BBJl}MyG#i?=AUp#|c>H&m+c<**0@(qMf zI)~uy8jE^cgh!!vvB&5(nqZ-1+akqA%sP<^xS|{k8({3Q-(nP=h&h)kFMp24!}~D_R30wj>8~*`)Fk?g%P%UrJ^7t zUYzA%Z(6HOkUNzX1X?;Yt~cy6*4YZzkAg}KOf}Cqrb1l_zKr05e7T=N#cGP5%{F>+8xSKguZ>3)?dQPYBjkqFrYea7hfA|1d9yJ^yfF22z8)TFc zrxxySp4o9dl0d9M*NE0NO=lQewzJp0`Vd|(ip&QnzO5snPl*d{{tB)7G-~hU`U-;|-wK}0g{`iS-1f}~c|b}a%Ww^YJw;6Q$)dB`AUJ^ZoyvGg zYK>WxYFpDehl;Dk1#F-wCKo`~qJ~Pq-q`@41#TZjE`NvuXYM0gu!+1)U5uk|BB}o5 zGLTa9B!va(Z(3&=kCN-x<(U}Q3A!q_T%X5v4=M-RJ=(svFSphi#{c*!o&?3D_eIl9DcafXs4vVoR@Yq zv0_+nwUIbYc&^ru>&0C)N($d3f6x3i)n7_!$t>Ift2B@TPE>PByg$@(9v`galHOyy ziq5$!FjBY5>vtq&lU7k}X{k!?(kxBEseAoCgyl-FQeMc6r8UbmlUGdA41JaZo_&&< z-GNFpZ`e>EwsX=P(!*?q+#)Vkm{MNKUzZGBunA%rZa5F-Lu7!IeIiAY)bhv*`ui6M z$2Xwwk0WD5%vbva(!C-#jwxW;aA|3e1#!T#Zokfx!pMdD0L$I8#{`?OdSLS>36XPe zlGBev4*(|qJ}6mwb<&t9ij|o;?q>v!Lb{0b6LdR8I+8v@GE@50C?lwMQ9>>p`|^9)n=Ebr)|1yo z$iVW}Kp9A=;DFve(crD7&TmOp!&XZn=@GPP_A)Krk-@Xl|IR~fRSGbulqnOlTguE@Cq@Ze;ttIN6%xh^Soe(l{M;nYvMP$$_@mZ0V6WQ~{GC3Txa1&yvg;vD$v zDq!46;|#>JK9hYX$93h^pylf^OY%CxQ}6hh#w5X4d^UTHK#!jiUS`ipylM-6$4{T= zJ&*$GxXu>2zDIZjQKz^{b<4y|3gRiBVLA91e`(WE063u=Ej9X!;=(qE>$(Sa#_ zxJR7cD(9w%B@P2U=w1~5z{^K1QYp_jKV)h1AS#(wJRxuVDUicDU7wl0-l#P_x$&b3 zDwdQL?IOMI#Ga)D-%WFv>wj;f_rm}$CNDW(DN&X`!+%SiTrdrhx;WT1x8WjbZ`Qsk zhfI`{1wE#8+N-Kza)mAX!tZOWVY&@N*sJH^VYy)2yJy3Azo;B@e9sBA|x5(=1U>u2vv!3`8ZWT+&=f;-jo&N2{D|~R54QT!f#6d#>g|am8=zB^ z*JV~#$(%V^D8lPI4(Ycxd<5R=N0iNQQC_BtCzSeL5Kl5xv!ht?JwSXKv6z9 zVYiX=GO&(m7@-vlb?%cz%*GLXT@bA7P_sjWbG6yPQEwHmt3F&_Pq7~y{R}jCn!Z41 z=dM#s^vn&v*(ABiRr7o(CYpF@xUonPlZ6pbMo;Xt-imXlZemDwW@J+H?theKW=UkFqCda8stHeoF;^El93YnMgm z^E4V@8{H(C`i90;v*P2i4-r`_z4RV8Hey z{(~AP+s><@n3p5)vz8BUhIp~>!)wIc@)$Bfmb%(g#GpSJsYfGt)M9Xy*sT7BtH;Ks zMw6%zsUcV^_@?dB-6G5nTTPHtNJ>{dI}4%=jenAIh2kWyZHcginlS`xP;n-Gte|tY zlA`_*&QFBkCZt38sklcv$T{?_l*NXcLh|;H^1mf39DornX`-)LG}na95#}uI6*E_@d|I+G0wE0B~|xJrFM?r1JB=dUNy- zYlIAD;%=3`t-I!vWRY)MqmQsoztbWCm_JgX`~uxXOPF0S&QcUhCVxvAh_k}X;o3{R zPr*2#F2D?)TlY%;fO-e56Rs{qV6alX#d7qlN;2-ra((4P+BAxu8lg%)U4-z)pVfp& z^ip|CQ~j9@3J>Rp&kxkTe#&5J3#5h}jG(i^Xq65_>bSmsSDy`0EOIaP4!QE0*2Ka$^Yh)ASrGMaNI2~~QU@l8t6qgfTtEGwd6r+0BSoEQ!7jJ=uHjrf^;v%wHl zx4vVCeW9pE9`Kcj=Tj4mBR zl^Bv`K0#1R=Id9dJ$Mh+M5k@ncW| z>$MT5JE2+PAE6Ex>s{VAQ(w_9K;A&GG+QhZRWb)e;gP2}>0G8*tlNh!uLMnOrAGmLkRaJ@Q2&QM0e5N{GcHql%8nl zo1r<5gdgafdr2x3k_$Ns#QxW8k4MJ?2aQzEBe@Vwj29~` ztBk36OsOV+Ijkda!Gfhd9`9VMjNhwu9C>UC&C(BYkl{58-BH3oKgLSPUY_JO;HebU zrpc%pk6H)=9gJX9aD}0DqVwk0iwu)Qhs`l(__$MX_3xnhHzQL9{O=G5MImDbFGhO$tttVKT1JGXTp-LZKD{(E<%GVnin#hl0T%r%f z|6ZB4bl=e$Yuzwg55f&YxM_k{f~%eRV)1LPm_Es9BfQUn7v#$0-ItpNfLn)ZsiHx< zYpVFLUbs20w+BFe>6bZs5~gq^sdCA>I~L)-`3<|MxRT~&;Vo1B(yg|XqA~OUM6#aN zrbc-cb4Q;?zM1<5{1`k2zAV_E@rv)Nzry^$J*kfL<)qmYqEttVC0%X22op_F$;hYp zzRssOuhNs1F*hH<=`v|hF#?t;hw4h6h#z?(LaX21YHaws`=We*=LWE|^u zA*Omi%wPZh=N1Ry88pYkubbS}QE+9I5D)LPdr>0iCjp)OD0_#Q)vuKlD@b$Z(o@QB zj@9;CArH9;`%5YGIWjj%^&1YO4A+`v9uTZz3JVM0ltCQ9MPT=R!+s2TGP}wH^7?(^ zyCb>!bSTMYugS9<4NjVS7p>^Y$x7ZlZ%*hu?lHuj;wzev1Aa};2=MLej+|ijl-Bsv z-j3*)YVH`N3&`zS4OZ@W@^EZs|C-?obApJOT}lA`WwSg<%wpj*8SsKi3AsRM0!L-! z$Cf3J`ZCv)F9nLgBlF{{1Xk=9=ORVdc+1c1Cb0s>kx-{YrgxyHt)x8n2g{*)oYNNJ^xgSI zNj+582T=H->C2$GL+C@kinUElPrwtJEL(W8nkKaW4fr$Y@gM^%TeHrLxQ~hpc!p@P zSbuRpTj!U1AjiAyb|*qAc?9Qik@l`GX-|cBeC^AFXG@023TF3$OovF%_*SHvF7mob zAWT;QU3s5Bg72zmM7Iy#^E7>FTvzEm=~Un1WOW}QJSaS?WY*N3*H<;_4Ur^2xSg#+ z_{>kYXY3VUU0Hd|CE%^}ex(6MxV$%DGqd&? z@wcQ4A+i>DG}OQf7MR%2zyPjrl3=R2N))BUe!j!0gat6I@h;t@XaN}CLZ)D7q8U6m z^ksAHGyXPN`#x=xgZa>N7iuXVA-y#x+vGrEEV01bG?wm#dP`LDR1897DQ{NI-8zK?B%Y`XKa=*Ah zGYiGRx`3@yR|zm5CI_qRucv=1MkSUVujB#dm&5!tWS5%oHhh{&I(ifE{Kc;Sdb?-8 zs>%Wo={-uWSf2P4{u#6{hwO{(cN`QxFnm?CE1fqGQTv+YbJ09zq<7IaGa*c)j*!Mv zmhQc0zR|a$_%iuC{s{WpT-*8!Q17>G)pAe!nYx0jkFGqyr=xELEpLtn&KUw^2Sq7k zC$wXN!ve{}Yg|gf{rN=rbUpbtQ^*L?I>|3^NA*H$m@`WyBg;-xV>1YUTsAVJ1pD$k za{sc;K5d&IZqoX={{6mgWR3q-{4I;lY7UJEj2P>)m=`Q z&a_bSscZcS6zeho+B%hvKrb~EI}p=iUb?ewKo@9MHOFhHmi zY+p72c5Z(onqpe&QNa}PRT`-$qDoAY3^J}hFHc{-KwIT*eCCs%;_qXI=^$(Io)>1$ zL7r)Vo?Tk&-@g`_icrA>)9Nm20?rGfr1mYO!WK>#C~Y-=AK%bRYc_tyPftJP9(Ope zRX=Q8S7m}en*3tl-g}R>2#4hLZOL7e43rmZFAxhucQ^>32F1)+=76OeYgTa}ywU}K zc(v3)$dTg2XA4;RFz3Up0MI-o@~x3P`_n{g%FQ;d{*c~j4%{UPi1^j)3{sN=dJ;Wf7O9Jx$2nt{PWytPo@2Whg@$IMIin8E$JjP~!%= zO6HBsrkbPTLW&izkah?>!-L@dZG5XM4{)d3Y_ml1G<`Xrj7W>jrxwZicWr~kUlujwVr}#bY?%IZm>=phd4}MJt4)~3!^ef zpuyo~2dMMFvNsk+e*Uw{nH9a;As?Yw8E>L2^a>hG^vh8OldmqL+HQ(Z^J=$VlX1~p z8+|yZvbjr@le#a6NO}24A6H&e-Sa?}KW=)0{sEp5zzmcRJY@ zvjGHB>|5c6@LX_4IuRn%H@&GSv(kt;2-{kp40|$WvG6NAFo4-=YaDz8EDy}m@zfA@ zDx$iFqrbGWXE!_+o!ljk{$4tjxZV_epVuG+zzyQ3Zrwzc0OXJ^9@{gefDsldt}=d- zWexC^Gc8C0IO0l3fHD_1M_hY%y2{Y?@H}+h-wjGUBJ{A27DNIq%M4g_XLH9oMHb zT7xS>_HJK!&4iHpoDnnYGTpo8sKdJ>*qTv<1S9geym(A&V+^6fQ)4!$|_dvlH zRds4|uPIH|cW$(jeSeBsn(SZAC9a0AZk`9A;^tdp0E;@Sw$~h@t}M2NN9m{M1c=d} zG(480X#1(k&g5KA;+8rqvHS(Ky+m`$;#s}P4Z;}yU|PV=W~d$_3nOC8ZbY7IxdT`TOXvYqM|z|L$b{Ue zl8nS4WubN3ThoxcaPK>)N=GzcLqcAPD3btYnZ<%uC<52ji{H~V-?Wi_vi5%UxDH2A zsMSVM+z9kQylDLce0@EUd?HoCM9VADEh6z13^K_Ivp9mbgbpwdSKNcK;HOej*K5oD zRFTC~K&19v6+L0s3*g{HMEK-9W3{LXoZQH-%ikLGZMuN9LqggNs$*5G%ae4F*xLZa zuL5=oAjMzFQWw5wy4OHn>0M^p)*D^AgIcK@9X`~FE*hl+voqof#3V#CG}6+52*0~^ zKiVrtmyWU9MnESZ&)lJKrxY(cd>PK0JL;$@@a|lWF+E}xj`GmueKth=Ns5#Bsz-j%HAE9v1NJ?Oo#?aam zX~{7m;zJyPlXtfuSsrefciO0t>@hC4G4RNd?bWq;=q~0|@hs;S$sO7SfH!+K^&nVu z%29xp8tZYG_j&vRAH)a>OC)&L-LOU_og~9ALwyMP+ToRKYFUdKYQ7Fv$*UoIr1+L- z4lsRNF-@_L}}vPWxhr))eiEgdu=HR>j>g^ZOF!0A{dn4S$msRk-iNA@-6B=^Tn@jEJv>=6@{wmn!#cz%EFK+guE1)RE&BwXUGs^DElTg z>Gws8`-q1_`VWwTuR9{Tg>~ zl6JV)b>Y+B0_Virkqc#garrVQ``U7e+hYu562R=_eMqt9u)@&Al>n3A5paP1kwq6w zq1*w+i5&&?5rr@b7WKAphLsRMSw!V^eaNiOdyZX-m1=$?UYKbGKyfH!FlK>L7w9X}+5)X?4r&rC8Z?J;=4*=3djEx@J5 z;Q_-X1eBri4DzzMKAPxLf$$J^rp+fro~Cd0%8qtk231cn0afZyG;cmE8%!arD*(jC zr#nXQju(ZSd*rp|R|a~!(s&WyW9zS{*^%utRk5R1z>rxe3N&0vl~gFM+=^c0ry z5;Y^P7ooW?5NQk0R<2vSQ4{yfcC?W-00c5OmX5$boUe0a zYyzBo`z>7LMqi`;@D;39E76N(xb8)vjuDo56QP;3g*h`LhSt1*4)T4^h9-=V`bshV z2-R}Wl;kokba&;mu#LOi*>?~uWmnUAZW5$_mPa$Nr>H+JJPnh5RZXCGww$B@Z>W(1l zVeku?5>#KeLQzRi+ytF=TsFUhB%mS%3vQTY>DXfK#UmZ{q_K&o=;xDtd7D!gre zCOtv8)FGy_Wd0VN;qw7y7y;ntgDjOE6aYeJ_f=|BpbD_Cug-pP3tdkZ&0^TE~ zN4`%;iFt(kbRD@(ol&R~7SO+IqrEf51rbPcwskxPgmhOaK?(OIPtBmp;ja_6FgWT2 zzwk-)qp6;8{SD&D7nflMi;e)^S=<~obrV*|%SYdSAa_j}pn{BJc&~p|Vm5rD8-!6} zxquF6f#i_4s7NZf9Mi<31b5A(6f_!q2wLmEepL{ibH9<~v@1qx9LtX7WeqaquyPKx zStmonz9o#ytwco&k|Lgeef~{BczotS+UQI9-EFDG{47KjfMu4;fyr!o7P>~>TEW!s zy`4RHK5c=KAG8>J*9X;fQ+yssJCqp7e7a+pNHRg%0^+4GhJOST%_gLavvXle&76A- znoo7N&Ye;LfWDGX1p>#4vZ8!Q*-H-3K<$<)&UGE_K;nn65n2gs0`NbN_jNPe_gFsD*gHFid5vU_jwz z*Z>06HYiSYC#5F^=}hs2h0(kabtBCPH^?BcE$~j^>LVTV$qlu4QhuJOD8dk`d07m(jhze3`FqM^4u20Zfg@4U>J<5Fzid;G;c!{=FgR(iaXaUOtY zn@{k@MPTe%XSCP5-(V`XWs!oY#HMjEgho!Hk7|+kREd$>*?U#hhS`8?Q_&inFG}M$1}u z=Qw0w{L0Cm*p!QJKSFD}gw$d}Rb4&6{e4S{Vmh+%84RXRNM!_WBt-!Ct$>lBwSt$1 z50?Y)OkjmP2ONef1Yx&|Q!Yg97WwH6+4WU2r?L>!6pUh|0RV%Sz>D_xLU2af+U{Eq z*FDJ{St(G=tt6}j>i?tdO~aDR-@oBssesDjQi`Am>SWVmXo{AkB3AY*&1$BstVGRm z0VOM>+(s|Gz%-?r8EtIQYO+NO9YY&jf=iPoo3U&Z#SJy5Mp0~0%kBQo|9){F$Ne17 zi|57j(&Ipdi|=xtpY6Oe{Kd=el+s+t3G_Iax{%lo8Rx^{Pn0U}4z`j6{Al|6K)3cx z?F|!K_gjqq6))c?_DD{A!W=&8T3~HRq=H273r_+-&+VA^muva%_oOZf(}WU@>|Uwj zJ!SLW18I3DhS{c(OX6OZSUoBSMNzmU8{=tc3-c~K1ycVlpv}5`^!~tG>ev}HI9)13 z4oYW_{^;~6p8r?*9*Wu9;k2c>C=A_E(wQ22jN^G1=jnMi_%ilRiJ|0$%3}#^Hpz>J zV_l~cxb~+S+|_|DKdgVa@+|S;iN&s^153tL_pv`LhMCS#C#4!%rrNJ*pTae0=rdZ# z$>;+Dhpy zm)YSQt@>eFV6=jK7gq;528Y+`hzeh0WGTI9fTxPy@4yZdSmxsH>|aXUYqPB8Ej|3v zVwfHE@%R7Df?KJ27XRzKiH|yyO3Cl?W0U4NkxWXN)Ni=&)uLr(IhbmPOLaUS$dl&+ z2}j0};_tF}{>PGYIupk_b`y`Vanf6E9V2#t^dx*|yFPV#6nT2Zb?GdqnUnn;7eUnc z*iQJQ#>Qw*d;A#d-9sE-=6hrz<%FDbN@Mcl(IMq81vmeB{UqH_d_qIwF~-oc%rKYT)qQo8HkZ?Ina={F0N3Y!M3hQ1=ElI(fN3kV|?6m$|ZqT zVfMb4>qLhr7BgLR6GzbT28|lTRh@VL$Tny+J2i2?V@AUCs6uVbbYZogY7UHBsK~dh zpiVdX>AmD|ljap!()OU^yl~%hb{o2K{i0bgI{{t#O1XWe=`hgBW@-zX50pQA^&Xvr zQ^g*SJ;@pFd2r*$mP z^;Ht~;iE}br!b}Aa6DWmKDVC*VW>jJ7FgkANxNo@8Pg_CP+uhj3-UJ^bIB&^o1Oq* z__|@SXbIyB4#r-X&G`MjeCHHuMa#?Y1sgfDM;#vl3rV`Ku1hQ8(hrqOi|(Q{Q}K(g zl}m>!Pe1-%oOO#{`(5QfzXiCpemrrOP&2=eG_$n3z)$Q%CB1fUrYgB>$`@x~u6s|% z`JASVCM#lyf__81`oNbZBAr!UdH$4fgi5Y{~hII`_lD!9BPT+WET%%KaXi!ma=t7ud4j*p+L4Q{qsHv3ExX z$TmOMd(HKSZ7ANz(O+07m<=jI-)2AI%~YePPz$;zd2BwbGEzc)+Lb;$bm`Wmf4cG7 zt?ZzU>K?15cj-*HeHJ=g_>X!g?^_3(5a@&;OE)!b9gv$S4!=Fmqrt}9qB(VQ;A z-ia5H4-RLi$)1x*165SJHT^ z^S5W=<|I_()5qT1P!o;Rg;f<-P-4GE8~MCTx; zb4py2A(4aej?6W9QqML7^?UcilFG3GG3vm$C-gf~SiPCr_=uXnMek03s#ukUQhDs2 zhvjcMtOwtD9YQV9O`J&A7RzD=57ZqFx#W*wklzt@hj~eFRKCdha3V|6mfqmcTpPK+ zIU0EoM5>y>(?1-m7*15lz-q$~3MdX=`Mr?!`qz7lACW*FAFs5`P18m2jh zBX}nrUD7uv0UUSkn-0j7_w88s_y0Q4{)`2)-eQ4fdHZrjRUD!_g#URF6WV#TziEgn z>Nz{hg^$>+i5m@6hHQ8|!?n zUIT?IR#g@$&c8$bKu28Ad4fv?m&`Q(D38jx1nf;E(uaz+xy9daUZ9@vt9mzv;5O4_ z3nNF8jQ%C=IoVkXzzP<|w5Z}5r9cs1PUQF_ob`(=c@~Nt3(R{P^5LV>NWjLPHMBwH zi~7=)x3`BYj}I)QXp``pgKs5ZSJNEy{$9#m)Z+d2V_pR*k5DGYz_dEOd5I;vvI;6A zD%c^)xC`%fZ%c(BsN0Y@b`*2x^Waf=veKli#OS!P#yWjrDcd?IWl4#fOUcDGoIr)S z-EVA2^*(r0*}8M_>+bpiPAlDnep!0PK;hhV_DK81^F6{f)G`%gbK=xPu_z`WbMS@P zH%=SZnSoJiCKU(d5*3c~!#{UhQqA{Bm=+OO2hw(0`SEUpu|vc__Z}1Mh7L0*sOjN# z`^8rnH*!L8NdFKk_SN=l7zWYrmit3kW?ViX0gie_w&bUIdYPL|F?9LTeiiRY+wyM! zlwsP-cEN2`^dk;8gh%wdN8CoOlW?2QF8WplQhS|VB}9x6YZ%#$GmgIDNNxxsU zb0ktL{Pvy~Z)t}03j?P!lKw1Nx2NIk(x)$7VcW;ouaA2l{B*@}#V5w|i!lLwC34`M zOQVJLSk)NTGQ47M^NGAD^SM+v?T@IHl`f>NdcaohJu|d%(UnzN7cO4=n;mdEY2XT) z(-3PQwj43MhMZ?(;c)o+?>GIuB=ks5&B|)SXou^M7-Zk32Y&j4_p?yk6>ZC!;Y%9W z^8V?pk6!anoDR*Le&+^a56o?vct9z^G;Q8MlNdUiGQL}$VY_9K#g;HPgOgh4PdEU? z?4N>02kw89HMvw58oYRi1WeDXr~4%jbTj22GCzI!Wr^gTz$r;7YXGg$!_$59WUHTQ({GS+&MjiVMn3hO25$Yhl+sIoxRki0iQ5qM6}*}l_?4z_X;7EIJe4t{ zOBsojQ_6BoN@z77+FKqzI<{2lOW~4r-*{u75N#N6`0}@=7)Oyja7|&`IwWo872f(I zD#o#d8y>*2BTU$2fM*}<;GO@<${ec_eVSMc+Cj}P$o_>qiR&pwX$f8i?!JM?PmW@2 z&2h~e=Z}<81If$zrX4mx*OvQoK7Nb={t~)KrOkg>7;A4^HB2Q zJhby-dc&cCL~+b) zb!r_sSp6-RE{;NW}6|t>B)|1lNdlb%c?M$5eX;%j9EefKOXK*~j(hO8?Q-PQ_E6{Le+35Ou!5N-?(= zoxOX6RC6dp&Dk&v?DAp2x^z6h*`K(74YF{0XV&8455xi|Qt(SuiOVR0V76bwe%@NY zwo#g(7qe^>Y2KX(Y3ZYvW#n&TLUp(NAd`nz0NNUpge?Sq*QH}Y zN$6aT4XhcaMyzwLbQ`?c^KS6^XVld*Pd?WvW`?zfAiU-h=S$RgMsGRZ;*Zavf6i9= zuvs3*+teAlkB&PwW46cAVr%8c7WW+Fr@Z)k&1ZBYYFZfX;Hsfjkzuj5RQB8Om%zBWe)5KLc@BZ6>YEzcp#Tr0N9=w`gWg+D& zb=j&lVPx`3arn>ku;1!I<^4kW;eMghkrLx(pr<3?3tY@gqBh10ibJ40zb_336b3oT zX)2Fh@hmA6CMu3xy^^6=1Gy8nn>d;E`nexnG?XnZ@$d-_pzyxDg* zxT2HKZ^+q=3Y$#(g({4YT*(}2s2YGE{NAZamFZne_fExrGNdbEWBLgs9{Kc}A-T)S`|gLf<>?JB7*Fh1k3?BCZD0L)gkkjM z<2b$2eW`FE)#d6ra9}^7`;%^W+B6fE#?>oZE-3EbvsNGZhMo=oU}5Itr~h#es!rX7 zU@`~W$0R)&PTDZ|)cjZ6)gXmo0MoBj#gM#jMxUvE#)ke2`zR~}-TdD=!V(}tc9=g@91>91ci9Y}=_4`z;RJi2nzAWHR36Jf9kQS66YN)@XT7|w zuUOOhx3?tu^q2DAs{S?@pbmhbS;mm+&L{aIhJFR>;*7$Lp%3k`U z5U67N?hQE%$;@U$x&k7nHkzl$m|O2&6~eCa1)n;d|15t}s|;*VX1xxj?) zXtR)+yQmL7S?*1(H8(G8iBLWzeQxSsTU!+h3>pNZeMHxb0tmkRm#L2qsGotY`iOhT=cb6JY0MYi5HxO*@n`#yOlatUti%1Zd!Zm5x0(R!?ZKnhZ#-Bs7Q{q0T}%gGdSTO*$3Ek zm4RCb7i<$6!|mf!I&^dMX>pEG?@;aHdYes;mKIygnAX~2!nwO|3nu5c1jZMPtX#K| z&a?`tS!V|Q%JEs5zXmV%cukCQugm&1?;Abz*`UR&#VqEDn(il67|?!^D9X(3KS?<* zKg*38NxSI4)GhC}r9E(PvbpwP(d62Z$=c(~Zl_*fk|Ya{EzTDp+5K#gV`Pmn+hnxz z?0y-$`KyIJd5;Y<9pMehtw%r}WU264hmHZUGFh%d)J@@(i0@?s_}3AS{)L=ZH%DyS zu643E&_*`8kqB?k>Arwr<*<(qUF*X~t-WzCh z$H1X=ND|W|))^J1rtZ9!j`Y*iANnI`S7W!#is18uBC`a$_fw2 z6c>^wEs9NFn!{Q|NRJdoe*S&~%9Nl~--cG!tSoIBZK{q-WD)H|N{{6v~ z{{KBV^#2SHb_WAB{!g+XNNE56FIn(^{?-3`vf%#}AiAnF+T<66wy$gU=vI5GFcv5u zeqHXS&=8jH>E%Xyu zx<=;#hj&MvoDa*R)@yZrw|hka)(vwJnq9sR#O4Fy$RJr?-hPv8@`@&T;{IB2U6osv zLbcck6E=gF(-8>|+(R{1vZS%1j=eS@rE5DuP>Fh2HCREv9E@Y5Fww@jSiH3cw^I(v z-FI~}CoI+}ZlH6=>!KBPQI3oDKX}&r%BekG5^l9gp*2UU;-`V@s2SRxJ~hsCWMiQK zRa_5EtJ95poiWDlXUv>)tInqD$WOd)?=$Ezll zM_kD?!#fcS2s0c6USVT2n}Q!%Y3rqy{QiDjGH~P_qW$~{J?`_G_*HhZ8#-I*I5l{) z3!M@lwR(iCFv^&1cq9*va(w^y^w$@0-9mqFbb3H>A_1Mc1(NqrUupH@p|L-(s`%4c zSP$uy$TMDRY86w*pL6AZl&Q4EWE^UTBCD5jbmS0guI=c1H2e^gYZbw+&#thSA+*b! z1_P?lJ@mzJQ)9Ge_ET|3^=V!|`zWxh%z8yO6|!<@wb_m%bpCkkfLDlg4*jWE&ZAZGkUta6R)j<3KLpg0Uf~;Xhsf-OQWv98cEzAeZ^_F#uG0UI5~SU z2e_Gbn1at8BHaL#ecg&azo(bnz!6atq3_`75aEg`aa(?srHl}?kw-fg`>7@mKanda9P6plO zh$=etyr>BIf*V|%^&uk>>>jDc!ct!EJDE*}TM%?1{=H4YjGq4qCeAYS-;m#rGh$xb zSeYei)srx(SyYQsHzfbUQWK*rRF?p`kpE6%)R!y^4%q*R$WzBLqv#d*5C?<4(p@DvVCy{_D%RTyRgcvL&I4NESFc4YnhYf@broS19Qq$9TL7{#+J z1gT%;U+7tF!(X3cVJVKY`$1bg>&-#STv4~TXfF~Y{F7?jw_dR)`V0}!aEsyw&WfH< zbM^fjS_z}&__GQBv-r2-A$xOHw(UxZ%5DambxBv~vKFFt1txSGC=P-1qli=I<@>rs zGTBX><-s|~KheA8{b5b@-fw0EYRQ#0+l@$mIys>i1j|}$A8O2CkWI{ zY`5mTAerv+RSaEeh(j?`?BEBg_{M)aQ0u#$kG^KskIuJB!(3sjt#>lDjhIB7?6Qf^ z1Px}D4YtlT+`xuQm>4WI$&bd5<;iI!K%_ijHy}+0N5?fbe!Ic&6aQUT+~`+gtAt2> z^o9)=O8!lfNOYqkeX{0!HrDBRQSedQ^$Ue)C6-lf+Q&|gHW~6ELj&)SfQ`q3!zxNQ z@w+2B6s4~|ywl$CUhHL^$rQ%zlkgF8A~riCmD#v&)<+}y*z0Mr`We>d8z$gk?XL1a z4WOaekJG+to}?o{?6~Y+v3CHIF{d5WPlb1GYHE89j7d+~YlxLM*3G6+?9cp0oe%$P zdS?}W1(~0|Xx4XH&lRxOosetBFO3Q2P(N!{_%+cN9u>R;)u_FYw1d0)x!I4LQU$UyxY9!((|}%g#7i>=IkLXU!Q!b z+WfPzG!EdHu_vq?{)yqh;^P>b*EIgRXBtE?q1R5GJWj0I_WSeU8C;Z2|21)Q(i`sa z-wUC&sO+rx$p}h_NiA9*TXof+i0uUJl$~yQl*hGgmNLbIeq7*6xl1dqRW_*DXQr9u z)S|K3DT3&31LeNCi>7TW&-)N)x4%~)749UFtaPf;ZZeW4)8)aCJKCj+d#j;nRAp1O z%>#Cm?HN=4KoLiF-uPD(0&!q^#=JL|#GgUcd-C$Ah|Ir^&MJipfn~^hiMa<)vD11r zhE=DD!^@suFvDJ-$rC0lFz(^lI7Kd@JRqU<5z0@0R3lB3$JQ26W6B;UMcJf?MB^+d zpM{Kba)54=s-ek-Wqw+XVs!O*CmQC*0__*cHt>pHz5*J5YV5_U?Ax1jS#o8Px%TJ{~nLMN4^N zA2W}Lzic^bt_=})Z%%U$J&lbveQ}B7T7&gUcrn=Pp*)cxI<=KR7*xk0&(Jpr{$w}g zsO}gpAk!{wTCF*8k>3-*(#;9IMerPFj;9#$u#|MquapgdC?6=~lznYF5|a6J+a?@4 znA#^g>R9)AI3*WBDP%tiLBD66!zN8%5mFFD}Qe#fiMuqy=?ULP5+2nTVP_S z!v+IW+&+;g!#=VA>t<76m&aGf8>$#hsrs0ue^_OcG|7JLBO8IXjuY^KLn5aBk?OF1 z@b#95(5y)5bQ%Q_tgNjy8IN$Bj17>!ga^Cf3Wz)D8K^GPM6hI5s;=i6AGRFTN!uO$ zIqXPLd7?1+GxmEI;ST!r3Ls^o;5(73X6n?+$mnUmJkdi|$9pv7n1hR2|I){y`7QPp z8ooK9gRj{kFE7K?jtDoB;w{+EtEHSUZb3RtosB0-t}nE?+ecH%{k~xjb|4luKl%Hp zYtb8>Ym@%I=y}^L!vEA!OPHYNN4o5;b3?y;^deli&S2LSvzvpRy$B#5@zb&+)iy%E ztIfF|w8g)e31*>gTsczu)H@MXX08qxcdho3CzAZMGi__r{q$T)W=IW3iQRD(3ZtG) zw@35%e&cKDpxog1Y9iPhiF3J^<-&~G#8NFsmF%bct367DYN*JXIWH-UIWW+MtNC>! zUWvvDjsk3Hp5b9OpyWivD!H<^Ra=3g+iD;11UU6D_W#JZJi!+ z@{tY;N*R@5ooVX*w$*?^_5bH((|m>Zfe@A>NNHB2+7Oi5$F2P632Q{Psxbe zVBkAvI;g(+e;FXd;8(Y~W1WJHOl%RpD!O-;0(9y3dsI6)K@SstChRq2GTOt7NAC3Z zblaavoAlgAJ=&WsPZt*^*OReyO=*f@2q~X4W|TBx*#j+|c~)69C(N*N!S}xsa!@^! zqLM^e*P^}sm)h!u0^5Dh&kZ6$ef_i0Bf?B=<4}F(;Io9*!QR)TbF=g8B?RZ$1X2u2 zGkp!VbRs8HtUTxWJ^f0Dm!DJ=oF#}RxDcD*v_ur3j`S z{4#>`P}JM^NN8F~ye-jrXsG4VJCt8$2P@AsL1i}e=F=a$3H1Z4OSU3gUz`X-R;}d zhnrn>pHZa~;8|?2W;)M=~ORDpEPd-q6G-@N-hKN4rvJO5{=o0bQ z+!QSi_E#7nTWz4~O&fr#Vfp6^Og0eO91O#1YJVXq?ML<*3LSPErkv$KyBF!Z3 zHn@G+-~^4^gwdb6;@x0R9r0Shz@axAF!l_UcjDi8ty9CV>-<#F9E-2|h$}V;7LO8y z-WKcL>A|1=mF4g)bVBk?3aVLD?+)^fGg|qn^Z+uRy`~8FMnn5)#A`yWMM9Ei&uEX# za-jfhD5oFnSHSAn1Gx{SQ#&ivbYa>KoJ=RFV`Y>~{Sy9n-&Bv?5${y>EulQ(>d2BQp*Sp*2T-Id{O<7@L1kK?UbU8}w{_$!J9&Cb%JMdx16zhuds;eP} z*R1?=_B@OM(B_}xIVqJ@J}+=)H~ zWt6DnVMT?&e+#M?s0G5+$gWbz!pXqIp2zf*-4E|U71u>#3O}C_;TY_|d}r|{T)Z{) zozs zB8h*4?Zf&I8l8vJ|Av#af3Vawan|YAP_X_er-)S=+U)=){l{#x_^2y(3PtHP8pv3b z{6(tptWzguy$C;GlUY>@Hdh)-lX%f!SE;*dWPS^1#U$6&yu3GF z(XP}Y4D>dvB6u|9}8fPgLUg;>)RTi!xG{0QhD?4;1!kQg5dsoE3% zU?5D<_IZNkPN$1KHW^#vnfANB%azdHjDZSG=@gu5b2t%OD+BSwkL@PgTs7}MHU91Q z3*ym`YjJzB_HDte02!w&Y7(+=#p{ZAd8)NRqM3I_in}N6?j)J3<7ES-s*Q&us2U&_ zp(3|itEQ!sG1Rl2Jypz5k;^*w;`aE0rr3@FL7g|MIOJ`?vK+Z-qT+6oP@7GQO8Q#y z3;fjFxXl-DO*kB7E$u&}Oy0LPHZ8(^s=V;o!8{-VXUjOl_&GMbm0+-&*bD$;p zr5k4*wbN>gJp5xwUy1P0_02z2?Y4YiMP_nk{$g zH*aCs!IqHDAjM^mt}a*w;$j~-xu3+c{aN)w-;7qg{XoR?CWGcPD&hD{sVI z#XZZB#K_fYlFqs2teA7Fh`WH=+ze8*%N}ZhS}(Ynaf>|9^pwv8|@P z5(3^~H`y{SVC&LG=~EDlOPZ{HWAUw2&E?qus4lDabO32&uWJ_ zkn9vD++@Vfh_4@m>UbZt`OF`7QCxP`4!-uBIV0Gz+=`6XgX}?E?uzXP2z}86o!L4E zZ$hr+o993%I*QEufHPizeND=&4M<=RkFN7dPM-(ikXSLcRd#D-Ij7MM37^&0f*0?3 zi6y=HY}bY*x=NKe(bO1Xj z3|uUU)Yi>#CT=KXpzCYIBa#=NGvQ6xeu4fv`YeG^npSx+?Fa1*8c?fcWs~68`=aOi z#aWN3w&dnKSCLGOAfdc^<}gow(x`ligwiQ-R8F3WrYWU81={61t&YcOK+td1oSdM4 ztLOQG%j*wKBmfG?!pL^_KFt@$raDiKn+~=&#L&C=!n0`6KVRs8Xet zt);x;s5*+tLFz(1b@CwgeqR+;EBQ|8go2C^y>?K8GAYsmyrA64y?MX+*Nb*}hfIMM zs@bcld;o$DfhDs>eG6+FAzXY1izyH1Dcs`lAFh}cD8IzOeeJvkG%n}!YLsyd!%r_E zpV`!s(%NT}7f0dIb3mnd${^!0t3)`OLv%Z~h}Zo|O;{%I-W09-CdrsT|mhc7&nOek9U# z-237OT1hhexOPMyg*Cu1^sg}4BHD(c2xR2iOm@E)^eaVMD|s$GuDGWmoXQ+!=;Llq zwppVQ8F7y9x6pTgN#2C2yseRT4iE-X`pO@PWaA8VJuyfc|Me3+SCeu!pW!))rI16Q z82GO0xL_dOmabiZq)%<(V?nG649DVHD|`vYt}(5#rSA{6$#?BQV3@~vYYRob(`FOb z2%2+-Y>r{G?l({AhcwzdTQV$2``HI{a#|5^z3qanB~0= z#_8n^NHqCNHr@|fc8U~155lVjyjvG22qu__^J*#K?_K zLQ&=>FGB(H;t6}TkW--l$wzPb&Jdb7CDmOodk!Vm+IxNC| zolg|?;LKv{^WD(b(26WG$imLheG`5&HlIH`a)ss)gU`t}_xNe3;t2xgnh%;?R zh7pj4PBlaujVFOw8ijbat0E^LtqYqAEE21!yT3AI%tC%6jAKsr z_}>!skuXi2s6@2p2{Guby;btV3{I`hRUI zNNUHR=T$-vf^kh5bnXOd3d@fuyEqtb*{F|alzc#g1yRhp#TO86P`T6^|J^nC6|Rb^ zFC9)F{E`NRG`JZ~HG)YKBlOI6uf*h0?~|cwNW$C@fg~dDk49uJ=nyU(0&Hl1=G$uq z$149#Cc{vS&D0^!ydu?lKC5j-N?MJI@f+F?DK6%EJoN-w07#%WPNSY+yZueBP1kcA z{g@cPK3U7iMgm+`Cz#U?JqOB)Ih?fXn<<`;_D&>d_kP!kqOKA7Pf6RJfeRv7E@yrG z*ne&ndsptcUJ5BLvvD1D=3kyzo70rVt3448q0DgwjDZ_Az7xb!USk{nyaC*zEpoWx zUd>M$d_BNrQWt5X^KUKF)k>JW*FG%(z;Ho8Ug~T?Qif~ z#g|{<=CdlO^C5>UVXeU5D@b6+D9Dx8dB0!B5e)UHy$8?PH5eqegg)l8mlfwU+P|pV zzchUsCDC_V$`Un#0X`7MGuM73$TP6?*IDyJmgY2`ys3zpBxI(~2L|I?#!1q6(ft(l z6prK)jWzJb9dbmFfUUav54 zgx3cUSq7XPmWg}~YT$f6mjYsd?4;vtEMHd=KAYHt>jmYb`=8uRPvS+iqNFPxm$1H8 z^NK@I$u3fcjN-Jx!09>V9eOh5gCO^a6ZnkL)Ni0rPiO7#?6+sXon zXLgD9~a8dvC`CJu3{E0PW)Aop! z)!6KvRh&|Yvmpn@Q#Ag{(Q746{Q{6tBtmRe%r5q`Y}1(haJt zuXSo$fdl8H3kPv%f!Za>)b<6vew;CI;+jFf9pd08*%_f0AS}tg^PgBXy`FN1L*pd% zt|N~yHn;<{BXp>7Q{KsR0-T8~;X)V%Nw`_}fMQq0GjcHls+>6h)5@VjeBs{pjo7tk zxn>a~*3TOHd!2ta2zuC$gi#X`2LPBnq{vMEUwVfE4mO2S9s8@!_gFalGv+ zSby2`bT+#iNc9B_tn=d}>s~kWf%j;UJaMvRHn6=oTJv9oW3ft6DYLo0V@4FQ)wk7Z zf*4#qJoHpo%Yl(*qCUQV(bhZTjfUC+TjEB4_iNxld$AyEYl47Hv`N4> zM<*aw*v)x~`3_iryl06fMC>vHHoDgxdYKY$jv;!O2uTJFDYqqO(B8r0-U>JKw?8(R z*Lh$AV-$OXk;sYJgnvLzOf^pTclM)dvM~C1{lN;{;JN>_K>YZKnF-K6d9ZYgbEc8T z)o%_D&?-|tPPV7dt`mgSYOk{QI$5aqMSV%KIWssjUXH3ekJ5e_+87kBdJ-dvuV-Gb zaNFG~o#YKKiC#!!nAglHYW`;dD9mx&Y5f6P3{X(JpahvqvpN6N$xPpJk*;DeJq!98 z@o#=-9iz1(FKe5IEi6hoswZud->-OiSga9f=Di1;gvh`LJUe90#oMvaOnb=|=BR7t zy*AgKAXJZY`p)7BxrS9GCmi;(9;hE6##4yj#2nlkXv^mYu6?O^FeQp2;Pi)d)#$80 zHJU)jOY8{UNa5(|hwSsepLUi(->0>#Jsou$T0T;j7E74G>YfqDhOQz41ay z1REl3doUle2f?YC}i3c(jU*bs^GkuWW#1rTQ*VCOar9h{ur~J0;cV+{nRkdo-t|sc6+Y zuH1^f#%KYNFhkFxM+rmho;pbo#`6np6?^@BAZ3(9yO;9L6ZGYUQI5H&et2sh&TIq2 z9tTM|VDifV&HlBVW_MM=VJHoH69!z*q?ZB%zL(MAZJGR7Hx<}Go~pgjbKz6RHC zUfgEDrgnR!n%f7a3_NZ^K0AIiI}xK$XoLa#@~rV2me6tba0PWz!3dwFXJ%o2klpz3 z#oR9ZEOPiwKV{xu@D$+`AQ4-ylw+H*E>?@WA!GpSLdL6(>%Eg*Qqrx=_yhO#f&nur zLb%~=rT{)NV5vBZz|u>FWS}p2YZirhq7C(aO1Tfpe2)vXrV@k@UX!WzFzm*5ROunO z`0~|3-QoaXy^m|H)S*wkW9X^&r1!Xjfz#)d`;SSU&nDlDQZc66AK;;scU8a>c5ZX7 z@k?A2>LZw>A&qZ@xL)H41Gk+v+7&0)zRbcI?6noBt|&Q8B1l(7Sj2j{;V9d2efj?) zujLI$qppcnCpZGP^kn1m;m|MmFKBi6T}f2(QLS3Clls@Kq$?YDspxSk;iz$~_*4xc zZZT6vAqHB~5pno)XkVfx-{YW_uy58Y2Id)@%vMLVt$?{mc++oKD2%y^Jopaog4Og( zKbs}svdIydl5TqQdeNgK`}?d~{#c?7JWR25A2NLuNS+P<6&{N&LUkttjLqPN#aQ79 zf>e`M1S!h7@L!VW*|#aDQFl1Gq>S1X_I~<#Xj?Id@&074q3jqAWeZ=V|1}=^(ndQ7 z4wN-0yAezk?JVd^=g9=Uka-3$?M&OfjBhun1|w9_8R!NykWRd(BdPQpaTG?G;4gQ) z>|%&+3)gofzV$XsY|amlPrO@JO+HK2^TW$}TZ97KE^E+tP_w4j*IkHqmF)c;MIpEw zIX)3`g&ttK)NCr4PGmq6IYNO9+}5I0u4jdJ9oU+LfaHwoqc{{L7!do4cE7%+3vDqo zXWAVDoe5JYt+|l0FMrG7nUVv76+WGdZ}U8Hd5-63Rm_lQU4AoEwoWVzJCH!aynw-4 zg8MK$Gi68@x<1vND6pEhWeoPY1w-a0SQnf~@vi^obGbk@V()r*o65~Fnsu8=nL>G{ zXAU--2_@4+mHRthEj&WM{U7~7bOFU~jxX@jyUf61#>qt4`y+>s6$S}J<}9&Lk+9+U6rD0dSDKWER6*jy5j;(Y&nA^{~Fnm+{7zy=f7@> z51%0Jw`T-7nCsQy*?F!S<0_=r(?~1jY(nL!OJj(=WVOIHz}(Lq57KRQ4dCk2mWD%r z7AI(=XRwbIlIQQ&0bd5Y*|YH@e(>`PkOa0zpnpw|C!4(`gZAwYcAUz?s~-tngoG>v zbHzhE8dt&T&T2~`eB3tfX4g#YtJv%}G4EhO%|ve&6TOXZs}3ks8#JjKSSqCmPYfW- zBc)}fOFaMTS-`Kv!e%!aGkJ+irI9!Tll8StGBv0k%&A=xO#)#tgr9C#>yii}VJlvX z#~W>#tU^xjI*$VZvFbR2^fmn=(b>X!KS}axTZ_pw4&-wzl-?qaap9U?2=A>=hFFsv z>|2_YX+`;$EjSLZU{Y|CL3E)I*i|pif{I25g@i?Y|6HP4?lO`@QRM6|{d^hav_6Fx zt^&-`cJP6(+Tf_~2InN#<+S^o9lr;xN-e#$+ZpNqa*EJ*(-5X?Or$!o!--W~n9MvtfbN@lZ#UV4A6d92v+4JOupKv`9L1w1)gWW`QmJ+>?C+pjU zrAgaR)DdFZ+~k#BPcG#PvH}S zTx&%(Njlh4IcCi)L{0EiG0 zsp~j{2;D|Z6ne38fy8mc8Cee`pI+gb9?^c{xLQAVdy9Fjs5FE3@>ii`2DZVrSrBQE zjC{&})CrT4x@BSV#wkr;wry4=Oi@e>SdB%J(HcIaG1-K4lfHX8fdI?2A{V?K78TE^IL5%HcCEJ^XbfC_gXM zx#Q^ZaJ46t$PvS9j&ZWGzS<$!(1a${qV&7GBv<_O&N(I<5RQrZV4flu`~9oSnDO1$ z#N|2>zTQJ2VOp)fh?B~3JpGr+k)#-61oB_)R7wj#P`*A)FhS~>kGu1b`mM0jL9GZp z|37e&_#{&XI}T-^O~!?|QroqK<5umy7#jn{6?Yqq&t)273Toxwg~^`#kq-t@8^eYr zJp1o*0Qu|WB4=+#zxO^y3{_a(!I-d(UYlW0?>+(7#hxb)vs!gUy!V* zjT@Fwveh2B#=mDuCuu(_N)fwO%J6hO$>=&R*7(re9LV!Psb zusy~Nd&)i{vnwOVPvt#;V#zF>hBQDz@y8!GP%k+8w6u>zs%Hd-M8A{gjf|o3K@i z<#vtpTL$0iAAa`^RjTg5u8tywc|ChEVGIAVQt5WF#rjMmw z1Hu#}+PbK~Afk_kHF<`*XpeQpekIjxzI_2R%i+@hGXEsEHbu0syIG9yZE|9x6{XEP zebx`;$7Ex-U3sg%5IuqXdu(4t<5#8AGc=%B%U!=>xzxEjfv$s&@Sw_~NYQ{INN3={ z4!y7zOWaX-0c0a($_UtXn^0xL1XZ}u+E3$HTxBR;8dU}_K_C57E8A0 z_kIS|_k7R!{XU=nb$x!<@4Eh9=ejzxJagaodwadN=eh3^?7EoJ4{4$pPXl)TTj5T! z2Tq`LDm&h)(!TU-Tp}T*gk=t%O#&hh?EnJ176SJCRQNni4Q9$)aY&CQ$G9 z7OU#Hbg|BT)(&G7v`@AK!U5(9w`(+H7c0i85#F9?!Ulc=Ph z+b2V5R?rtR5XkL?=TFU61PU4?G)7H{2VqNs*r~er!?^RbO`P9 z-i%(ILI2!<(p#7Y6YlBD;a{b@;)m<*a%=fN{U&?A>W{XJvO| zsX4J;WHC9uGO-mp74aiDXg;(7{63CJcyUCr_0EBc=esDErT<*b5W2F;R8ZFSEH#z{ zq4>>8vc2;~%;|y?aQyD&oCU%fcS@;EG*89BUd9^O{J!yg-`+#J{}5(K#lA8*t~`*# zo@j#STV<^F(=r!#?k)6Eco1`{NMr=Caf2X9g>qlqw#oOn891h7>Xpwd`IsF4iPwd0 zI`OiDQMn_Z;yVl^oJzjLeN4Wlg9b-C9CDz8*Q zuN&4=@5w%d4UjP-0>_SGNOx53Rw^YQ$y9T`Ubb1~+^|DJ|3C;fXza4Q`*U&6;ZnOq z*Du)b?E_*d3A@Kr5783hNwKN46){SpagrxuSK|iyaZD^kr`!2f(Hiw1`mx1_-@nL^ zUwBDeXJv$Z>G-0#cU1IP9=1Ac;l5(!f{PpdeeYdz9v=TH=_eu8DZ{LMR&ducTPijbjYsKAJQ(<+g_3#Tr(eRuWzO4DN&o zXk+E>WEYOxMpf!KYqj}Ys;1>_5Y)+tdH8m5ywS-}DzQdxaYO(63RZ0z?TzS>D{{ex zl$AbajWI)n=iijE4z$6ivX0RNOBd7H`z5-&h=mu5Vk%f8r}m~vORH%wMy&CK(8Z=~ z@N|b3`7hG8=1?% z^K7_M=b8Va%0l;xO@3yYClX%vwXVVq!bzrbPQD4g2Lkige88Q+SdQjbdN?uOMG{M} zWdfoWdNh`7nC>I_7dT`-Wy|A~v9~3i zdzy&WqNdi^qoP%+Pn=5I%ixHgLcIu+!EFYj?oE{|Up^2mSBb}l>V*cQ)i`_csG~SH zt#%jr)VGfl{^;{z-HUPhWHhph&z8hrxv5jmV?Itb(CzYgk$Y9fx4wjTEkjG$q%*-m zw4)NnqFSP1t|W(+MC;wXhDxKsP2-}LcD}5N1dc(Bi+p|?W1w&~o7I-;T%+rIehJ&p zNetN-*{aNvS7y^%)r|75tjVsAQPO3EzscrQL9EbSC)v2lHwJ~ti?#MN~Cy@ z&q!0kOC{&9+;O3&eLG~*eTzsvMMZ$xZr12Qe4#EEbCI2@w7pwls)P-)wf%0;O6B)j_;RFJ66I| zjYmW(Q7R8@b6+bHrgJQ_n0WH)e;>LYwU@S>Q&y+zFBj;L%KZr zu3WRu3Y%4G^NI4oO?7+M@I4IGX>A&OExk8jhv+jm+MSqp4B^HtV!Nf;aHe^QsB?v# zTE`k|YIXzMka55COE2^;%{G{ru(<-_E^BP;!tdh03d%;R4Vw)5r_JBgGU(OFWqS}| zKN~^C{0c3s7KSRe#FP(cYe5^Ud+@0TO3;w8KI2jSH?jhPwd-de@g-( zPZS$Jl)ct(8G2aq|;O^UZQ#4ZPch_jf#5GB}~aO4d@- z_EYLBWr;l7lpDf$R0_Aoh3sc@d}!swT>8VcOqB>(UpB#F+}0bLMa+Fkz1F)+fplj+ zEBB88Pa;@L|Y` zHqh}$ocra(z6*y2ek?9mb~6jy)nO)?n3(Is=@eP~qU;^vg|CFCyekuTRQBkR1kb1C zF}V$1F@ph@;KbFi4+53?bNMz4965Km?21MPH%sY@K#@vTa%dzg3vNg;sbTr};LR;q zZL|-#^jm{PxlR7&i$!$~QCdswLc;4t=ATk-@@u+_Z4OyG-*>xMLH_5qhtUB@J}w__ zRPSgAFC8972H6S*0Zj!yl*I8Vvt@!Iqgo_xmN=1}J9?xNK+>Ap-vf;VT ziEM#8EUY;*i;}P5I9Q{YmG*(5DL1F_+K}uLm#C*?JS#CXM1UperZv~GG)wV{@Ojx0 zYKF?W@1hA_?fnXCLpO8bFrR+KVI2ilSX_!yrA)BW<;6JBB8cS;OBNmtVJbMq+y@KK z^(Tbp>Pz#J%oVU?0Q5 z7$Zk9G1AKU;%-`({6pX_8lTlGFIR??rhkzOIYg;;BlbQBqs6FbTu70k-f*q;G}xY? z`q}kl#P|X^KV2~~`F^TfNUuhAmeSW_Tw-0Coe--|g&~w0tjKz_jQfUFXWm};`H|KN z@jpwCT`WC{6_=OOTWaBf=uGHzb|zAbMv9|a_c!BBO}89v|7c>Uu(~BKme6b#gKJOl zPiVR-=Exv4j`R`U9(yr3I0APY5Zko(JQ;MBJ>{Xc|M&yG1(Icp{bDzEWa;^1;RfrP zI)SLUM{%hY4gTC1!fRTk$LbQo+W-*lDlaRu1myvgmij|cL(rKMjJ z{lsvxCJokNIB^=>oloI%+*@_z_}DG6omy?!To;^Mj1S9?${Z@lJrElbY&o#4CF#jv zL#2$EgtTV+7d$RJhF_8YeP1iJJLbjNDDDN5wU4if2R9tBp1)veNVx)Ioq#!Mw@l=# zQ%QPExp~hnF!I&I3UVty47swXnU(88sfAX#6V%B{vRHBzLw37}OD|p}&$wUG+G29D zgL-8^G$VjU`0%`ZwP=I6Y^6KglD*pZ_QlEDXJWXQ^^R-pHEC{pWEvAAQ*1K$I`SK? zdi(R$3>?20Ex*@@&pzQ#T4T}0h_2OsO^t)xBZ*C6+&F4Mn7^O0L`&|w68|>;@RIhg zOocG4gWs3=VlB<9GxpO)Xu2x*n?tEw#xlI`eOKBS6MBpqtpw*X*d(7j>Z#X9Yae76 z3B}v7oDD?x*@nSK2lD#;?&Fpz;zdNoS(1WrmRWeU-I#P7m)p^S^P|Lkd~)0kCmHMA ze*A&Gn~+lF25$W2#|ia&pQX$hv~^6n!cA^S=OY_3e1ve$j1mT0Mq5``;GG-3r1Jrs z693S&T)xt4qFc^~8F}2O>8;>kAQdy7V2CHNu`%Ev@dw$f{FJy4;u>fVF;$8uLI|OS z_{tPff{(pbFdDN`9qP9ocERLFiiy6^PUbkQN#W`jFfPsfNU8B-=w0+$d zjZw2K!<30y7_gfT#4+Ed($D))Slr_k4Ss$3QMt^cBz8d1nsoVyQ6hKbE_N4Tly+P< zl*pDpU@dp5DQ1{W2wfT66tpf(l;l+<#)i$l5^AmysGSNYePDBQ0HgLX*zz>dFi)>bDv;v__;7C`7!0w85ZkMSs)G`L!_W|bQX_1gVvkULpmZyA6#2C>p+L(~H zic0A?>!b;UvxG3R8}i#IQQWlSt46=bS>ty)PMPtQu7QTx^$L2yI~}%o?l5w`I9}&F zW>Qc$7fF0RPnNIZsI;55YEy`@F{i9x-p!NqhmMY-p1vkld!|`>5Pf-%3@X(3p2j|hNscnaX`^9xCQv{hu z^+Kp6k0^fl^h&ZJp)D-cmzCf{N-qF zQIOaa86jrT`#_YTw0`(QDjnDIuyt5gkCEDjWz({YPh$4B$~wcnf`(?kZ&~v4zN5Jl z1~GkKRx}oWibq6AP@XQu#Cj;kPunCDSenCTPTZ zoE3Meuvaf-<|*5mPJM~J`!dJ;DpwxomwCKs;GaPLYZe2QbKomERtD};r zkEtYN4)sCULY3851W#DSD#pK)rd~~qND4h=oqA1cFiy~r z;1eNkbi(1&r z3->2JZq6R8PWe%faEm=1*b6UHeM&Bz;|{|^Y^%~AOIWK}Gw#aSEj(NWxA4k~QsbW+ zYS~o#;NvY?#bmuI?uWFhkx2O(cSL>flN`>|3K%a+A`Hfh!NOz|EUeG#5!gcKm-{vw z4|d{&>Xs?7txXTDj%+$h;y)VfCbug@=Q4vz%zXSboXiRJEJD9%IYe zXQjPQz8vP@-2Y%KRKn9rM~!j^gBxNB4=lFKNnpdBzOG9v7P6j%zU=E)l!&kPPO4x( z2=?;BbH2)lQ<Kh*D>z;j@ctYSodd&T*2?_1xy;?1cl$y#8y_WYp@L%brVwLwwCdG z3Vco~y@5}tI(oy-x!PKYRyvpvB8GM57T+E8DaJ9SMH!mn^nAyLYW-jhzVi4x3v)&d zJ}LIb`C&5>{8Rn6d^GVDP3R_YqiL9xIvXwA#i=(|TPp`q%^rT0z9^t_(`Ie->CRnR z$NfIV^Lq$TUrWL5xtjq@axg`0aK8J47pwwLmcvQU#TQ+DTVgUE+R$$KupCbe7vp>f zYow9K`DIXskKJt+6V6(pZqDjR$!#gzEYZu19PAClGzC8%xf{h|k9^?|3!^YB>wLn* z8p2lfCA1Hp7r2kX#)Ze^4r>|`cj=1Ssq!uBW^dEQa<8}1iVWN2ztTH|O{U5XOZnXY zAUst}cg~i$ye+BHbcsPxo)W|1==_WYB2{ZjnQ-PzLI^w7KoBocE-x{;zo-2l_L)Gp zV&H8pp`%OwWuFQ1%*Td?E4Lg7a3`#^w{h`ucMT$}wA=3z#QVh3m$nDKu%`LKzm~rG zzJ!(A>25)u1nnQYOz8awx0rxg{&|B53M_xKv9a*Jpg?z;uQ2}tWOQJT_}stSXal_z zWq{t#O*VA?ZQ@D9AJmh7P!B8Q@yLp{&zlewxF6VLN)Os+>mF$4?;GIn=k6CoAQ4us zrTYZA2NG7AnOSbxvX&NV?(XXE2G>si-{3*g(*Li_QFf_WSznBfu>GOug=Z zrT%%&Kah@IhmubDNxI&@O}duLtlUYu6s;e`le9<_-g5l|?E+}7JbHrZuI`)Hnf?tM zKv8Dc;IMM74=u?32NTS;OuuyeuMBbU+wbE;K>u+RUb)fTZx84liKzcy2@g%~b9C^L z+A4eL?S`TiR-ek=Y*Tr-E3;{y$AeJzjV2dwx?oyUod~l~WBUQZyk(v)TG@GZPZQ@a zm$nks*sxSwvigd}#uXN8j;{=ze@J3w=z)3jS3at_;QlJ`!{vP*!43~UKDlI7%-{I- z!oF9L<5L4w8wG5zQ-t^1x4+6c>o%nAcuCWe(YE31wOu&{)jbz&?QKI}lnX^Uf6sH? zyEoUPe=_Zh=j5^XHZ?09?|N{C(NnuS!af#<3}$7d@!2d#8`BFU;m??{;>WOgMqYTf5hDSu)V&ncJ-G} zt|wRS&OcP~$JSeycirQ5?YY!a*!AF}Q*-m1vCT~{`W&2kLc^QnQ<~a(Tjknn?-hmo z*&5Q;V=y`;5Myk3=-U}rzROBTZ}~^3h@m0fwuq4KZx`;Zp8EE6<;RH0zLC|M6W{AA zHbf#{2O`hkKk)pt-CE_2M~Au}DQKSO{C?}@hG3r>vB3w;XFNU}*SPiE*Iw7}OP2b{ zl0zHnqZVFGuZmArZY!L+R^Z&7e6K<#@8%+pbB*OmG30xl<+oq;96$Tm64k8S1lEasJ}-PgV@Z zef#u6Sc1B6dyUAlZp*JjUGMzNQ|hiseCR*Z&OJbHOP`xtM1euzT|4p-?!jIgUrRe z>pnWx4Y>y^Ke~38K2D5^UH%4_yo&xh;o#Fl;?zP)&phV6<~<+lwzaO~>vxlACXDZu z@hl89c^uO*U@1b|bSs5&cVj`qFxzO&ScBP<^+{G6mfIzk&pV<|U(&KsZtCxpsY}U7o7bmN_o%=9TNLG%e(@3RK)Tby-ujdaK)E z7rN=AJD>PC9F`$?-e0wO)%`!3Mw2rI-`OMyrY|(OC;D4}IA(dmm6dZ|HkjO8RycWI zR1p_dC9-_g)cl~~RmXfK7k8_cW3xjOj-{$7rCzPxb^fX3TIRKD8$45E&Zyf)FXpO> z`#*Lc!|g>b-jsW{M87KAR^W8z08gW7|9=JBWj}*MnRpO;1PX zzYVpNdQqabZ%6)yP#G|L`D$R}lVi&N|YN zQP!GNzHB3Y;nGE7(TNUAW8U(cN#=ao6eDeGXK*_Fa_|#Um74=cXn~u$r?;UG?UQgd zjVQOCj$hZj3A035b@D`_kn=aa9}zoCg{>+Jg(o#9d7ASG><>3Dg?M+?G4c^;e$^M%73$9$mbuneW=1T+D1fe#`!Y^}~aobHg@7(LQV_x05pE9&>Ga zWpypsKJJm&P29pify~`|Qwd>f#NI227$r;XS2y?&72Iq>b}VY}_a&`4 zN+vQ6Y_~owDLHbKOT*l^xOIEQR>#eR$>KRS$>i7UJY2%D*Zf*d@n+RJ`!{M_sy5g1 zCMQoVx?)?uDfSP)RrEkNi5(Y)unQlhNWXuz(=bcQdFj_}!Isax#Lh~=5r-Ct52O>Q)5$ie2wc%=>)sAgCDT1dh}1iW#x%dl|jEV9FN>=q}TPT z=33X?KL3*F`_)`XXp2EYmc20Xs(Ns??216uHw_DGGlE2Q`v=!7f5)e7uUoM~{&UcX z^WFXH4$Cz3sq`ylD1S$v-q%oe}ge!Rk6RM$>GxZLJOz# zl5HQ39$D(}=59vixrrzJwg#*23yZ5m%zDwzqri z-W+PS>a@G9+j`^FIoL(!OF{<2OUg$M2)ik%`I#P48MC!qtR9*LlZ^@-6m*VX|*>CrpJHA`9TV_DC1AC5QEt}xKL+7uZ4 zZ$_S(Mw>{|)SsS&tgpwL@5jhmzl@AV!8+)GB$z=9QVmVm*-u}GG(GanGI{)%B|wWp z(bR^8!t%*_dYU@qU)HSs%g9<3vOc;Spe9L&sH+F*+U$$}&W)KhQ;1qT7Qo0_Bz@i_ zKh{kCb!2^Xi7=qnj~9Ac+7#{o#*H5=py-e^c}s?oiDVunKSrkfGBR10Od+B2A?v^& zDnT-^M^9&ZWK=6rWq|)+xM^`}Q}i_{(|jh8G_~Nv9|P*Z_(Q9CD?@qm*B3lF{-Pm2xvix&dodXl9KGbnvLOloG4fB9 z`=NW&FL)#W!tI~-LImTQ=C+oumZsjXYeq9%-q3#oNHG0O5rL>r`jJbblIFqGObubB zEz}Ey;ordN#1}=Tm7Cr7`R@;Ob>ByT<-+ywk(Ij}oo4DE>VW=Uj|>c*Mkz@2%>4a= z;K%zMNIbOL3?FUw4}x@&pie|mZg!hY-cTq)!qm2b{;qcJK@KZz&DT!no08QF~R?y0sH-HqNNi%Q2xb@L>@h$^<)aM~A`WrAIK#ZAhKTu%YJ&R(B$#RcL*P5?n+%7UCdfS zHLZr`JQ{hi^g>ih+=k>0wmWxi+-1FXCzWmKV77JZ!saq#|w>`P~g&M97g;4-uzLFKr@#01_HAJNztvEhr$*K-M?Q_9Zb zozBUBbe2}#D1V|>S-GNe6cJ;>dU&Wy zdu-b#EuHG4VS6cRO~O`-*VU)2^oXY%Z`zjM*xO+$=ALmvU=2ev!j@#c%&Vhwf#msw zvzxct)mPVmchD}#%?k|PyMN!v;5T70At_c%Bp3WOEs3~+{p6sqx*Uf zeCkaiANZq&&e>CyeBbl)>FY1f<=8*);(X9>DDZ8&v#&mly)ItKAflu5=7#e*yB-Hm zK7HwcQ#OkD^6l+cnUzlA6W_kSsP}J+oS2yZMP?zN_CitTq4R`lr;RICRITVGM3S_A zXGjU&&0U7SE2NLPyI5Lyxf~@hR?liz;b%g|-M!TUudiK++vT!M9k+G$kw=(exxGr> zsxS5goK%_E9~TvKK|=OG)e+HQ(URteWNG4wj!lNg)_q>0RB<&_>g10%3;DkyB|>x5 zDBmLf4oYUraR8V<%^B6ke^0}~c4n)Q6fmh-71ttb6aRx%Ic?rt|5%tK{iQIco@y*W zaD#L9{^#$1IPjn1z!UyCCVyvWv&CN>lI~AK`}fPg;2mcSP3xzX{Rd49X8C9PLKCB3 z{Kr3$j$Ves$-~X9#-erFwd^U8O3DW)r!XfJUZ-hf< z;y>2v_}@uf>n}Tr9~PW*_-~Y*ciCSE|M#ONi120zhd9Z?oxaC2hybttV}!%l|ETI{ zF8Qm()gQ*4*-|`*Fz@fEpY^HwKMY;#-}k86|L^p9wEp5pfAo2b@0}0cVd42Ae+>!x zJolp2HQG;<7i#4DIjFTnA6%-EFZkw*$-En>N1sbC^%|nxyf3Z1aYz2|8xEPo$+)%;{c!Qafr`uu7t5ovyY|`~*t+js`iYY| zx19>y>!*e_np6$yvIq2vb-z3SN<4gE)aFJ12&7b}$Brf9H37XRR`=UcejVozb* zv5&0*uFtazjuyXpU(mMqqhm;p{Q;-_FDIiKA5y&Kl@ER5u1ivCD(w3FGTYZ7*zj|w zok57vWYxZ~Rl~+eSzL-^PEbkX*PR1X^;ZR4C;9x9Rvqf>3&ET$8E+j|PSzXxJh=Gs zrK#Skk5@kyPjvVAzj7)J?HX5(nCODm&t%`1+BRhLYfcIBeWb+uOCi6rOpQ$NuJ3OO zeZ*o~PAxyVA-C`B%E}PEkJ~$?wqW)-Iqf#$IX^-^P$JRF0Crg)!G&?JFrY@wNkUFj!vxv(UQj=NVWA@ud zx%a0%*A|+1%(rlPuok;kDd+?L83l9NJk{n?Zi_r$F^d*>t9DtGZFbg?{Nu=0;Rm}Y z8vMK2?u!$X)V&hEtNdxX{=CA4xzEqFAJKfux#WJ>YVYCA)fIYs&mE4}%UN*rG4tEg zqxUe!kM8^SY;5WA{X=Tex+}@5^XVgm?Dv)?mU8&6n<`SPE{;Ve4GEU2bsRU|vZ#D4 zIaJba-XFQo?}R1P&3&IJTZUQ0ceB`a&f-_QI&SPV|Lx&Uq$=p<^@7!SeQ$YE7lk`vly~JS>*56q))<*} z`LuQD$rnk)?l8G!*V?@);o_X%mK~UT^jcI+{rgl?g*8;%d#iX$+RG)q4Hup6Ooe7^ z3ctGhEm%A#(}@~!s`npjR?V|pFn2w1(fBT*di~qy)YjqICwA&E zh2EFxg}qRqtFBDD@IW$KXu%5%=N`jfdcXZ01(}BeoQy9__ zIOc|TruX{GmR;H{gv1kbqEF3TqWS!@jPQviPEYHDs_Yj(>5J%(Zm8JWg+2OSuHj}) zol}m2PulA$wN(y=x2)Z)j10KZL6R9K`Cr{Q^Yo$SGtyky-!VHc)VQy|oU>o+{L0XU zL4hld)up%lT1=d{9dPOtjkab&UL*I|+4;m(0`m$gIz;IYHIC$9<@fJDyzb=9O)(n| z9dd8RI~&_)J+vu*?i{CMZlwQa={eRj>K1j%5aX`kdHbe2Pr~(5Oit+M)n<9SEjVYR zf5wB9QTMT7(tN&AI^roNdVsdXl^d(w!2dxqTF1#@Y{VhGV0n_FiLS$yl&ZPc)<4;M zQm5;|P7kg2_T==tvC9`MSVPTna-v-`TYJ>%_eb=`EH#SeiS7q;x5*?$)YOfqEOJjT z=zYomaF@6W@mc;S_Nh&8EP4!ydEUl@f$>Z~qO4Qzg-;S|J@^AhZ%Xo=aq+a}cWP>X z-&s&0|MXO~C&QN<)IT@(%DubBSdp?rSeX+>zndmaK8y1hA$aJ#e;+85w&*44z>`aI zdyLOkY_F?w)F$|DPE|XkWt^$D6uQ<({~+mSym$BU zbKG5)6C|g=tpUtMhbEM!1}B0(J`j)dql7hFp36EXxl4*8wNLGiTGYW0CMvgTa3t#x zih^WUcvrbZ{ArW8ZyrjED!!Ad%#RC~5luDLd2Q7*eEyuXe}Nr#ce!c*@5Z)KI}V%> z8XF=gzj{s%%d;_wZt_$ScPC{j1gx2}L49M!@nNx}*VofsKCYK368ubZdSG$BW_Z6^ z=T_J_2~3(jl*p040-ic4$edhE!!;g#H2BYsBHGl=B2TY4y2(@GQhMxE z;kwrwWY4Cxv+j{I4xWlSqw3%H;>{dg3z?@ivO8`@Y`#L?rc^7n_j=g19I?u?>&fTp zLKm!^pRy}yrPb4=nkUr_=4RG?P?7mG)qA{6`@3dc?-8kwYPs2azCRr?)!+EOK=|R! zcQR)ep7oN6TrzBzB5W1sA-jNj;+vStb6Isvi?O8Q5x=@Ea^{F3pYNGmdK}-E=HX44 z!*Q}ZOwTB9xh3Q%nD%Nx?K1N4`U*Q^%giekOP1DEt+Kpv zaeMN+uabG&*ghgrWXg5!o8|q79&(lE&pUSbnRd3!So@0i*uqL;v&#@93tW!;P+b5|`f@h@uOw6l$8K}0K@$!@5A1d`nI?XA{9jd#ZB^@ML z^4}pfn52rz3*4AjeM9Btmdul`)ow!=OzS3@b&mOIpAR`ppU&UCIYs>3EfsVA_M8ge z!s_a%Oo1eRn&t724BC;1yLp{=)?InTOkC?P)@%3Wk)N;DGe6Bu&t7yVzmACAgeROn zrZN8n-ls78aZ-ZWVu_J`l&+Io^gSaoHTLeIg++ZTeA=__s96-k_vW4}r#1TS=DiiF z0S%d?6?YF@+gQ_MIrLJoXmIsvsbtN+3;JgBJ1uSE&-;6{W>X&s_h*|#P!WMp^51R} zK|DB9YW`PRN>Fk9QJDT~rTa(i8w%62W6d-IW*b82+u5S`>}NlU-m{hIz0d~In(ZuT zK~qE<(xTZ<{;@4WBI?eT?6oL*yx!Q4k$-Ix@tRKPfFyKa$R1{2{Nu=EJ&LC8bW;Nw zDw=3V#&-UaG}2uU~5R+W&sqP5wXC?6qg6{l8nY z*ZxZe{y#PQ|KHW@c`CrGuKZH7=V{}N1^$a&ssATy_S%0fwER!a{y$Zz{-1GYIq(mK zYo0EmHuY=GUi;rK<^8PL!!7DFg-ocj{>KWLFv~v{GPVCw%ljwNXT#Z_HGA#9gctup z>H6<#_OQ7B7~%iB(lvT3)2|iWZK;3%ga+?AROmmWcrqG%{Cn8?vuFg2yPEY#C!oB`_@|Q?TJ=JPHY#%l z0cG%j|3@6bL&Vx-&^v&dZ}NFe;FNWv*lQD|`S66Ajvf_`b6H0{g`WE%Oi*VC5)n^h z&ZI$11Xs_1AGfHrs4Gj?bTDc}UqJs%RC{T9tpMAX$e=Q@dDS$5p0R_zuW&3SQ9YB! zoYhSiUE_u(q7vzI6O2wQ>{M12`c!o>fDuY-fBs~U#l9_$jK^uN#V57054d);npdq^|(eL$DTth@DUzp*-7#lnJ3%PBR zB{|tAo7j>5CR0-*MGuEqpH`PJl!hyGn%YabG2HINPsHJ_Cbkc4KaP6xqK8DO&lArn zWU5uE{=)GuTm;GKT}d5gIDo^xBp5PZr+jua8j4xeZ8>gBRy1ZvZhb(9~i?i=qP7^P>Ih$*a%Rg!z9YVvuPtf^Rsa;1_;f~YtnhW(xI zNnuA7AtuK;DWu$-_ZP@#Qqd{woK}e!hmE(+6GGP|MGPJ_V7NWSd5EAem#)=;j6>T4+RmSw)GJVMa(MEFm4IKV}7W~pl6u?W1F}k zJ|HU9-wlU}+Y_zZaz(;Od}xzk$^;34Z3C1IWPBMbDt;I76jz-4eoBAL|( z`(H$bCJ;JIU^{b>l=GNjXQ>bb8JSQ}P>yU1&dg70URPRLIx-#}ZDn9%wY_A#Us(eE zlL_chC9si^a&sqdg{Z{eK%+C^gq>|BgI;$r7h$H$tOB6%B0o;F0nV6dpfZ(5G9wWr zvfim~G#AuTfvmzT15U1+h}x*LgKsVH3yxl;7@JfH55kVuOdKW)j)>)o9^RwCGSTMp zm0lH8!pce!%0vm{{jkx@c_1|AKI|Efl9e3QUekk2Nm3`%8CJ#v2vXmIFb8kZqiw7x zc|-OGL%druhHpjkRxYX{A*!OV?#q1QYd5SSZ1ubXraQn#Tn;SS3S+^ksP+6bBQ zvMi{_6mWG$OO?=5>t^MOjEqDJO=i;5Z?=||7hw%gsOjP~f$YqL=h$Z8>0tlNbeE9( z)}UJXzZv)1G6W?@n&u~>|z4_0SFql#j;MKrw%5G0nVd}&SUR98b)&SPfEKoh99Tjifq`5 zApJ;gO5;dIPisj?8#nzoOd2N1lgO|l@~8&%S(7G_o>XogNwCAlo4f|&O9SztEG~kf z;cX6&#nrmN(6vB29DE^2WQ_O1UJ}#7B(KVWHNu7=HJzYPCET2bjf=xGMb$5*AUVk7 z>Tg4i6h|Wihpl;aeFjA~_4h}4+C&pP@e9Rt*yu$Erj?nV7OaJBEeLd)Z~_GwcCht* ziXJ_q5lg%$0sQWXQO&a&(!6~@iq?fIMN#7(JMktEt8?A zCt8KV%yEL|!GBBbKPnWU#3XF+nuJmZ95KH9E48qln`uZRJspTekRgQHn1eMvNYA7< zW_M+Hu4%Aw_%<|SJtsSbX?IW~g~i^0qea19vMccc(>A(=zY=e6GWeoHfaTkrluq@* zr`Q!eHm)@Q<9^G>)|QM3zQD)U0uD)o`QEpN$r_Q7$lH&zCo>x}v%AuZOD1@ZgveaO zl-;<(eCM-ibkKtVN@vhvW@6AGKnFk2<^aZ)vV(MH?=%*~!lh3(LP&s>y*3S?`h2A~ zg76_dUD<78ZGgAKC?J*~P8@`71$*|8t7&#tWRBG~)KXQ;B=Gbsa}*03I#Dd-X~g$w zEVLHqVPUNs4+~MHN-x6_8G^?3cLiA9aIG^eVeH_4VXQHprWf8w&rB~tkl|av+1m`; zhh7E2m%UONv3{H_9LKFLyops+Rk9+%KI0+Sfo=PeVXo$=8(t4YFAL(qhoC@UPG@fc zKpb%cgm_F=_70v#U2}0mZI?10XFD08`yui;JZDI35<%J~Gul4W1ii%L zs7y_cby<&ugfw#+ZS^+*gWJG!&}Xx* z@1VwI;Yc$v&0t{KA7Bz|BN1dWvggL`p?as1BI`aQ--!PD4wOPdnS?Y$C^|NF$|N06 zh`I_q^8q5AfMy^BMr8dC)3isWcj7RKfW$j-R>svRRXRWw&s37cYNln3nzkzw@44FP zz5`;l8kriHBu^ocQzgg*xhy@m+AAr8o@HQzs;uYE?AyO>Q^f;7P3sZ`yQfkv%9S@2 z_Znv(wTrJpEOG)k=DEyQumf-URaEtz?21!zND_9oG}|6*UuQb#XAB zxXnL3%qL0=LPw_3wj&Q~S3e?fkg1Z$;gq&PjxfWPX-16(WXQdY624PHky)p@@cX<( zADB%Va1T@lZwuCXgg09%cn*;DIdMZR)QcYs&isu$kjD$m@_FXVa%Vei24Dp82_V?H zWMuac5Zi_HAT|d_h6@@aXC)~fn^zXif@leij(+&g8=Y9Q9hDla>j`AAmntwzJ1$vv zBMimFVWL2~sE6N$5({5hv8`ooN~-C%FI}4kL8FnLv5=8;2yw`!ncqNp(;h5B#^lmyft-Mz?%1i5nLq5UB3rS%_!@X9gJ1Ku|2D zEt0)u>RVYx<`4qR{Wi24e>-I=xu&iwNtJlm zE)|UkX9B*bxg4PcGIju^_8>M)1o#d(jKUTGE`Sj)5JbJ{6Ka-m+vCW|NTg(-=f2I< zLjZ+HUeHSuWHCf&SjRpV6xZ_zhSkY4cJfn`DiP4aT7DdM33zdRJ}VHgHNfzGD+SHJT69M zN!FljwFeJFL3!&F$b)$RBOc5HJY<5QfWiPg9D`Rnu%ujSy5wWK`#_EUv~`Fj!IqjR zHf;pCr}!Gz7t%~4Z)|mNYC|R`BO4FqV7K~DO{wDPOx3=v21O{nkV=%%T&+iAfwrB2 z0>p;V%>s-q;)dkrDDJhcAJDL7IB^#DEM`Pb<74Z_LgWP{7qXa{x`H}`IxltPIwOYO2FBuP?lobE8%N_uW1f|RYb+lLTtCjAq+zm8LsH-KV6 zEP(tCi2az$4tD38aEL zgM3jfu;-HTIOIN3OCEqgVW7wfk~CCdRaMeFiK&TJWRqb74hU55eq61KUaa>W1W>^p zbdw17!9=56&*Z4~I^bdf5c9rFt?21PswG zx)LlgDQ9vF$pox5?aJ&yBE2){E$I*GEjc`>-T5OR;u&L|J}+Is`k9=ShrsLI7JT}2 z;*frAIze^9`T-YF6@vuMhrdh|l^h5O2`>3Qol&3`9E2d_#ox-J(b#0#Ffq}oXpAcm zz(Jj8oTQ!tVfEtrE!K(CBy3Y`YSTI;&8?9T^6>0V5%S!7Gx- zi);{E%35Ht+~lC3#zWkz-St9us15Blz2H3`0CeRe^-QdttSNG z5-)d8!?1654)~LYNbaRuo)kf_OM|>A5UK=LL^wL*AfOK@an`z-f&wThub?1^cTI4> zdh_BUo-51fM$>*+L?(yhZfMoVHz0m!5QC=pPJeCvyBqmMvH zkH&mEMO7ej3n+_}*elWKjXJSJ4Sl{u&$}4rDA*PUn8d5w%+E!&5e=nRz^7<+bJ|=` z@_b-Ed+vlh6LFBl;UrMiF@&3u3FPHp5+ z!R_M7Fa#Mu<%k#6NWV-o=uoW{q<7ze$d(tD=%Yz~GngPs=a2f-Pd81&L>&Ptn~@pY z2e38kY6bO;7#Ig@e5?h)4~tVViUdJAjUCKLzm=0Su_iq!yb<9AwbJ4-VtD#ziPk$3 zXhNpXXIl@TfYyV(Ku320>Lo{|qX9NB6BAeFl?Y0S)@D$Lp@+UMhmWc00Ca6$C7shn zoE@NUoT-v=#6|>iYfvB{PbIai5gTsMv5;eB1g25##S5f=<4&gq0Xz^-yP+?7k(Y|0 zq1VQUOq8FX7>;AZ1wdp2&*<4Yy@a7@AVP~&wvyCTNE2%99Hu1ehsF`7$NO!DIQ<9@ z=JHfEh>7+PqQ;_FzT$|Sn*KazLvb<*2B6pjMx?{Nr?6~VyK zI;qdkyZ~HRh9Dkls9^jozmOT@M?-pL>wzMqFx0w#+ApKUKk`n|WkW-gQzbpDOSc-M zIrW_46)M5eEtvpx)A8h*BUno8{gX4bE*<)&(gyR2 z(*)x-s!MAaKo|+Cit0bu9%MX_;gKLExh+oi<9Q{9?O5XtkRh6q#O2j2?g^fTYH+98 ze)XHD(=KqA3(Pu8DhVHkvk6}B~wFA2aO zb6ApPIbOGrobsIzh|n7$!9*D>%`_)N2x-%?uv7HOUC<0$lO*9ynP6nM0C;x%%mjG- zJJiR+ae4qjfN(PoGWD6bw;pxYR$^GmbpcymcQbnWnN$Myox zWnnepO9gc}H3X>}s3j}sP`gW_k&$BZfc1cb6EDDt%~MrLmlVNALIDO`qnTO^VWU8} z1zP@?CXh4jwP5CqK3}bAZtj9b&4t&fLn4u7)rCeT$o1nu@~W1h{*p0Bcu~B#(zhKP zGV+;5#XwqAYk)vv1@cTYrKhJrEU@MXl+;jv4Csk!rXw&W3yOFUZr{bk8&3epqLJ$s zu!^eUZ@u84fUf}U9u?YSyTHp{#|13~2AlnWVV}u8*nXJT^vH zQp*BrEvBm|plln`#c9SR$mG`5#g}fiz@%6^8>{_&k*Jph$5@6|newAEph7y6I;TQW zpC1j4*JGM^Y<)A9esel9M>`oATo9&b@i(+2fEEwHV9p0K1ZS9@3~DrqL?pq5ah&25 z2&hUBuglqE$jB~U^ahK;6c^0ciFmF(c#-*NimyM@h)Q0ZVV}lgaY1d@wvzrFbE2xSmF&z-xdu7?O;e>0q8X;uzVIlRegkBD#b)M~JEXiO8^zixXm^fdT57m4$#bUb{pnY9J4-LZf|5VDm*- zK$#oX5V(nIBl6{E-MPEKI}*W~hCm&f*S|w~npcJc8poZm#LPz&D8!7$##xEnw=x)l zbVnskoSQCbf5OP+2M(Z?vVJ}l6tlh7QwwtuasY#wnNaF@EhGXc?W~>ng2VJwB;zB; zyhpltD~6t98!|GLX4-hW-f@3D!pqP-DL`T)L;-QJCySxV4dpWkkkRHS-iC=s(+@p} zrDl-s8Hkt0w;t3MjejF2es(NYr8D~MrbcPo`b=lg2t<)N&y%b?1SytxX7A!mWCWR@ zLrW?n$b4GbV}z8E(;h_NTA14eJDOrX(uxeq6;7bsDuMGJTybQ!#~PA z)t)FE&j=D9IdyA{i3XdAwZ@l9kO7BDWMmQm7POTtYt3=VJBl5uJUjSWF5Nr~uL$F5VaVygh zNIQ1YM68EwzV*4Ns%i+v(wTJ{}5RgxjC;u0FUjh#G-u_)-Y{Lx7GKrymV=%UC zGYFlCl2WovsjQ_E$}%xyOs7J-l+Z$vs8HD^Gdi@KbCg6%jIw4bzy{`A)d7i7L#`pJK?&WiT?)&$>twAI5=*VE+`P%?OP02ZSbQ#198{5gA*e!m zbTk-9@+k2|O58G<*G_nAIDq9XSRx>g0YuQ?;R^X%k#57!9BL3Ob@P;llCNdhr@gvuuHJ7MP<_jyg-!sK&yEpy|+S@g%E3#!YDknpu<9IW{vH zbRIsUK{85#0+&7v8yi~)PUP3(ub-NPqOW}(6DA3!s20f7_JuVL7v<#x&_RGtae?IQ zD4O{}K>%3sDqZtGggPkG+AiiDF75_rhg_Wib+Em01X$0ZUiW{p;$q4PMIB*jE^ybi z&47z_1K(n;5Rkwj*XQtbRA$&T+*);)P#F#c9uVr(!M-?@!q32BJW}UMY#qD`(tc^T zyk8?cWx|T1w)F*AaUqILRAGfjLdi`-z0w6Oo#U!V1^>MigQo*6*9QCF;3~$C05x(W z(vgT8s4}8hE_edzocaMeUd01$^#Ys&82wqdm^ecnpIU3q1d0OV3ZWIuQSLk;#_)yZIV!M;qoX|MdFj{l^1--4!pHh~ zlTe(Ht!LK<7#F1%is_^E4g;i;(PROS`JGnZ#W{X`FN8>%w)fUOAkvxAjTl7nyfBdqdQPyzX= z)j7UF!mUx8Berf26k183u28pcOG?SmE>VpSKwKP z8@~26A!tIK#c(Ea`L^JO*&Vtds;DPM!(%sK9BxY9bNuxA2h0F&cLy9WiqQsD$IXp6 zcDpY&hbl7Udb+TuO!^OHHYa-908JpQxA$m{cyh6HKK7xuFi4(B-99^dpnJjE8&1u zjhE#H_FQMM01=cxpDYluR5(D`d$&OqSrD7onWI$Zr>4rdjNC|eag$4QlUPrcSR$@d|U0O{aH(xQ>v zAvRpiAB-NMoh_GUwcd8AMW)>V4|z5?u-wPdc7nu$Z4_&%y1n5~R@P`m26Upt z(#YQV@JxV0&rr#$+#hisW~y()O2;MPf;eOGeTgDb1>i`BW{+aTN8iI&V5_SGDW|BC z4d5~NE1^}F!w#jA(2>N_>?k=NDLO*#1$_n@dL@YnIk!jl6s_ zFTie*(rGqyBxQ88pJwb5OV8W;TDQRklipQ$9a21+8&y@jT%v7tm<>Lj9Dk;T2jGftLK8g%%a&;2#^rvF$j)H4?~ocQJO&?Xq#!hkXE}gi3U7uT5bg zSJ*Yw(IDG07*BTv=!I`^dRDOB*GHEkC^2I(X|$~8$~AV9jsA*RIYj$ObFuH~AnY8P zHpoE_dA=$|&;rYW#1mNJKOhz9z05P5-~2htnKS~E!9GbZMWp7sY)8g&2}#f|oH zVDp12v$hDOBVX$-wQ!-ep?c+{C0~DdSCERFiicN3J-cDp0Kf#TcEV!q7FX(#lZZhI z?mEYDT_6BiJ3fI;2-ivvx-@?1unpPuejmtnP}GJ}nLHCjNFG9A(p@;BUiTI#B1XYY znimI%_EA7dDsI^}O@$B*dU@{^Ez)5wm6y$_Sl$vWhk~WWHzkV}WWE@OpzBc00xLq~ zraplDKL^e2X*Ix^tOh)D0e;7QIzKL5Ji~^fZ1l8Hi!}?QLPd}4Q?5M=kHRm4P*{%Y7c-d=g8LB#{!Eo2`^ynd5d+9*XE)*lN)P5fM0yC z#})SgL+qiEdKwvl9=LjO#r3hTE!H*~C(^~?z8p6w zBX?}Uof0Ggju|6ke1$D$;gqYlU2ughMJrg=DUZsCmn^RIb=pbOf%S{e11&c}9fmuY zGz=S!-Sp+sv*6hyLK_$S@8JB=lokngCy|37iNaijO|vM<4z+2@?E)OPRJvuPu%8&^ zTSHzdzxMerp!t3sVl@XTHw!r&Gf!n)+@Y{ehqu6)<*L0O&U+RHiynt_JWBMSh~Gv8 z&Iwdb<5U(OlTHIRw@EM_!j4w}TkWS=^znsc!v4SxW7lCih#bfqYlel(j^R7y00_IK zG$A!etPgfJmMcm_cL24gtAMGG{z$BL8Ycw6^UJ+~$KOI=`Bp7KC=?NgQQCz7GQ_5) z`28$tm>SH9T!x*8rwc{L2Q%PDd_{Pgg-i6>nL@HQ_~%CVuTWG3PV<{w2Z4#YF`-Nq z(ygm*tL}T{hZh4{Aj-JLaVRqNL7#_<)AvkkD2%8Nk7)5%P{ohC4Z5(ct03omlTR6} z8_koT-&wk2Fdcv_0>ER54$TE#9&j)2^I#vbvXCni0a{nU;AwG_WXr1gY023jE@*_X z*5A<1t_wWAxMo!k&KQhbLp=QJdXVy;V6ms*i`qy7btI^?+?Oe;j{yUN7OsGpKkdrF z$3YE9Fe>APfm-^5j8FY)G-HT*2Rd}gGx5*nsknMH*)DbGbk31sr-;C7e}v&--_Z5e zb{a;0{e+sgh$8S{4UI4|5Xs^UXs{BjDg+gZakdH4$^bmm2GgUxnh?SG83ZPlw*>b< z#MckO$i`tW-{GPg`+LT=Rae+AXA**QchUC#;e4M0gz^%lK}rtx(;P}$;!*8_r$8MD z2p1a&EpeUJj;{oikWOk5O7BmYKqpT-K?tc=z^?QRMT&F)e%+O*zN?*+8K(=CM1y5{ zAZ5zZj2Y}80P>Ac4V*8fr1Yc_)oZ4hUob}XT85CYR+I@9oRM;K#5M*PZ-QPOFQ$v%@8m{nfp%`(B8qHkzB5NB)!W+q4T=1xfAwRKV6v!O% z8Un^-6rQ~Nire{?VQ*m+JB802@IZlZ5u<513N7=l9kUOIVZr!4t&AlTU__KN-8FyB zc<322S=42W0}fn_B6^@!iDp&Uz@iA8M{kbPZK%iYdSw$Vv>A2vy`Q5Zdu82 z?82<1-o3@h;qo0uey?>PsnJ5OD#f!KJo=*9?BG@opzkH%q|iFj2+&q`duB=a^AY?L*P9_!z9KRMx`x_-8WW7)dgThrSokz$5k2+nSnugNO1&y4=9)XLDCJ zTJ&1ldwP`wHM#5@Zaqx8pbmABF2=WuXp58xL8XHW>>aCaV*GBwGXa>16^;1JxGQfL zY_%5KKN&2Gn70A_GLgvQNm2w&ML%>bj<-Rgy8iq)Pk>s33@fWV+;!;o+B^aU_~{*l zf)DU=kTtwQWSE5b0&*lq-=J-zL2>5uhHi(>*(rxyY<%xH_|Hm&VkJ^`jOk&t=nQq| zx#|^;&sZz0M#MBODtEIVfdF0r4MZOl5`PhR*I?9$m#iJC#H45WL-gLl@5-4or*R!v zxT0}~?w&Oq_e7#L@*8NSYM4o^h>S3f@Yal{lPV9ChBcR8p*3NHqv0&B`0rNbeI02~g}LEiO6LH* z*C@>FX@WRH>|-CD#Awc^fm!y!gC9ahE0$GuLO=uCY}FE7GTN8aR*$OLl10~{MlPr{ z0}64J#_U6LRJlMD(Tg&7m=!m8)0J2+YtR>N)LJ{1f?Y!Wt${tH-rjB3NhSQAQmByf zm5*{AXc4J5Ec|v5pa_r`pd8T|X}`Xj#PJ4rr*XUF*Z93T*~}a8Qk*#D2zKe&2Mg+` zk7yP$;9C>RbJmF~cd@bwU>#&1q5Fy!nL({*eMBYzySsw=6c5i(iL=vmb!R7)5id-F zE)+8|L(6t+n2FA3plR?odZP^k;CE1gSuoR?ikSmcm5d<B3@C1bu6t$oCvE*-E866mN49rPNDIg2?6|J6CrybekiZrl!ni1&% zF9ZY0;41!5Jm{ZRwg7Y6N(2c{MfSi_$&DGfmM(r7i z{+h!MG7Wsu(8f|9$?ZvzZQ0DhE*k(CZtTHQ4@&dk^u|HA>s~rz-FbBbP~o&qkJ;aL z7ZrZAH-=Y;+JiMa9Ve>v@oW^wimE5A6e1~F=E#T z98*9oGJvvHdpvo$XEA~_H$dx97|uVNi4hJ8jwx>oDBJ6fB)(a2(2`AQD15aODP3qg#{c;P&`*`xWTmg-AbtB@wp4Ox>J6SyLz9w^LiFnw%;Um42MjD3)qXouN$IiDIm(C!LYtnc6UXMQp7P z;MFIqA~P&1nd(%w>!y2ocUBBL&r@mKMab>y>ayVs@N9LP0~}=SAUi;QKI#dNIeO9P zke5$`r(u{8#_y#JA?qB}4JfQzVr7E{zX`wLQ4+he)36reUkgRSj|#uh%Jo^uP)_-?^-y7Wnof5K|z-a;7PewLL_QrrO?x zc^zgnOgct(K20j8(q_!wF;bM7OYddM=`iadk=XQ{P!s&EXy=Oir>FVh!Kb5M^iW$( zSVjXpun>xU^84b>fb@8!%!Z%{ zeiZd&U=WRyRj3Jcgj)0SF7_dzMOA-G;59(SC2{Ex2j1@qW1Mr;g*t~+V+l3(%Rnwb z_tNwrd?a7+^=l$ix1nK^d69mlDFqk`&;2jo#m`y*8M^Hf-(VumPujiN)aXxV>z9Ei z1&2)b9W)m^1C3JdifTy}7Uc=BF{l&2jf`I2+X=v{Veq_mGbvwYF&e@`#X9E7^Xc|N$(O+l)g z+}rm(KVctT0(-oZDY7!F(^BDP!3T*h76Bf18Ws=14U*OC4s)|Ue<~ft3EBl1`|@DNIczLx72v9Gym1J||!ppM>E<4EE>- z`)0im6Y_+`@&nt15{v_}duN4<(hIMX1n}-u3-xwrVV`Jost(lVfms^y8`HYBDKHC) z9IBQM?-c~tN91FT&u^{fCqkkHHBGbxuA0L7t{bReU$ZF`Wr)Dx%2|V2gAoL(QAHv$ z)hY%4aS0d$!1QP_v^~B1oc7RbIJmtfEyR7v)Ac{wgL&t=c;8&i19OS{6==S#yBb_0><%vs9X0`QfqQl%jiTCZLSY^ThkgaE2EPw3_^oUaByz zY~U*w1FV#6CW>4Akq*BNTfhg|jn zKgs$meeg}yoJCjLd;&C0zs;Xzhe3;0ke0%KLZG-=R3SWM8&Jv4ZDyv#-XlSZv;}2EAjjD z^OwM+c?qwPkR0#3vGsv{t56t@W_|5R>9{spVU;#!@UFsncnhn$ElAfkEcJLxhlvXK z>pNQn4Fhl1_PHWSB}$(J;yNAup%R3E3=yNEh?^zC?T9nl6JZ`|1MHM;gyz?4Bbtqw zA)qa^=OZR^a|}I(g=kS;jn4|?+V~;2!*AN^o0VyA2YH)URiSA_X-Gr~euYGfS|6{k zwj>t*3Zj{3UAb|TF9HTnj;*Co_j0e&wRtM?d2v^)atoj)si}@^lc$Wfe={6oz`@zYNoViW?7$2#VicO(Y@8?vkp-Oj!YRm8l zo+({%6yQL2q5KpBi5u+0@ zT7?`RxeFy9nY)Nl_#W3$U*8WZRJT3x7kEX7?+G?NUN5-xofBB(ZiX8cvM8?!Zzz5|b zjzfaEdHFsHqSQfv%#94Zj5AUY7jHQ$HxfBw(|xjy+I*LCr=2^@)}Q5akOiHwGkjBu zs!q&LK1bL>j0(8&sWR14ZV80We5lI8VOW#~wAd;U4tnO(Fq)w`;bwc3())Wyz;48I z!IT>T9$>>yn%YQee;fz&xy4*TRauBR)Vdt#+tj>_3-8M(4sr&0@a@eZ2Hn~8;Z2V3 z?mW+)$<9m0*5Ti#lFwY zZYtI0<5S_@h}5n&m*7@*owGnoo;llC4&uPvHa0p=9z!JjCD_D)pdTQzHnnNyb zKG_z{!_;Q?_zM)l7Pc1QIYr{+4vvGMJ8o#%)r?d^Sc!r>ciZ9f|F$<*4&FUmZY2T!6<9ds4qmZ;lm^2>+z z3XoLmAxTBH^P!iaRH-B+ysLDG8@LMdXt3Dpjtji8c5!8-Wa=XN^Xcbq?-*(+pkMZ4 z_O@Ux>a-+-@b+py@0QHs36Wo?kzW}T67vZnt|x7oBuwAC5%?H$W6Mm?TN*SdsL2*$)SdPdzku+gH_+oBf6 z(d5~K*{rH+CR-z(64Pv2eLnYti8>P}(k5Vu!^PSd`DP!Rp6hodvO?1Nn5weCz{|g; zCJfa(>(iMIHcy4VO*M1``3;le+JL+f4pQ3=3g#vJ_U)dI;H;pn%DN2^Q9fW5D@O_o z$tzO|`E>GwDK1jinl-dqjk+#AydY=g4BcuC;J%UERJ1H&4FOtmlRkBnl z*PmJCH@i=1?cJIB;^J+VT*Y!uT|mN;{cWlDiAV|8txl8YV>8fH#Q9ZX0Be@W72$Vq z3g#z{@zoPV_!Q)l@J$g{!2K8-8pmzBW}MpWVDs%`3Yk0GkEALElbv@pDwX#Jc{?Lg z`*RTI(YpaQ%MJ7@m+J!Waw2j0qL#r5bsw7+_{H0xkWNcX`G%3@?``sJ`u60TnF5wZ z_eDmdV+8_sGgc}E2CT9XQD3o=EZ)wjMaHI3B>%-duVnO&D)jy>19Ot8(f4)|Wc2FM zzjq-SbV&QBW*Tr4*MICfvj3`?2Kmo-JeECQl79U!x@m~NEZ%}24XVh0{|-KA3inT4 zRQ_*WMTa)i{Nq)0&;H`@|M?C+^54IM4;tW2EF60HP2NQJpIkZmn7_Vs?N7Jx&AZ?~ zZ2K2oWXO}3XaDGj|Mk-UblaY!@*zp(zc_g4eL8O5{&4Vr-{PVD z9495;g!Du94WT>Hf6nefd)WU<{?Y5k|ET)&vX5yzzL!IIs-g395rZ3>Ixpck`q~gg z?hNOE>?ZGN#4$>mI*XG45aQvozSO#Dwe>Ekb1^lLmt4p`C{c5B8QyY5_19)PzZ}?N;UZGNkmHD-D zC>5x~MBdbx^4Q^p#4kF9?_`L`K&RoOnp6tCw=`X2443S zZ^rV^VLu=2`lL?Gke;4Vvc>mJA3<3aC~G|9`F#oY&m@d-QTgC?T$CA|+NQ9Rhm(_iK`-hUz%bY>`D*>B zwcloswp7c@HAb1{g*dIEB|!`V07}}dCbkoYtwpIZPI=Ir^}{loXAh;~(i`|`Q2_E68Lcj_bQlEWRwg+&Oxm%WBsD$kr#JE+Z48`bMm4^A95DVP5^ zXU+;DXSm>Crvy*yBGPD5gkP)oIZccugyq;gua=jC#vO8oBdK#Elt9X z2F998JUo^C{(zvtn}aCW6F33@l4u^$brogEjLzs8z{pWUu5AhYVCK9KSdjJ%yF#Sn zzTFLVS7A6m8rSkt^5E1|DLIvrf#UXxnQi>`&(iV9LxG<+eQhtVaKxxP=W2x2VID}- z6j7g$`jxoLv`RX|^vbUu1{A!Boci0g%GFLWvu+sFs_xMqwnb<}CMpezbHKrD-v`1g+*G{#Z)nT*Y$(Ys9 z+ksB9l|UE^7XWzrQj_LmC9~&c-#&i%WrQ|09BzoTOxnxl`66L?PNA2=U&eBVaDp~= z)VdTr)8Md|k)}NtD zPzMyae9$zGUiroEBCDyZN@)gE1iVT}QkJD%iI$nGCk5F3=!@Olefo7;QokdkS(|mP zvMmWiz!E>%toVi`)pu_1${uMT8rAra`E;*l>cUSPYK-Qz*ISwnBlMb`#_UZvL-pP5 zQKS&VDaU5=Jd*^RkJ`qETz3-pRgx(J9%ufe%)vUX=`$7Uqj6waR@cpCUo>!@+PO;6 z4nG}P

jf@%46SK1i(bDn@go0~an7sh zYgHR)2{QBSh{hr0>O{th0JhMQE`3#|Cs-TH+SQTOk?_J&iP zI#S|0N@~OL@s$QLSkC zEW=eghi!J&@-a=pVWW!LI;nE6mq*|}OS5W)SCY5HwDHDfwFrkDXcDyOuQL@=ElE`D z@!AgcEuW=}SE%J;^%Y;nogGLLeLLV*PYjt&vYd%T^qP*@lpy@2xA|k8ZK(LHOn%lL zBB;t^FyAwlA9};br`Zuzuk8ynaFtdkeu=**CmiKl1PM)zB&rL2O9YIjgT(twrORg$ z7%wD#GawW_U%JdkrLCYDbcUGHkRoFkW^E#eZ`?@JdVdD@I z7h)1oupqHtorbT&G3&UMvp=pTYx z9FVq+`wkeqt1cf@V2DU&3lN?s(j-z} z92!I5^q=&LayW5EZIew~rXoL4shu70i@HyIsXCFvl0U-I**Q#$qD6@)X_7W@Aq7vq zmMc>G(z#9Y-owXJNi%G|O@m+@{wKmdR{Lm!(Z1bYoy@CFVri*c3+`-+Cm98Cr4lwu zF_mP7SA?r^hmmi?N537=A06ZjjE)E@=m_#yf}S)w@>g&U#XKmOH8eE-(fbtcf&RNO ztig|q)B$EeW8n!*Yhd~xy;xiPsJ{lbe+uU2Wv!8t0-|!*KjE{ypHq0XbOMHwmMMvi z=VuW7aWbMbSL*Na{1Z_M*_v~>($_R+r6!x4KO&{LSH=%#bj7wkL?VHTVaR@%e^fe& zH4|`IZ66cLO2R_Qm+^04!Y*(!8T(l;u7p z3Z6;i?1fu@&&BhzpmNGuOYyB)_pA9&0WqAT2=vJ{iCwaHBZAHgGGCGGp#b9kX@kw` zWkZ8Cn;_Y!=IRP5;dR}gG1=F@N$?ypeSofOs?$Q>*o(B*3A0qwTO%F62jSZcN4B>& zi8As}cX~ePSH|zcVek(VIjHAqbE8W~j)ieQ7)mD<#XmHpug3b@DDqj5C;QFHNo&cc z*;;1iRYTGvWJN*d?b%bF$upN*;3!Zjv-#XSeze}_!O*a%opCbHmP+De<{wnvygcpr za#kAY+6xH*qpLeGY`3^{#Zc6G*e>oa_QuoDIWm^brr=ra)m>@Ld;HpRbA74=_gSA9 zSznG;_jP|&=_SJIH-s{PcD+bd#ivHfibi@-B0ZxjepxiPYcKop)b=M}BUhhTkZP&E zDq6|08fdA4WPAw2qCVzsVwL)w%6XK>su`EuWHb#S_3{C~Qx=)!Uw9Yqa8K|gVMn-P z2yW+2>|&ZHbzhp6`~@?|+Zq!0ICx7!!D%V=ClV6vne>t<+L!hyJ$wuu$(F(@2dL5f zcv-LR=n`XHSNW-YnopozAsy=xeMo&l!GLjqZ1qvc@~5YlC}}^DpGr*F z!kKZG2^Y3d-&HSIqNV&?S$3@hWpKB8m>WaccJ60gJ?ZXux*y=U0^WG={w zGJ{@NwN@Nux#m^Fb^nqTl@rz!(wcyfFWvFyM8Hu zs?hVyAEyV0;Y@r%Jf|tpvM$ZO8OD>f4Q9<;6-~=4O6aVqC8NG!u6RR)Z#+-Rb zII62SgOzHj@v83gY7+&)`70KeX8E%D2}zr+E4$ioeXb@7qj*L!I+#Pru(hCF7KGy`s!&mvV{JS$_#qAX5g$e)}I;0cN zKPN21Mo|Xyut`zYmNpD|hfs;lH_z#4;u0{k+-Q8O{G*IL17VBr>t(3~y0P z9I~}NQj^GN8N7YpNy}YVmv;2$1|i;Xz{Hp2M5-EUKELoHT@j> zdb$=vn=Tr(o0Fq@Tv>ZP*1Bb%p#G@9y9R{ngRyNqpQ|y z+p{vptYE#nK~fQiKf8vQSB4kPc})@6{l+%Hd&hA`kYO%D^o!bh^q$}Y>DnB)LS8N9 z^t4aW(iKNff1-S$QyaabeMcMi%z)JFCVAvc{M!qzw7E(p{Ysc9oYa%)Z(KK#HrzvK zn_}v<`GQW|{K(g4&cv~$OjQ%EJx>0EB`Lw5Y{owFRjUaQ6Iz+42`44&F7Jf2TGFR@ z$^Mx#OPLKH7eEOXp@A2ADF9wovz)$~HkZ#8_A-lK5AVLxzez2ByqoSrp{?(z(3uaVbSMXzJK;|IvGUiEy?)zby=CIDV+--=s^m(u}4y_&tA1k zI^h5!bkb7d?s~Sgh({|WoqgW%Rwlsk9FIu=06fa06@|C`KEGB;sa2$>aZ}+O>BYNQ zHmNBx>L#H>wn&8qV+Wc>0du5Rbwi<^ez$1nSxg_%N0Y=0E%)oh*~n-}a6NSoSRRkH zqrJez6@MKNwU!D~EkAG?pfIJk7J1!-)oj+1#DLGRJ1fDRCd-vAEPVmq@|KC#t;3If z#|$;CDl1HdzK^G+B-Ge23G^yM>BDbXnxf`%X@-5`*`XIwd<%#uC4;I^dpquNUiBaIon7dAPIU zke)Q_fRC;9*Wpe>+vM3uYKq7}yD&8+^&y?LTXh!Fujz>E<0m4J4WT1v6|{$`SNpzU z^C7&dmf$(%~#(dK~obE6Qu&S-?pIL)l;g9*Ko((ejbOtDqAZ za8Y0#VP*$0d0$r^zdq~ngWvt$J33Ky&Jw933SWM;_~IDlN@Wxf#u~fYvf8LQ zFVb=kJf0Dt5-DSCpB0Qf7a`l#_OdIN&O=yOo8IWpL5C&cu(5t^Ss6(_cEEO7qO}#n zrV;s^(E=n?)K6o$(FQj)wcZYWMnam4?wC*nex0ujZq!6Kaz5HLtyI)j)UL6MF>u?m zW!+-@eJCk9c&ZaOZQiEX@?m;)b2B|Dk_m4GDDSUQRK(KnL@Evkwb7N7s`C^u)lk1V zhU2&LWLtT|G;*)N~VU%Igz-zy=Tl`wDK%b%2HYOCry#gal7 z&RDMPCa`suyrx?fZ+cIy+QKy}>docfo|zAPeN5lB{dTmuJTk9MB7E@ixi7-geaQB~ z#~;o->2rGWd4#q9LO|xXhcUJeoVkyjs+zpI2VC@*F3d3BvY%2=u;$UD#+>_+nBbRQ zO@+}}C7~|wORKK`=5k|caLazhK6Yi<&^<3{6MGxWeg4DtZ6XP~5#rC=A5Pz!S9UWm z=xzNkrAO>!=?*E%nY9C}Uu)zVo;8d4xgqy(a_U*x)vq2lC#h@S=ZD|@^4Uu2^~_=k zMKz_Qj__rhR^5L2_Ni#(#9(B{=exPT<@uzW7F?Z8ADo9IT=$kZZ(bsuGQ)9|cY1kP z@4HXacCH9~am4gwo1tsLm1`TqdqQuw-H3YOy>}ooY33=@+r_W<^u-Pp#)Y+xB$-%_ zIlVvSs2v^CQ#${A%>L-#leb4$zZ@Nt*RLubeQOn4i2XFH#dNGi)YKL=nqimJ7TYJp zC2Jy4oyT2WV9y;GQ!*b}W0$}?>0Nn-~NC-RXYEpT8Ga0 z#-eM7JmoExY+7=~+wJJC3tL|ikE|@o&yk*PxZOLr{%VRPMc@6s`QxHrqIXI?QY<$+ z$V#OB_LOq^5JBE)DXH-t1)E>GJJaEwag^!QH}-Yv75R=cuZ-H9T6EheZo2F|rLFVK zE|>(-lV~TFA9yK{Jh-|4&e>br{nQvOvgP=tBQ_fk9+^^2H)_PcNO_PvWx90Q-pv`# zoc2@i4|w1t4w+XS80)q>b>H1I-ugoNY~NJE;aMXx?-vsnN-gdl+j(=Be(S-dM{8;w z3O6nuX_m@WooTV7c_U?XvreQc+u>o!Nu@KYQ55Y11D4i-Qd8gGP!U~o_PB$I2{?TE zin~G`$E*0@bg#>TDLnE_+1hn}_O5odD!;3r>U^>_@10(`#^y9r4U@xiCy#kQwQRY! zFYw{^qdno(N(Tjg{ks5ou6pUGQWJ)Otj zRxz98gw9>WOUIr*$ltV@A7k}4FZ>fw{B z5z%W7mT7=}*_WJ?zrjk>uP5!Pd+Qo?uHo-%Bu_eSOWPtZv9Vs({mhDk(++2EdraK3 z?&sv3yXyj`TnUu9@pD}&h5wQ`Pc0$MZgu9qqnni%2oK2IXe2woKcXku(ASZs`L6YF zj(J_>G@m`bhqYynzVmy~_9M zrtGCNdF;otmk?)o-QPW%dq`!`Pes4$>+4p$dcW7HVtf0s(&=k29AAG@hbl9pm1ei| zk+p6N?@;0ghnYbt=6J!+nXXA|G?$&zEb0yKjSJCyBssWx&ndTS9do3=UQ~8UZnp}R zooa>A`dqtn)n>$IH1N0QZ}QX^zK!>|#Ed(|IJ!oeviexl(W@b6iBHvZ5;-%f7c9hm z9Gj=6qrD^}InwZ2)!S)b-3*tVdqsJWcCmf#+`N=mQ`Yim%Ez_WMa$8S9al@eP&4PW z!~tc^MR9lIXHY(EUSqLXr&H#Xr&OonaK=rN-!^%L#mDs8+>UfA7BL@L$viTB9JSl7 z*n?F3mbfg_(&>YurmdEzxn|zM1wXuUwb=u6OlK+u|m}`^?^3cA}m+$I7;yvpyO6 za^=IW4>@mh)>~bES2}!QWNe?YT5r`tNgW3%zOIhFTg8yG&Q1GsG6zF`N~v4Y5z3!? zKe`-0*lqsv+R9fdN3HigcqD^mf98EHBViBUjmKkZ&q-=8FmQU9qO-hcl!#fmPwD2B z+d8$p7Ozz@XOFR$Y<}?J1%2ve1=r4hmCl~b1^>_-+XT&W|NZ9J zfCc_Wb8Kks`+uZ4wth}_&s5wG8kP(HO?HEdaP#%jjl-mo%ANUW&d+;?1`t44JUh9 z8yk}K&?kH!_#awhLqlw|>_X3Ia>hSeV;kz3jkmx?XZ-zxDcZn#{O^s8|1>f*LHs^t z5*gNpj!c5z&Cn@N`~jv+HZd2k6;_S@M}~2XC;E6BL8t8T(M^rfN&T2MbbkImxRC*L z@&-Ox@B1Inr@>SHx$ic*r|=wO5?S1O9R6rXG7>i=|GptmD)oKji35WT9&Ztj{=u9C z-KhU^r_BrvCy&;cWCESQ|1f7LLi#?k`Q(78jG#qMdZuXea56fwq4>upw&S~`m>N#D zWG5S&|KnyrkWOQtF^@`oy-7O^w9+{e3T>dgk98_#ec1QtHT) zGGky41OHL#pf=_E!2gwO7@3hLB^RBuv5}tfxZW5VeDC&dW-jjg{(aJD<;TB0I4Ta4 zg7;n63=K>s_ZoKOAN6oj5&wmq6I(CTqCq=(v+tEVCg{W`>>S#f`M>MS4h90Xf%-06 z{R3&XJEOeNpEn~L=)v;MU>m*V^zC-;2;aIRe2pBE)^IO< zH+zTi`WIXMwOgaYVK`6M%|TnYgo7Pk5eml9K-?~U4O%4wJ83Z4=zP2{ySV?Y_}|dJ z?Bb@d;!mLL2I5Ak;!mKR2I5Ac;!mJa2I87U@h8v%191hP_!Ee(fw-(q{0ZdEKwRV{ z{scl|Ag+57e?pJdz!)tA8vh6f0qQyV5nOyUE{YDSwnK82-?* z|DcM&Q~p}N{zOw=`PaqACX>_4skjz|Zkp1D(0n=@o4bRtY)W~tU8gM2#RO)K1AI6Qk z{oIP9x`S6Y)tu1CC}nQ+kD**u`$a>3ol@qN_4m~Jue-K;`*iOpn`uh_?ZwBOm4lbY zEWTLHebM|$CT;9htUZ$mL8dDYWcLeqH2rd&h53Q&WHA#EoVgzRqP&a?x{6d{@NmXx4^lt z{zh83a<%cE98Ntar#RdtWY3p(Y5XlQ%&1MtLtlP-nJ88BPU)i-E#}1I+4f;_{fxRK zzgrgP_I8i_ z={2*syakpK`<{F*EwISFyIt+~yZm2{-M>97v2xw3xY~@!*2*Ec>hxfU7| z)@p|{wJM{%*>bi;yRUh$=D2HUTmM(1-+Q}Tgk$H`%7%Jv1Rd3bG~tLev%whq~h4LAJG8QcH%b{jJM zrI3Ss8_FZ=#Wzd6%}RbWJNePOu;y9cp5Bps8PTPnm9!^rgAZ+AY+TQ&4f3bE^ux1#GRJA?M# z=KRh|lVi(zyk4e6GpwJ*eztz!LAlwY_#GE)>%4qtgpW$=vae;;b`|($uq?f6bcPvo z<|QONG5NB#o6lVfy}7@> zZ1u~UzLdt3?+vMZ>VBr`wBA#W=~t(C-VgK$?^?4hqP^hOD+|FC&tIPSS#8LnwNdj= zZqDggE3!Xcbniq#|FL5mLXW@QZK!L$E&s*Xx^2Yz9hHN{MfCf!1-1v(?A5;%E#LO| z{kszDJ5@J+)>*z$K$i51I!yEA@%La{BMzMNEBAGG+uhUYaCR%xc(a3Ln8VtqMv8B+ zI$u>z2gE#yaeQ}4=la|jf}XBiN7tn-+ou`hJgo(rqz~QsV620sZM*c$dDl7%ANy@5 zrzE(l{~Gp8cUE;uyC;{o<{Yl*r=9K(cQWVx#_V1-Z~fufkADk$xZ^!_M)osjR!x6= zxUpt}s~_u;OOfF@*@Cy%vgVOnM-J7g>}n?SHH!(!dyY=slo{-hBdaHUq5H(NjSpW* z7M}>TIJ#ho^%Nn#S@p_ zZu`13a-J`TgZ!!npI_;HA}RdlZ*?nKJBpU)K6yj-!}*tS`R-@c@_AS zvUVsYDV3sEFVWpSy$84Rgk8rH>b2jm9(@zA^ry78Zc^%8QDmDSEVDYk#@)n!+geNw_Lt!_iTuB$%OqtC1k zShh4c+^{WLw`;j|*1Q7mc?tr_0KEd!lLGoCO!ZIuF60|_Y`0mXx+QRM%iQpxgBArR zF3mTv^xtB0vUuv{fFqs63yaoW{ngnxzw1Z+b5%rw*y@PaghdwF9ANSI+fY^9sH^dB@W$zL2xw(R8_9ecLvm{ld+3|Mu8POf{g_&;twnyZ4xJNh0$Y7Yd-cJ4g3*Q<}U8$BuJW}W`+T&if zdu5)-iMea-PBwMP8g_JrF+03A46Yd|b2vD>cjLjeDQ{fves<8*57qq=?s~nYDR7(0 zsqHH~k2M@FEmn7uFdBRC=w?wk^9$?Sq2CUkxs~abGp(XBH1#(@v-|o+%NX<<*v? zIJ;layO@ym`-)GRA1eCgk*VtsbZ-3@1en7DWPId*;9Mt0HYS@)^13DldgefuejoUcOuymelnqfL8s*~+ z%}J0=`0Ig942{GzD>{2aGd*-2-$$Ng`u~+kOvX%P;~y_DoBpZz`@f?^O~sMRze$Oj zifII(HP`G6r-g4Nnv>*!Q`ox0Uo4p7q0m2pF#emAD2S1Pm_=MONr_JKf8&&>I4t~M z?7eqX(^=a#Dgq%DLJy%OfPe)ENKY1_&hoyEeJvgFbaeclqQh;&Yn5#UGG}&I`8@8JL_BPeE*RJi~O>4@4fH)y081X z{`uYCkmx_P(m${MhD84bEI|FP%(?tuI^YUuwd0ZP~ZR80RbfbxGZIQPH) zJp}z10Odci`1hXrj{xQ0B!mChYW#0(wEvj^O4t7c+Wc1^{qH~f=ZyKkZgtoHlu-OP zKKTC)P=dZCkSqB=hsm~(w)_u-DgXEP`Y$0`*ZUxVe?wM#N*f`EUkD}i-ccvldWX)rGCj^*%OSLtwGjuk=pxzeKmM`@82hY6Bh)8uEsMW4=j|?R~-igs01^+39rOp zQDNNne2v0!VxI^&JY5=fSi8kofU4KYD|Thg6;w5}Jega-%cvH{lE_dfn4j8P7F}pV z|1*s0yZ(qCVzWm@I(tQ-H-hM!eXWycK@CN(=VT*Dy;N+^t=GNje0E=B48p5Fp?Iq} zNYtkK6yHgn7~-K=dPbxYI8YU!8782ofwQlRfhjBXg2jx<5g zR_VRi?>TkBdXcCfZ|5H;kds^rk570@rZ(ldv8~hawO2Ier8GzZW`IpnmZ1mRoGZ^; z3YJm#FJl^z6$-9AvSw5V@rK=lt&l4OoN98xHv_^`vNf_Vzn;$gd!50kC~DyeeBEnZ z?yxI=PD@Uc7w*bm9O>P~Y>1%mCHyg4=Zwe@)JUaF0Scc=B|Q{uFn8Cej@81QCpA}z zfnDTRB|ukSB84=@zItcuH0(HM3CqdFMi zig%U>$62OvZXE6#Fw2XN(R;;?V|kMzrJ@St`(q^-}A-dQF&fRCE3h+Vgw zKckSxX(w6u+uqF3KyP{#Et>01$TVFVOLk41Nqx`qj;EXr0?QYbhJBwm6d?``fmS~1 zuKL=#H3I=b0}Rmp+kOdR$7BLWL<8d#AoguHX{pC&CmmlT7S<7j66NVAdxW6<5??%3 z={8V9OD6cS$Vo?j+wulc$}1^MJVm-$|5%44)QRlfP@rOFS0}fE7NdXXG#)`3Hd;<~ zKgE|QnyRXvLyE?TDD>e0tN4gJJzr*43U=!M)EZT}t~JMNHBT^1yvm6F@g-H3ZxlN< zL2*P?nW_nZVqp>cgQ=(~vJVoC+0R$E(g>+j_wlmd9m)0DBGD<9H;y)q=Pu=YnbU&$ zpBgBYT-p`#Fw@9#)0@<0%fW^*ugJ6)ibv;EuAjFA5u9yN;>eFKBA(kbNuM#ftuKLR zOXo4Lqf>>u8mTZXqtR6ETzAS4pYU|jwyD>#i{yjf$#>TFw=i%(A1_)uPhuccBtKqp z8lc7tt{2n-KcP=|6|e@Ty-SXvs3kdlCt6Y~on)!Ey4i2hPujY+@(ImPOia0Z!E@U2 z!dF?|Hb1F7#Xk_nxZ()^>eISp#;uy zXUB|uxuxm{`gE+_C4>OZ@=owTB6^<{Zx26_HdVuH%L2#1#ogUcaWq^?BZx2iUo!|{ z0SIwfc_^W2B^aV7ImVh$tdn1|yn#;l>2?f%0I>aeC@DYw!(x238*hY!ZJ z31R*wB-(pcFeK?1$P9gHlT|;`W)-(^vWlfe$Xve#eR`iW>1u#trrAx#-^Cd*Eox%7 z?uG4#Y58^5zf;Pzhv!Mk2?pMYrO=7xd?@o2y+IXIr^qX|*Fr`6YcHN-Va;&PT{tt; zzKQY}onn8ep^$1@Y1j7+KaxKabhL|p^^6M~&laLx3Hj44rN@oMtqBdA_2bMim)P%M z`qBKxAmhGg+ldwIDc5Z#!#b|6OOO8ghKdq6=*!t= zwPlUR3wU)(Aop;S-=IE`jcdXL|4=o`R+%07W&lG|$Z&iS3QMG1fm3U$Ae@_AAVBXZSA(Yss6 zus5PG84Gu-jNAOG><1@XH?TY}L{b>}6DBJCF2AZTw;?jqLbTsN>EUqSJJ`WEQaPy( zZ6mamRoUdwXv_2WPu0N?K>T8}8E&60^#t2~-!9>X0CIKB6OflE>*4kgs_wjYkM}vA zR_xfm9(_7;NTB0gD!I7A4xvHQzf-c@z$`&S7xB)6>;PtVpTg- zgyue5BexwC+ME#r;c(|aIlqyhcp>g7>6Qo7+n!U8>W1c4!@EPy(|heOXmJ}}C2qtu zwW=?{Yb3wE(58(0P34jbw4$}sR2YL$`L_P2J4i!__#k!sasL3C{_mzt|G|oEd4^n} zC{v!taPk~57xAG1Dd^}eng3vP818Wv; zB8d;p@?!-XIZ!X9kwh+1KBJtsa3`{Bd#Ns#s!lG^SUs9Is#DFC-N&fIW0X#Ur7RUD zJV$$M!6ZFJekZaqn|;0Ty@Z5f_iQbLHC(GY*7`uI=VxmwyPK{OLZGT8ANPKTXa(y{ z;6nNM+z+til7slFPw1`W>0zO>K8(XZwBMj4ojZ7s;r_Q;`MDpivS4e&o!`h#uS?aI z79=0CFk9JO;Bd7*rp7pI`RNPW?>&pi6vaDV4RDIjQLBZT6x`Jj#HuxUsv+Q z(q7wJ4Z;}QrJ0v*t1B~*qSRBK7OOOMKhIvw%}JyULYNFLq{uYCX<1YOatw8&9lQWbI-S6)dB z9%ld4eY7k_IrWz5#HeAkx{gm@?mSB;S4SduQ~&c+9!0^b+^5RyGQ&^m-i()-(&A;x07RAp4OF_P?|PzM9g+&0cb51evpAAelp7 zOn3)81I>qC_FBoPRJFJ?)9*Rr@RFW^vuq(;jaB6nQNfogPHBfh=QlvkM|qy+diNba zGXw}hNE|-8f?q)0>iQ=TPeF&`R|43i5kIeaXw*TiXFQ#TeYg0&F zE7gbg0V%Onxam;+6FKWQmUrAoFG3UhXBi*aR{)~*I7EEph>N=KhB^9IP12A}9+nYs zsB7;QH2Z~GG=p4Sk>l8#;Ioy#AAgB@Dsh@=s#dmHuXQ`WRzml|QRE^=6JTOSLFJgK z(JK#>kZZN69Xkyt%^#p`=bIm|%qPg`t@Nw2^w0}xWrVKMVB$>}9k`@PCTWU4Vb0e? z*+7)y$`rdbczSYwXQNfeBBYSCfSXy_MYWq>Sj%>#toyjnQzp_J7gs8xwx1%T=+t+x z5P{ZIIQuQu5$nPhx)fjXLhBg_V0!Jx%ten>721AvI=E6zv{MO0d(Y_02Xphqh7n6~ zZp~$JjwNqa+att{@egQ2U+X_i9i*uI9VTJMUD(6NXaByp%P`26a*wL<{5Gl0d@sTX7+WSMQoC zpi$@BhVwKQ!%l8-@>436EmXUK_)v`y9Isd<-Ktq!z~+jAC(4+$f38i_tF-;YVkx|r zzxUP8J`K(q#j*bm^e5d(;P*+?!m_72MZjH{XR)5(-AbvQ7@kkB9$rn}aD3~$T;0u2 zg-xXsZ+f{u$Fvw`f5WpW`gM<4SEW3+=4@FPq4?I5o|oo<&Txo2Nm#{tK^l-S=0a;A z;gc%I;wegAQ|=#k?P24Lcv&KN!H!cF#wZJNQjl8 zc#?I4#<)G$YV9u}*UW^L4L0V)Kdi5B;M5C^w&!DGfv5%Dl&MHX@t706!Tia09j*ac zXw)a+EBx?G~H z3IYR!!*zz1$qBaYC|<3O$ToGvH}hwec6Miyz;R5{`a8M6Y(qL`rx zZ52(TQaPnj+_Ne7=GKL~PSi8Xf}dYcV#(}~bg$d&ELwmh(J|ZG*#ml~O z+9iF-0zb|Wy+RY7liSkt;4R7B>BX+q0bmPK2$tioFMqMHgl!x7Eb>j|c~@w;W>U6M z^@PT9I7q=xre1r9+orqWi!uSldKLwheY1v`Q0AWZtheY2v;3O9>jL@ts~aASBh)>E z%lWXWZRzu@5En&`X?1gJHmz+_Qpg6LqwzUaVhua9MR85n6R~nOR3X&9REw%3FDxSs zWnH~?0S_R#B8h0~5pjnIyaLg>o0Wdc%WvaF96N*3^jhZ>;4AL#Qq z@-m1%Uu?JHzJqtENNBfKUY1=hRdB^6LPw>o?6=+-k1;1iU-DO3~cF z(Fv;YFc?Eur#1e2)VYi@F-=~U-%CXuVhf9}|Me=6j|tp@@xC)XGPW%RwHo?)^O}16 zUjhO>mbVnUrgHsSOtd*Pb0HVK0hVfCw6W~nC-#@Jy?+65Uz^+ch?DiWgpo*XiBflMJl>RVWU1rzY|W9) zhdb|}q^Ol9K4HPB{p3)N>!FwNtyN3MM+ehg9 z$qi~!!52`v3nVG|MZajnogkQ9kf|#&n<)rd6ujW7Hs150X5?m?7#AJQn-Kq*vljFH z-1n&E)cFbU?uLz`_TzEZ$H$*VE!+XAX?HhbQMBA{C70KjeANes#{|Vf=R>&HeKRvd zLZhUnT6X6#Po4$jO#M4)z$i%@#93zKICnp$kWf*5FL6AV+*pL$&F$?#^r0J2isqI! zQjmTSZUfpfKe?txVFTea1d$PUFd;VO3H)DrcW+wvJ=*~vYb)U?9W0iTJn^&vP-mE9^rv%w@jqtH~_o6Kj zpXq(qy+No&!t}&FK)1sB#AM_PUis&_$LF^&Fv1UMAm!^VDFC-~t4I z5Vx9%M?j_J?bW9(|BMP;n)hxG>iYbqeVAZRF&w{dEi>}c;f-yQrpHDdj#ud!ma18_-_XFYp<2p z;v&KJb*Y(+AN*Yd2G6K90g~crvX>t&Nfl;&QTzxvYf~Oj_ScOzmMfN`ZZ^+feb~ef z%k5(Qw!>`B!jZ>Lre~5Hh%c#A9xb9StBj_OY5@x4YjgQiDnBJqfW%SzwA5HUTikAZ z35^|<9lT6C%iv@7Cs|b-H^hnxo)7s(!b#8aI_9Y$pTge}Aj27DexVodB&#f(e^uG| zv*FrR60>>q%wp~Lb3GLQO)PTwoGJEar81pN(}a-|Q2hm^^m`%6t3;8aSt3kem_F6O z77^J5LtB)nyV)r}TA6L1=es^aUk*XS*zkD;`3cy5x{YMwlH(RkU@nIjy*2SOL9#@q z`RI3aNNJK;)W1#9Lov$nuln2Q`^X*4PG^lkInW3@-R)uD6q3Vyu^fOo=!o5#t{r{^ zG3N3wrHHnQ0Y^zO`t+w9NU}NVn;N!Fl`su-Swi4Aa`h9q1)@baUaC<}rkgG=`TWkG zG&^?O{0GLjKyGWAX{Cj-rBD{-Mfgm&j*Qp!;|@ z{nf;KQc$IAa~%ysdJ*nHH{R}b<4j+UO7X(UZw70EMtupl@lpJD{nJVMlf9c&yB4dK z;*P+y2>!B&l@DtRkEtzo1O&vOKHssC=*XSTH`;la)(KY8g$Bumn>;B5P74dM zF1c*l2uo$4%?zT|zusAiAq{V=c*7G<`7wI>d_0gdVH?%yPmGVC0*83XkMBJoUV%pr zNaKstpmV);cu9Ck~K6vj3FyOVp=_h->lJ&mXrB z7*kp^dfJndA3a8!isZ6tXj$)gyL;oiu=_{VTj}-KpKDXkx7CyLpgm=oU7_Fb@?803 z$Zm|K;0XhEANWHeahVy(nbUgwenME_hJm1pmxJoX4iYwALgAMmub}i%T?RYmxC%6u zVTFkx(!tHC2DjSN43!AFNWT%dw2ZcblW4Z>2=>Nl`{JYO7qeGl1>X#`*=F4<09LCx z2thU8|5$u^57Aj#ExwpNJp;7+w%!s&w<_BDP-%D5yrOiW*ay82ec1;qj1DAQifM&U zk-xaSeZ$<%xoy(WL#~r7|5|iKX;(0^*L4I4q_W5yZo+`$)$!NTW!WMfmgzwLaP%hO z$!9YZK^Wh7RI1CwRC6i*qfS*@UhOk>dA#^zIEROIH}C07?e&%%q>vvC{l)jGzt|YQ z6B2_=sUu5ZPUi#`{CMjXz;EX6&EA7O${@JAi^m^A!sPy%)%W&apM9W2LgVRqXVx0! zowK+bcQZ+w41~1U6v9rk{IU#?uv+Jtw)9UOpIb1;gX5u~F()P0`xew6UfUE9U#en+ zi_ES&1lA;N;saDyLFi5l`%oe8dkqqkm%C8Q0&7n%!fWk)AylSN8p@jJhr~dkMy6sKTW*&6k zR3^x=OZ2rcI-E*PeFQ!Hs&o>a7tg}2WY*2u9Z)(af)Y8j`UPkDK8z#YM2tA#2e)Su z0wDmUgt6{uX3`q@i@%V=`KV#r-VPQvM(-=JKnw1=!aR|hbXNA^E;L_;QJWRB!v(V_ z!8RupU$x{;jDvUebQh^AnF7<(gq3>cR#e)pbl)|I{v*8Ho|hTtSZQNHTe!vPNc3@` z&&Kb7moD=ywkC6U6jh1zjpo5(mhYwu2cA&;!OdZ%I_jW&1e%zGA}Hp@0QJWvG{Xs@1a6MTba6M6V0NCVWCO+pn7E{iv)Paad#lxC4gUyn6Ky#{K6Wai#^2QLLv}z%6Vp(+IB)# zPCgk(81A*evb?E6fB4RpM<*H{C>ZSl5!`#ui{gnpd}$+LIVJqH!a&tpBVq8dd&s)P zafl{gk16AZaJYtv@6U#K-ZBQ+gfNSpUvuxgjxj(m)8`3xI4^x zTzXnxnvj#N@di?98daeNK zqsgCbN9wS=ozm$%`s8L(AGx1c*uCC+zWbqeAsx8>Ecbhc<6Qqd?2F@Bm2!MrN?|HpA_c^Djo*miPq_Vi8=ivk>YTxx$8;mq=Mbk z6zpW&*5vaR_=l~eQf2;)$xV6`!sp9^U}>G_k;#n*N5TV-8TfBUgb=B>RgZb{sp==M zrADy>Sk9RUeSfyEt6x;zee+%)_4zqGbQl`T$N&O|=npKcbiiV8WaiwNl$8kJ&{vI( zZ)E{&3Ek+RkH%rV`3)T*?-@Vd0zd?SDnEN+>RvC-o6|mf`8iV}cciMLVJ^*}aiN?U zWQf+PYuXh7HJ;#}qRsZzK#TdU+k<)&ZVeC(6MZy|G*tR?*rBS4X8DAU3GJVO)SK#B zC11y_%tR@%T(%Hf4`P9x3;-qxoR^PIYmMTkTWb|)6n=?5FeC7D@W`pYmh*@c=72u{ zH_kU@<%fjC#R@jy5ml4&Wq*I$hbNgB&(2WzdKg_Fqqbx*^tJKXnwP*E%19r5n)y0a z>x0s;KAL^hR$V8vn|55$sm&g7vJOCGQI3xFI1BIQ%lTC?*JIR5qHwK&4$hzp=Z zP~{ZV1i!vbB7e=3Q8USX@u4VaW_s>BbuVTa?(E&fa93k-YRd$VPQQ5o)85;gd1h_q zYb@i$sy$njZ%PZgDX3UsyJK^20RdD=N#9bxo(_&!Mtl^5qhp3HP$Yc;^ZxhV%mNRE z7=5D@0;T4MA^WE<2CBitvz~3JF;dGNj065+_znI{N8*u)k(w9FY|_}a>_@uN!2TeL zdKLDq*646UW&`K|4rJVO!+^)$pHrzKJTZSOdb6NR5D0t)F*xJ3 zutgkM7-K(nSRYa(q2oZ9d~PuN^x5{-&Z&s`_j#GLLve0o;M&^rl6B}Z&2T?5$h@;a zZuf&sD@aKoKb_GB%#3Kmo^1E_ky1se^Q46~iyZAmL-~(z<)iv0ZkR#O*R@mZx0pZV z%#dB0cMY_F7v2GX#itkh%z;~8}_Ct$15x;kmIvoJPN#&~Od zyx~D|D$mbZ*9wm7v2Y#6qq9Q)ym6{`gJI&07TjKk!a+q}(H=#VMr1yDvtFZRCuqM% zqqpJD?oKj|;4r4gUA(v1e0$MAn@l{865 zGS8vG$zuOUrE644k9TSJIXGd|-t=|-)djRA)s81VMmuiPD-sdOT z8__>C`xQWKwB>+Nr#F*vThQ!(732^^OSM|M$Ay|XO%SvCrO!+}xN)`}VfOM_wQeZC zF)tMRt_5nLMRCuI`e#uzFAPEWqCFPeCC*;gNR6aLKrOUGP-RBlk2eY3B5$H~zu=W|HZ z&6(RAyxA)W+z$nSmov^`_U2Un=vhATBHggD{2*dG?*apEZ7qJtg$U(e!P%w<{|E(` zK<5Xhdy+1x`I9qzNRKQ=F+=31u1p2gkljg>ryB~SAa|T^O%6_Ec4QOkwFCK3=ggbY z0%$+xB63F5xBSd&EJ^nK`d$Dl#~?l%Me&^4X98zdHPMy%CfEFWxMC55sqA$R+y*?&tE+N{)1*Vxwu_zhbpA2OFyYvRUS5S)J+%0_|Q@r?4(-UNH{x5vjM8r zC)A@B$@#s9a^qRG8wB9F1JLV4{h~nGHv^^H$}#$RpfuEsRZPE$?>mWRZoYSD4-KHS zEAeCTkCEB#q6%AsK*>XXg7kBa8`Mbk`qTD;nt10kj{^-8W(1zf)+K^KahmYcZs_zl)vEnSs4=gc#iq@lPU0 zBITC+(Uoc~M@w(P<*%Ac3>061zJMdMoq%#HlIb2Yf_nyT!!cHOe*Pd8}|te<_~XJMmhJ&;*}wp^WqDb`yhOq!J1#Yn3geZY z4z+X(W6m96AjRb7`kg(dhFz@BP?OGvXv@hO+C8U(f-il8Q3161nhVR z`4P=N$zqignV>y|@eKpOPT^5yi_Mg{Q+9L-#hU>Gw3`Qjts&Ir7wpHEtOmyDR1&hd z(uwPIjIsxP)G2=>nzh4PAG8id%koB z)$o4HGo|0l2-OO2+0SY(ZPWA@H>2hS!?*iZ0eRA5Qy4aJwqlh&%~jlnW~M5wq?*LX znAhLNY}l<?H23j{jey)n2QzIf)$bK1E9%riEUZ~VxWdG}w%c3}V-hIsBpt|D2FDLos*(q`K zR+8|8O2vtnM_B$?naebvut-~(-80p>=&bQr0N>_Ok!JMD1Tz))U9rfvN@o;Q#`g@; z<-gKe26DK1Uli|_*w#;-l$iP4=^uG}UYzv?*u=&nd0zmA776PcHe!|L0JGCSx2Tr% zuHu0EFz;SfaCdy5Kcx>p!grmVx5lleWVo~e87S<`zQZhW>>1!$)m z9b3n~$J9h`z-zQ{`$|nHVW(K$4(NP4Rt(JFkX#S8+Fc_{#zmu? zO8N{JtoOq81QUvSvDYkNTM)W;qvMJ!3i7KllWu4{Z~`dX`S50KdY)ZY8_A|;YIW(CNBYq|*L4(f~H z*1K^@i5gP0JL4CuyY6pP*KFMfR?58n#`z634SN8Be7mBcX%8YJxI-rRh93FN-NJV* zXOGJZv?ZNS;GE!4ljoXph>Q0`m`N=4c;1X^fb>cCz4PDs_wS%X3Om$OdU?(Q72RK; za9Xns?R8_i(9X2p`C4NMR3*v|eF!arnw!G5IH6cZ73`o3^|czB5H__pF#am)u^Z=Q z?c`P3?1H>3fHohpvbnDV`I+Ty8|k+ev)o6CY>jcCP}+mU%o#jz3L5;jgRyzkVVjUz zQX=7(T&;R~39WOAROtUWgjz`}rX7g}lt|Ao{ervbYCM9~8kFgIg@z`4#FPV1q;v+P z*N~-AGvx$o;p1!5wa~`MdT;jei7FdT2@eKmHOk~3oWaJwZ71HO-nI`T-NkLgUv^T$ zZ4548ANbM4P8y@aR|%zbIO`eP95%yT%28Vi!q4emLID0_S;7+8;CE8#d3s%Vg0adc zKo|?zB?z%w5XR!Nq1=U*`I_C@)7tZ)MMp8j!c_hh_!p3ZyInqba28Z9QtqIIt}8AW z#IM6G4{P!&fg|}S%j=`=`*7k1@PfCmOKs?~gn9#-aC_tp$1v5q+Z`cDo(g2cE3wIE zYZ_i^Gmw-K1Ym@#{PBQ(W+ht;3o zW7_BEYfaEj_8qeK=3{=%X&$Mq?U-A*Dt8JCiJV`G$gSzY5$+pG2z-n(xxgI}+67(Lh+oFE9Js?`)BQ)-Y=_UG482|QBEU37!{+*1P`#|f=I zEj>H^)Hawk{naqBx^QQ-v%lGlSPG3NGMlK`njNjaF|2{h`9j-~y&I68HQ1+WdC$L; z0Doh`Cnqt&5l`qdKct2^<$!L!UvjbZ3d+t0Fz&+H2XCmZXBG+*eo~zzg~vE;aYJ5# zhS7ElFQ%N=TNt3(krOAc(Wqxv*gezwyCzCWojw}UslfTv-|v5kW@(l?Uj@ZA449v^ zW||hVe{LyL>1nq@@M&92z*l>h|BLC3Jd_RNsfl=-}kDS zy@Sb4lssM*e&iaun@rjC0=+lYWENXCj0@d#_Vn`O8X-gObt1)={tznOueC_o{ew;kNv^BOhf-trN7(#8lK)&q#s0e3y{fn*S* zKhG=7FVyiq2+g!;qOyOeihG*)Te&s$WJ~SCtqcK6JN~uSbu{5j|5N;3c#PU3DVKo~ zrQ6<=${2Fmiq568T@m0Cb!7zJ!_{9@eu{1+b8!0&)}Gh9>v5k!yVyNV37{u3S4rg_ zF^+ufTbN8zUBqJS^4V%4w9DU=)3g%QbNu?ksL_Cr|35xy!J!h{uqm01{*)2ZBL4_e!|lTOP8mW?)o5^^LPezUcrLnwb#o>2Idg8cY8?-4ENl zaJ9LBSkd0;pM-d$b9HmyTToxw(h0+S&55Ooh^ZOi9H@F|)+o7G7TSIPU1yLM%hbKxDiC8I2cwW|#uP-W~bKBR*oFrwO{@^}=#sMop$qRFI>Sz~~I z_Y|eaZ*?jVzYr#WN94EP|167vm7*QIpPx=Q4qa^HeP-l$7wHzOTY&z=|Su2yG#*q^f8w6jUFE3z`k8 zs#AB*g_vT4BIo#QXtYdhNx%b7=s(=E20c6RtsBc!grF8g>qJT|>$muO4u4YwTLsqhS>J~``JWo(CSO?ABY4pK`#@OpH0sZJ2 zOgG1a3<`~pJ*oWHdcbb1w7seELWQ%&Ea78JwXZ6>(8O5rLd=8&5MHxRu(X#n)aF&B zCQyYUqIhg~$I8{TBEEVXDC?idj34J8wRc7 zBJ;73`^UArvF;9epnpCM^1?U7E9UvJuX_hCqt7ZC153qKGsGVaspAWzMgli~;!0KL zbbZY7%&37I*z;zIsVy6bi5H&r#%w0SerF5q9Rrblg8d{7)mU!x_m5H;R8_a+^Qe>D zLu68dPyxCY1~c>r!lJ9o#mbKh2XIWW>?I@$czg+PMukkxk0t``(n#=a!)Ec zgtpiD1;Kw9kIOpY@7;DKuoHxjFv-9T<7-LKSB_VpuBabKm`aNU#2yxUY|Jve>#1?DUm899`C(3%W;$b)FIKJmB&RUlEt zBR-SjLwkw{jgw<)1+pi_A`CqkYP1?R9bCn+Jwp)(#?6`}k8y?JK0KX7Sx{jm#P&EH@@6RVd4ZrD1 zfpqvvkVhHX7XSV9Ac>rHD9(VE!}k-3(|yK8Jemv3cd8$V5*RwX`PT9wHg9%#v7VvjtVa&*u5eKf&yw+4`zG2*YOjIuN3Ftl`Ka_czCVC>qrHL{YBe;&-p7~g4twTRvWWY&= zF725*5V`bVrSiM$@y}%DxrM8L-W+^tK-d)%`1|MLI|0y#)D>qf@P$f;@;=k2$p?yv z1@qN#4VVtX;j4#d9b61d}IY~(? z1;P2P7i{GTelmqTH##h|DM+h}U!KPt_6y?t`Wu5V{@V7P=FlvL)RkmmVgB9Tv#)pKyv1YT>ky>Bw_B@9c= z5Fr%p^DvY!{@q5u6t*yK`AF@6@fKyhUEUK+h6-ADkO3)9nR2I`sLJCpi_|yf1@%;WJ)9hhpOC=(`yRxXSD;v0L4t7CTu-+^ zY8vzd_KAi4S!s$C(TxwUh;Vav)Y>-xw(#YfebR*|`-&Mn2XxrH8~ zR>=3Lmrmd5w-NKi$C0e&nNfI-P0hUIhVCQe^#M=KE3iIaC>_- z2u1UI@Z%DIWdyd8=aGQsW1l+D*7CRAw zvJbV`mx%aDjdsVsHZejoX5}*^+#s+u1{Zh+VR1ogCx5$C019lhVALorncJ{3=Gt^% zE@eN;POXOXg*T0hdgM&@Yo+*r#%g$W$j@pk0fNIX56lP8bsfH@I@`$>2_4i}Q85m{ z?+y9QKsitH@V--C(@)AgYIpbmQyS;$bbQz;e(4m3q3ITUa0|8)~q%Ru2 zWcoKu2HPSnQRMea!^}QGD4Owe$?Kk?CbTnrBbB0nrXa@_H`)h!x<5G`DWKBHF5ZQE zMcqv$E3PUypM#9{pr57{Qv6lu?MGE{=v`9lVYba-R4G2`nGmkR;kpigO z2{kEOzlyWw%hB(2&gFBN^MFd<12+(UGk|+1HevgE1KR~by_}XOcu!L%4RAg)F1$<` zE;=;6>T8j?i%NQXySx563z6(J>Uf7H*vG9ioXOX5HpHz5bi`xeXmD|Pi6ij#!h%h* zJaVmBpF0IAAHj&7F?V2b$agbWB0C(=lK#-fd{CBDx@e&;;y}HTnp`F+xm^XE)Q*Cl#Vx*IlC(H;%s{Eqyy8bO zzh%>*g~tWx^TSBNLCoM`R}Ydhoq8DREp%+SL~0f5vtNhtvgLSAb5018{sm+ z!TWUa=2wrmPLlQ#i6c-8R8_Vh6D?dDe~uvwqet{W3k!0)%_Of?)kPfc2cX+yWkXRNt~@Ys`q@} zQ19IGORE(;a0s?jy1^jUr#g-T0Oj1~Me<8s79I1I;ks6<}T>?#@O$eUjC^~sQqG8Z)dLD3(R^;MV#x; zlrAul0|`8Os3WLDZe!sHI}<+igW>7?ColZK+BxAI*ctu0tenVlas zR&ct(av@eXe`eK$BJ)Y*$Iz}J_GL+V=Io7yC(-P)`hB68Tq!r55}5r((PCqrL<%Bj zpf}b{ixHev(lQ|$ULvE~oGXtiW*IAo)8>1}PaT@kn|1(5VTNj9B1h<=!~6L9q@-p- z4;Ky}5y{2h4AisJK&5D)FS+r};B>C(a*pFkFX9zX@={g}^rBb=9M)Oma!3BNH8MU1 zR=WB0^9+`8e_H&CG|Ru-%^l7x$@J0S=45GfUsK~*xv$T4#A{|8!%t&&wYSM3^=E_m zuFsXv9lc>h&77XUN4ijl(AWirWik`IdmYWp?8E_j_Y{D8px!bw_Zg548agHk67c2k z8}l`pWW-<<{8a8D#1Vn}`nX#wsnGeSm=i2bWx<|merOD70^B&62tcNgrt+RpGFM|ICCzNkN`^>QPrpv>#N1e7=XP@xn)@h*`S2$I*D4t7P zyY#cWS+NsG1ge>jZ&&S>FVLVnz!n`Doq)@Zw)-9>J@{*bTK=>|Z9F0cf<)qD6lk}2 zve#>0${jVxS@2Qz4WsPuHWLXYz4GLSU#wE3dZcuP1a^#hXsom+10MS_bOHBPe zC#s9(OE!*ILr6zAJ4ir^*J)g;(J)nR2W99E{F|eIz8MhBpugn-)c>fe)*-c$k}Ojn z+vdUK!JW{yA1CToo#)6?iSxvpRCa_r$w4$)_>I?QnOxfxgyt0b1Hx?KEEFi!q?Lz3|{N(EIQHxD8M#;1>M%afa zu9Z!-?TB?kd>5#RE+vo~-t_q6>CXQfZEqfxWE%hdPp&8+n5KxPke0TJre>)LVr7fV zOpE4*lw&HIR+{EU0-}?ZRMWI*rcJ52f#sGP3YyGJi<2p)DUyCG#RbbP5$@-j=RD^; zzkhz`{Qmj~6%-wcEz6}$&OSN^Lba4S<VRm%r?Ko2_L0Iqq47JLbU1dx_43`67n zdBT`}Y763ez9HIf2tNA?kyqF>73-LauJWViql(uW4f#Q**2i6Md$&Vrf`DEn#Yk_v)RR260^Wm;zOa|I~ZP1cwf5!Hz_3KT%{8+#g)kSVSAtEq zkvy->$l|{!%G6%c4@C!qh4xuOycJi~okUy~a)h9Su0b{;7A56)Iu=P8Jg7>tlDME4 z*-QZmpbvJa;pdsro|mF%J);fuR_u@A@r+X(Kb56KMcS}5cP#fHPe@` ziyxV&TPpEc%Tv8<6BE(qX6osDly1v_ndxn?#YP&JJ(kXrL{SA_3xl9u1g;MqyBsed z3L+gb8@}pm&VWUK#$#b_I3Ok&8aH+R3iRRQ&}zV;855qX3HoOqx##p?2oQST5FVNi zhwoV{tAPG9RskUvW||kq%G`G}tkUSFPQWWVuDSH|bfVHXxk?2Jm>vJV834M0Kh70I zS>+??OO)$%{E$dmxcxqs#ki3`;9y9&;ka((78{(tJBWS{R;m)AYQcFBe#0qL-z z=~Y*%!goSHI8$2v2}>bn3SJ<+>Ja#|*eK4iLO}K-VK+HJdc^|$5n{W14yuZ}0?qH5>{E&e z(kwxQ`qo~MnLRSaXMeGL?bNWeApZX2{L;wnAjFN;ja0IZh#+U3y!`i7boO}@d~+7@ zl`~~z3!#Ro`QMb<2HN{8#V}=a$>#n21_8}Gc3%G7LNN;t_idN#KvM zeq@3%q@5fOfk~ztx@F5(*<#Q}+^@bCK=J4GoVRjZ?Vp(dR|iLYwZ&;C_y=Cb>$T|K zCjE&4($`%Qu6AUmWe%T!DT~3q-&8n{uP$K!Ic^lpDAk)C1k*i>d_e4n+_A%%sT1}M znc3Lr9}@J7$xm|#ug&{ir0&qYTrrhnL&tO0&j1ShnCT9a2SEow_I<>eaJ!Ww{_5uV zi>?OuN7saMK&&$jn3d#bNMc|H*>Al&&`_Vqr*1N2^9aSQE};?5{ru)OsVH1ST_@u~ zb`NnPw4$CUmB|HtLGPVbZ6!+jAd1_|HULgK2ArYyr2^2bm7W>=W;%ImC=HnQLT z$@j~f9`sj31Ac!}SuA^y z@V&$X>D1Wu;&iX5-Rc6jDyR3+pk9#d8)KFCrM9T|t-7H0F(@km-g}tEZxev9-Iy;; zJPjW>loLy}-Ri}SJ#g+|KJ34Mzx7U5n!SxQAZs85Hu4ZR_$B@qZRY9cYZzbtozLju z94^%84AgHE9;!E*=DdeB-qYG8^PvCZMC7e>sZ(^+&RSCe=lP{d8dw!3)ae}-tw!fpPk6iP5Z|qV8R3L+%r?)iC~(jgN*#T z=QUGETF@n4#Xl0gk7{W=MXH&V&ezqc4EQG&85a%kH#MbhFO$vjoxh!JK+;t>gYcWS+U1lVihq4w)7O-IW;T$s4|i>F&}^9g&`hEyhM{u?8vG}TA^$c*nSjx~7^le^G^fO(#ohHXs=M}+or)R$y z6294?dTa{gYQgd4p_^@o&EH~3S)ur>OXvhWc=w=WC7mnOS@2d9*4G*dt`6*dP_tmF zdq1(Qks_J>rhAqNi{jPmBVYVi5k7I7cZ))QO!mRQykc%-!aJGJD^Y<7uFa`ES$fiu7j>LN0bvm0g_V=7tjY81vqQ0gBVAkSdpSGJG^tl; z>j1nKEpbTvX0i<>)lRg${I2X=KH@kznWhNI+6fOzV+@$Vf^bi$gC9@XV?$tjK1sbc zyW++jU2)VB<1%r>xYI*!Jrge4q1yQnT~x3>kaUtKLi{tcup$vi*z1OH&0>!AZ==b6 zkd9r?mo;zb3l!+sB^3WdL-2uuuZ=WnqB>*-n({#QbiT!0~YGb7~2&iRKqE-;s`L2CeTb3%1D% z;yRf2PXdvsqq!J=6X#_5iE1hwjCztUCn1O2LUZD13NsqciMlS(gy zC%gW)L+peN0}82Re5XYc?(w)Ge^{n$t6eXMQ=TXk(Om8HlMt`)qatKx7B#gezN)Ao zll&S@lVuccn3d*hMbu47Dh~*QnsqujL5QGKt+i`4e>y!W;q<~Ru1}`{;`=Y{#@Iz; zhIS z6hFhhKvBmXxUwaL?Qzg7iHmWps5J93*C0|;*lVMO!(2+=Vrx1@%SU&jwyrgc3A003 zk#UCW<3}**3`Ml7&A>)3Vpqo8&^#ju{CpsYvQSZm)N3 zNY})&#?y4KiMsFz9YzpvrwPSU)_q+tsC&CPg0r=Wsaq!m5M%SH`zJ)^hL?#Fm|cN7 zeFR@pKR30sF zY``NA7wRO8+tC+DN+;LM(Yh!9n>JrKh#NN&rY+!a>XG3(>0BjUs#2E8d)%eU*fL2;Hu4lY*#%s1F7zeGtE+RE?hDC3!kPCPqR`J=YBWbo}{O3tVI1DZN*myV?-IfbNW|al%A9z~{ za4N6eeBBl=Flye6P?e$zvo9Lg+XQp$C~Dp1X+LYksDFG;JT_8k3q`E?fw&?nwjC_c zkO_`treM`TFY^1(h=K4mXht`B+~llpV+vxE0lFu>Gz*YzVMU-}uqz`5kzu*IZ(~nd zV%A!0E0QH1o6Mugjm&o_#{e} z=4q=_`ye37imbPHhSO6A=`@KImFtVDK?0MR6>hI?zaEkgPwm19XGuq!z>!}lg_O~) z3U=LO#J~y6^EG*cMcy1y*N$no&WvJhT>vM2BhQen^l;ZaqC8Lyp_K&g_|E-*DnMUR zB$4G(Sgk;v$v$4qEbglTWtXidUq-COav{n9jpFT02Wi`@-5Tv-8LvaeUJk3L^1BqM-H7Nrhq+->%XVo@du`g>-%lj=|wD$bGTnc zl<%;d2;Le)@QVn0bpYviW4g?dN#RRXCMnnYcB{8%Z(vb9iBGinr>W zcBX?&fE6Q*&oVszVei%wZKVHDKL`1B*eWK3osmcNNPk%BZgqXt)w5CRk<^+E3=Bl! zw>aNpK#q5)-w@Y(XgA`(gydR&%^dBeFD3O?@orIV&Kg{E&eMr&hR?KE2_ect8iD=ECEn{_;ZF6A z%elC{PAvll1%c9*4}~aI0S%hu_NJ5`*n89a{q|?@w%WZ9oeCGAV~RF@RVs1BO?5Mb z&hm!o60+y<0c^{*?+B2 zU)H?W&9p{RN6!84l3$5ZaI&vXC{fEZSlbl z7+jC9cf8-wm4pWGmHBNuEc>AX3Rp(e^QK2}{u++kOyU!WkAU_hc7y1YlQg5E+j*Vi zr?+ZiP%XI7Nb!bL3*(JPaASI)7tYvatx+;=?+V*r&-6}!(%8ir50%b&%DSt}gR0r% zqXj_#jl0A8&+m@@Sy3dp;Dg2q4~Yk zu{$bV-3aKEpH3{kAN4*>g1BR4z5;Mz)eGM`Fpv2~@M1jePC2eTHjP-593jLxsv)*7 zhq!dB+?0vUZLS+j{l(gS(_5{)jPNy!$IbzRpX70^#|mML?{Gsj|Tw6^Vo0 z7Gmhrv7V%&4$G_3uDVVy^Ywk5htCaBj2coQ!A`_0LK7!QtzkxFZn}*z`&L>{)+5Sk z2!ko~-pOy;jOM%%V@c0vW$E+Ke2q%B*O`WNYK2Zu*xkSh?QYsDVGwu5P9_66aDOt$ zto>bbBzO)Cow(pz5xGNB%U|t@qoQ50drUo1YMSeWJ3sYZ7+svU@#WWjahZ1%Cm&-1 zsZFvzmBdCE2-s2`MKN~uXYpz>nuV%I!eZMV}%yBh5XN$o-sIN3?eGTEuAbtt6V#rS{y|%i^lAi*K z;I|&rOEEmbNp<5Dpb>sN?#Kpb&d*b}2zQR(MisGB--EOX?O3X*TWLaq%7KC!qSQhm zr~g^2SMd(Y$eUl7@Csj}h6!Eyp?;aJx;6YH_*LLaSQqPo$ktdqag^mV<#((o=aeD4 zP#ylMl&NK*TACeYik;uMbAzVPEjwRy!!MTO?`STf4|-^QU0c=Sk4uirzz)N%7Z*Hy zS$CHIE$w<*5)%HM`aXTnp()#oB75Om^;H*5f0A;7ra$fF)Czda6kM_dG2js|LVWp2 z;ywBZ3!hq@VwVbI&&4qHNYC+#5Y)mCtpnNS6BQGcu7tr4@stah@U{(u9C4#_QKj<` zu5qr!bRgyUGDkW2;Q2nuEQLA`1YJBPV3u>(hBj2T!J(16Awj|!rYZ-h6=HgWa=9E) z)IXN1gy;{NqwEGUzOrcFGkCi-@`~=*?!|aF)QLqGzsf!MTYJ|zSwOw3U*rjn+K)`| zt!M%8NP4C*8@glax<+*C!i4)^K@em{qtIXQ{z7O?4iNnAi{CS2J_8ihNBfX~V{m{LCX0dYAx zy#cOUG4HlB;YLv1$47$xO9zR6Fg%tA!99OKAl;yB^zlsUR`HUMrubb{mSeeHjvDtu1;qpSsM;WP5 z$}7R%n-?Ro2mZWTA z-2Rz2NtDN&)8ic+d@1yDV4hI%AgVhgo(>e$Ee!?@ml3DfmgzuZC^tNYyU|UKVrpvX zm;i-c`JyE5jfT65VNoG11BRT-%G@K?X7~+3(QDz(n86t=5`kM%o_mc{?&l94X6y2o ziPf(bfD6*g#;&6~%<&9dbvkW0ewH*B#a(4AV!SL=f(?Hft@SQrm#Q06HHDl(v+EiL zweInaw9lskD4Y=apCrJ-A+JBkl2bz+@TA2Ia|H!Ea_ZNrw1EzLKJR#Lrnwigm`B$AyF>PHXv!Gv%13A zgJe!f|J2q>$M{w);M;3GxI$kV2nk7ArQwFh{5~FtCR@SLWf#JfU)=e8`fwR}7=l(_ z!UzpM$=4yl#6x;L7%j*vl`g zOPShQsJRk@W)7VBNj})L;yt0OFK_gOe^EH0BI(P(Ee+lzZ$d@EYI|NFF27FC)$P)d z1T8|n_6klxJli`|NPF{$H?w<0LW_`C^j7&^?Y zla4@7Y}vpB6bNx|8mOgS1fU0S55JQ?yNl@^bQiJ1f^ETC-6Z5U=fX1-N3aeMH;ls; zQTuqFjoWi$?^{=Np$n|^hN_~zPwsTN1maPHeBai0#noyj<)uhfs4%&3*#w4Mp z4hW?c$K#^t#LPz0p0ogB4?9Lr6aAh?tlUAAN2vQrLdzM+luy&H9z6asIK^OQwpDw) zAmuG7T7+~qGQ_kB!#Lc0tRV|JzADvTzWBnYu$k4^pUi}D3Ewkeu zyJyqST22-iva1}6Pc-mlu3qv+ypSq zN4!xRAAbkUa-;?pb)m)JQ^h9suS1Ts_J+{PmqWO!NNon5nnl$_Y{Vtov=@xJc}3qb z$_dvpD@4D>S<7xc_*Y%f0+R8-V{QwEGuFiSG8X2nzS=*`Q((JEw*9FiV`?CU;~eiN z^v>02B*`waSlK3W`n5f>x$o_N!g(DqaH7sUOu6)sR2Ey(I&rbBg)!s0L5F2(z~wa_ z_tb6A1Q?3eHio=<=bCF=AF`kMKm^rS-6nK}(>E`c)h*tUAa?vFPChpq!)*T=^{MR3 z#r3G=>=k<7WD5ak3Ff4X^V+Q4nxljqhm+b3ADQ~=Um%IsILY~E?#qiC_*%_h)|@~m z`a?_2l7_6sRyG!R9OVpb$;#J$Ol*9}lbpbYuOlfat#jZxY4qhnC-y82oY;bnuEonF zxf+>8e0e_fqkT~I5-Jy**GA`|3pW8oH{?s^GVjGB#8#i2xIvoIQ8f?zfGMdqPKC)9 zoiwm!qI!MEK1N}7!)7pWIj^#JuDPu@tzHWSkY(buNDm=IgND-ufMY0rA9(SPE|!_I z{b=%*0}9}^g+!wPG`D=-^ny_tJtQ{G8tn0UJ04Xrw1}TH2%dU7L_6FeZV=17tXxb^5iJ2&bX@LbAnrv$0%SvJTcdT#(g>-fC;Fkx+b(LirnDr+ zocZs2`!&qmkwG1=aCJ2p28TZPol3ttd4I$~R!XsHUXjUj9LRLi6>>(z)&$wV@@qjP z%WIWZ7OH9iSE?wK~9s7OHln&jv2UEh3EXs9B-l_60=`eY#h=wxi%i z^@z1epWH+1`rgTuIX+fy*9QVSfX5KK5^bB+J9t+cT#;FM6MJ1&to296oLHLWW5E8t zzY2f)6f1xh^)o1w=rp%|{9pmUR#zPXY&DoL&#kc}ZNw%(v|WA|-$TXMqYsi7BQ>?! z<^B8x^WOg~_D@4=b*UA3)G|__763;mxPCMR5IZ1TH@-077shnbX7?}Yia8<|pG$Gz zHeE!bMJ^t8Yj^3Ir@66oatWA~L=~{MsZ)`+E^tBfoJI`e;!9J89X7kjft!~((#KEr zpd$5oM}14p>#Gj`-rY|eP48oarf8@gHa)%5Q$OC0oFJtEEr=s7c~?gK-kjJMI%h6$ zh@+RfKqP%j!sNK(3QgUwQK~6PrR}SvD!~Ik*A&Kzf=jKM#rmhK9b& z)~k%nP20X8Yz|h8W#c-}5vxsywq@G)~ zzSS~TqB^OMkUrb9K=(l(-7}7{_(dCy-kW1~;0K~RdpfrY8h7YePW9=q@r~jMIPZ7p z@0G1$>rR9A^{~i<*|eV3=ot#VG*Pn=lz_HA2NYlK;%G6y6p@-|$LQH5&DA6PDO&(` z{3-x-d>;z9W{FPgFR2R;%Bj%}hpIdZQQV0i=MFzVOO#VGv0CBegngHbCQc%~PF_wH zpn_<=pR8OsuDiv!zagcMw|_e1zan6nlS6wVF`LSTURHAD293FERb`Ut-~w0{n?-|; zy7HmAcP;yM2tvJl#h|YrD>vMP8ml!_Mt$6BD;Q z6o7St?&P@Xo;h?ED~C3}>%8X2D`=auYnxDuMr#q1=WE$=L_f3E!0N{4z|Y?r2vE1lW3cZTqHHAY(5_>e`N)bFpZBPb<~ z%Jl8f^u_Z35!!FSQW*y)&9|-XMcA+_{r$K?U3=}U#HG`Vf6}52ejqq@YFKjZT^~?L z)FMhd?jVDLK-p0P`#Q=QwEn{QT4&oiyAqzzDOwHS$1@%)z*E(=HlBhonK#I+C`AB@SLG zZf+su{)voqL0&XxB&4}!l0P8*5|rFQWrLVIdPmli$lot!Qew9UT=fc|GLCoIoE1km z!EEQ<>lP!qNZZ6l?z#f_%vNA7SftIOd^Tk7zT{Au zB6#dLcC|g?lz}4_9W6XjzE_~Z47W4iwrA#DM2FHH!*N|NdTdPS*ut$Fpe)7zy+=Tg z7a%pp5Z4ip+&oW5$5>1cL@{hWL3MC}wk)@4gm+)hd9;U+W6(94L#?aB{Vm;+7?IkU zM)poDQUtBC@8{&w@EkCeNyF5KbgO7Gd5`x1RfF`L6K&b6`9bqc&Eoxp&+RYWz6eET zZaepxF$*KH9l{e`CB0D}x9XwKV{aq~BEi&~Hn2ntGq`+JKQyeJD%kLo{EaY*Ai}H< z!gztLIV$MfObiOgCC%g)$uK^cL(1h5gFo4d3&gD&rX|3Cv~Q^jh*Pj+ZhXVCd#Op+ zf8vrNq{w{zSZ~P#vspl6VqYvQ7CPHb)8j$!Z6wlN)wj@lu)6Ki%{76IzOtSHPgB3T zNAV4``Fis@nJk;ci9EmUFP|5q|H|6rXNWN-#@(P0C zpl+z=s=c(V^I+aV7zso>VI*v3rAzr*ZAR_}c@ngKk0uBwrX9w$3f( zB_GZfnQLw62ng53feXDSqXM=GY@a``deW0+d+*m5AEkxX-&$pJ3r5Ec3$7GVdlFFq zSO4c#7t_0%abR(?ifz6=QN=4P<_ds0^Qv557k?f&fOzN!O=}E9w2vM=xOG^Gwtc9A znteIcl^@W&Y#fECdE*=!H!$JMtBTpk@vAKNgJz_#kC~{|0c(+Ivurgs`RZ~iu(ETk z1I>TORtF_hN6(=$!yvEfsb;b=L?xqTgza?c+k(v~-&K`cYdkS$0g23Zt9QIet;1K3 z2PRWWQy!vb1&5lcBn10`l(i199sg=(&Z(GuOh~G3OS@Z1xdChL8EX9rVq?*%I{}Yx z7k%0utDv_GoF2C?xPro0bOb_JC9o=O&(HN`qe-Q%D01|Ly|lFf!05nB{sQ5>?wX`r+!_}`4G>P4qCPCAo;bT2d3uZMa(h!fI7C@_D#)5jR*-U1p7PQ`Evu_JXQ zb>tz-lw+L^FseHhAW8oHT)uXblQyGm=I1+4vtoJ0gvOJXQ!YfL?GaF01L^g>IlUv| zD)o595$j$AcBmP_Z0EQQX-Oh`0$VW01fdQ@A?LoPVw^QJS5)j}j!gfUW*u_nVM;IX zRv}+O;IA}0ue~a8S`X66XM}=ql$5MZtwx_cJLJbihXOm|w<@$-K>A)~{Ob1|d@vE` zUpbzk-CWVCjmT)qLgqx>XwRZZ8RcJ1eOFT?OZXD&ar*~cP%NzzB$z%AQ|`}CIE%Fbyadw*R+*6cez}(FKt_(Qc*P3jo*{g)&{y}> z?UJUXR0$C88Q#P2(R-~ITwGCpD|x^|;TyJVqLJzqJBO^sF9ROj2prs@55*aKmJ*|E zkISf8#;6!a$(32e_M-Yh@C+A4X_)5fq#BZs527abLd&z|kZO^b3sI;E#aVwAjtX=V zS~gB?!*v6(mzjYtv{=BlSlk6YJTN_-+_alnE%xlqnoE;I-fHK->JvXosDrt~M-a60<{UlU*`p=kNVgtGCUG^!qZ^t#6qg zn6BI_ihvP?ff?I2uG-8!1}f7UDzF4x(nVAA)jMi9!RN6Zuvi)U_LxpAkPB94qkbq< z{r9WM8@?gY`}GTT5I?I60~Z<5reS~M2pn8@*^xxQU^cP(p@zkZPSrBu=6u4XyC2lE zVexlt81UhtzWPdYq~_y@YTAtT--z{1sLn36DoCkk0AT&AX3Es;u}swD%f$TICw zQ88CadFNB2z82fAJRY~q)%%CBYI4^xrEuD%!soGLS=0zX{~Wicf^M0=MR{7ni_#ud z&X&c0)jOs*US}QhvRMm$x9UmBSQFmMc=N|7ZFNjK(DC#stNi)P(Q}EV2kUwcp+A3k z#yNT}U676QHOZ@MO@*)_7s*l5{+%RzH8A(!k{X+%+}zLV478vx7I&n6&-{TrXF(&S zFT@QOYTi1vSYw1v=!juk;5x~Hx{8Zc<93F47A%!g?mbiWSPayPmX&_jz4`MJkijEwxS8(}(^X)4TkB8| zMEC7mm1Q+6VB74F{*7c@a*TEmz1+=O`H|2}wSCQ?K;N_(jeqo}ejMEtX3X{#WLtzl zao^1MHY=)YsL-@Kold9h9O=#JC=tf~V)!s@DCqd?@~>JO$X2@MNILvABiTiH>2t(E zwvhKjvw?VR&9&X28gkKc;`5qb{mxXsOsPt$K5}8QuQ}+od!5d}RX2^{NzjvPWZ%*^ z5+1Que!hYs&DvWifg=Cjd5tlt@Q0e*jSYle_Ub!&87Gv-Fu0q^>|pF3YxVY~k=jTf z{sJ{)FUFZc0;!o7ASfT zIlrVs0u|$%|Ex;-Ht(Hq*aTNVSuuAXW|Y&^JXL=-5HlKhCjQuazH;F(YfQ z%o87cdH(HsjD6E=FEmk7Sj?Q-g4jP%BCK8ZGQ(ti_qwin>`=wN-^4j_z)}A^?a7Sm za^%8{%>n3w$D=%C954YD8N5IqfG_G1h?6FxS?%&yrm8=_;?BZYA}Q#*aO@&e>8*Zz zXdyoT_%ex2_QIy7XB!tp{EgLqhSKZ0D?$DXI&Vhh_pV3U**J8}e)17uQ^C+BGYQ&yQ(LbZlb~}#c;Jaksl9Oe{1m|} zNIwg))8Tgzef4;n&v&v1^24e)VafFF|9bsD!%IcxsK3CirnPMi>I`hgR~f@L;d=mX ze3_KPvDNU)3OJ?#mi+lL_LHy^D~tY)6u8_8c*9A@z{lS#sIdg4JWyuUT^88)7@!EDNvg>(9KR|0%5NI!`WsVbfN@8H^(G$)m`x?Z;NJ7~bB;wiGU zY1tcGUF;vEj2J5nX_wKtd#qz%o`ko11^SOE^k|z=;e#rT73$t?{wvWd;r_b3;P!|< zK8bBZ@Y;%NuD#Vviv0zDUqgUzEG*n{%~g4< z26!j#d4%38Er+Fmk*CYRwgb!$n!Z>oX|lwQl0&Mp9H9Ai1LyT1uhKAfwmI=t}#xN zS`6^W4V#MkJ|JX=oUuy#%mCArXoU(wv=-bS@R%o~houbQ#VVl3BKfUhe&xtXS zH0Q-p=xK7-eci_SdYSQcaQ#6IpuZ_QsPRK9f03`(YMXlagdrgPt)<$zn(_ymc}L`X zv!?bHfx%4w1BomKIFa{u%)Kk+&ViaGK2zlMt5mz{?apiq^BnsDE7Bh@ry(&kJWLk@ zdXgMq{sMqq&{Bec{na`VqH}uhBfV%Bv|lrU^Vv7d^Pl(>Wn*ZCq+vlhTLJ+YR6=R} z5A}AwMaXn8^JQ%TuDGcyAl8Le%YU+Bn!&HMon7DE)TMZbLTv09A<75P8%Sqw9x#tb z&WxLMpXlP0)~q9YLT2^jur`9NT!7o7OCxZ47!+_3N&n!$%`^GqrAG z4Nlw5ri|(MYFSD+gz=MEk=}-wBZ6bY{o7OLS0A)`P?3+|JFflI<4A&C(a`I%+IMbo z>oO^u<7)HA)V56Nm(xL%=~G}TL4>Pc+;qpCf0%oP90#oc+$%7d_!h2R)v;$-3+nWj zsxY>E6i}($I*v%&EDhH)%S^Lc%k1FhP6~C2^62`03?FJi zE1e)n53@_c{bQo$rQhAghgJ#XF;B-~t;N+S%eOzYEPw}fa0cnfxl%MU4qqjvh&dsU zl8%4cezjd5-7k@>OjnigKY-pEe;#~<-;kjjCs!mZ6BPzWhQ{+CW%(kvEKYIwTFxhT zKC89NXWeq*G8l)#uP9kQsgB|#eQs|q`om(eC&gzWB|3V=| zm(5Ra<-GfZqOSy;N4Ot!9|X{j>W`SUv(E54?$E>wH4Ezz7;8}Ua%}EWx=O4?pCplyM~ot zx4vyTHfrBLVlU1Z@-D_G87 zS~<9XumeHCOxg#vZUME$6yU~Bm#vXrW+bpm&TBKRfN9&uD~}cuziEO>oBGLxn5|Up z60+M(SblVQs{$&W{GhgYkfb)VZ};>u=IK8UeEs(I3w@@qH^FQ_8UF!ynN2B*5YJl89!Po>F%qF z7t8YE1%NB!VQa2DUe5@+I&cbe5^>q~k`~UPu9k7aou;e8t%2|i>rV!OG^aVL~9 zVjG)Szs~j8-n!+;q@oTgBJwHVHr^7vUFo*|n^daEt! z0C4C&-zUK0RPBLQiTVzsSd+oPmEodVypR;kRB!mST_YjsqOs(I`d^)BpA8!ZPNEO@ z9xBetx9nIxk6~bcAPhk|2@1s~ID89E2{?C4F8Il@TC$2CV!=raVK=`ti*qK?PolaN z-xyT^ymp}3AH|MzHMhr|uO|ITk+ z&>~f$MB(tBA&s0ZuRu|H!gs*0L5j=gh}73FVK%9jR;jVwx~2##8c0QF^4IP9XvgZP z3h9HmlMy~?o8$k|`g?%DK$znfCb2NIGZj_uQp4s`0Y771ni2Q4$1+N&ISFJ6T2W(q z2B%fT;?b(750M#LQ6hUjCBckMbO>OhBTsc~&=@O=6VX1ps~S%UPS>S-Ku^`xIl|l0 z|9TqCwQ#+j<8i$R_j!|zv_AL5q*v3sZ*45-_I>*|KUErH3 zy`OyqvXJS`_er&WJoL*Pt{QONV6vt{V<++DI=QF$myRXx0fa+iV8`@ z0#kuLKmg$E97B|<&-GP$#_bBo%#SD(`hC;;eYM-Tm)6Y*kH65&{2hz1O#)80@~#qd(XXD1!Bw124LK-|bp9AUBE2IQ zR1?4R>8x#|E6aI0QXM1o^`3Ytd->P{FrH40dfw!xvk-sM%;lA62$~^fN|$q5eq%qV ziME`1F`7yR+f^rpTurgdxp8rPkg6ZiU*o= ze$f$!&b14J7j4+YhygN-G-Z*JNJ>#016{=7`Q9LcPGx4Qr62kTs7)rG!s-gsPV!uh zr3(IkGB}J*t5wtiT8Du!)-&iG`0sSd81z2+SS;vz z?CyiH+VBp>)Z3!kjkp~5rKD!Ed4~zULSzrr{fNk*!uPNJG@Lgw`)fmx;UXI2-_S{6jM^?Umaobe9iBo&E3coF-`(1 zFN_hSkMomzrw-EP5AtFdPfFWn03*zaHjQY{(=~|M%;)s?Y|RT)5xd5kA=F&aT`IfS z#mSrqW`@}I0!Y*XHLs**P3AX%oqWfH3&pqh^a}5G`o(1ZWJvX=dEr2$XAJuen7Qfo zD<$h5E8}@Ccrd)bA-mk$ios1Y!d=_9>(sTMBr7%RVD693ogHCctv@kXcw67+mKj9P4*rfNv0p-uGBUoY-`d2JndqrHru8C zz0b$9k98~HKq}{D=tl4~2h-&N!ycD=B$;}w-5gtWEJ|ePN;s740*qya$I}Cr;DD;c zk+Qr`kxuVN@EDuYz<9z;^#nS<`DKmkzO{S+5zFYS z{Jhi)MvfGBJW(p46Ht1}BKEnR$Ko)L_c1e7r?K8RhW!zgv~=CbFuFz2+}yGPu@>dL zIjxOCN8}!Ij=M-k-`_oAYzP`-Uvhriw&?8pzc@Pgc&7XR|6e)G#%4p95nCx8okGfC zW{S$G6Ut#GmGhyiB4XKQbhJ)aDmipOPMdOySlfs`R|gRjF~hfVUgeb8-oMxN``2~d zO56MWIy|0_$Ne!|dCuj=iVZO6m+5%gQV;nERVf{jK}LP{!L-WQxU6NlCJt_;2kL|Z z&D|MQ3Hnfd05w6tZCs{7pA+E)n%3%fDcz~vQ3&A21et^+rkk`=;k@*QmyjX!p*$bv zA^lvW|GJ+AhpSi`=tq%8stww~t$4Ls)IO>W+>zz-*vTV2O$NT__nGRQz!)1lW{ve> zxkwL58G#b;(pr?Zi&&1v1)Kj@*8_|FfC zM=W*gsW-Xg#}KRt_JzAhs*<0p$9DM$meDp^P-s?hae@ZRN7@4Pn5MDu0x9|Ix^y%oN~1x6ebQB+u5Z`zeohj!< zHAKsNdRW#dWzRnSx~jb2RJ!5uRySIePX8o{+f&buY5`9B2-}A9?~kg2=?#ALdG-Nh zr)-&)1BwwnqC#fV^GUwLA7LK)$2dnp70HiOm49n6&Koke>e8Tw1IE%fgz~QCgbHii zWo5(#`oGz<$UO9X%BP*u31q+ls@ktLJ&gv#vowumsQWxJC)Y-Ug2 zk>tJ3-9=jL9+}{>HqHJO@Tfpy0n)Padj2Go(htt5TAikCX7YKtNekG)3g^EhydRGZ z&8W{Dpe(22o8D(!PGmNzWE-REuapH=Qekadbmu1+!O(2orj6BSB0RBPmf6MzPoW@R z{5+c~1kMq22DSX4nkF^`Xj1@*a@Z(2lq=K#nZDe|K|{f1;73NGBU8S|SkH{6lrMnM-Vd80kp0~BOSQuXObf#&SLlUf2_U&sX(dP+fB$@D^}0g9 zd*$K3^k#hB@_F6kh8w3b!A)Nh-c{IiM1G>G#%w}nxJ=wpo1Cf2C1=_Mgx*PU&Z(&| z8JQ3Yx>R<**=W;58n|~W%()(tE{Zzi+ky-F)$5U)@`DU^41&;80SKK!-i?9Wti|#E z3YHf;;(N8h)bH_7ftr^?YO#=18K3@et`5TvSH7Q?h}^m{uH_-GJ#0t&EX3=-#gPO9 z1|UqWDRA#vBp0XI%~>qnL#>$=5h^2gL~_>hb_`rK)%tA9OhmZW8E~C4QLYeI!2G51 z&t%;wasqI`zBumhW9Ft(m7@CEnG(gs$NiB`kfzO)rSr9Rf_C@^}TUf zQL$Qwd;27{LXT{^j%hL>j7O+@T_#HmxE)yS2T0D(%mGC$&9Co~hJ^;6qfvqJ(faN@ zIcA#=h$%FAa%i@l$}zb8neKCC*LSdE*2$+u@v?n)06?2`k6!$FgGm#WP61sOJ}aq| zfzio9Vpm_}w)$D>wjr=yC-T@E&l9f&=0w?)-#2W&qWX>Bz48fFNmvbc`WfuxZN3zB zJA*t6@`(u#?NEoNVcEvG51qj(UzA@r5MA3jVttLKDr_)Ii=(m>M^3@HIGp2>R3%=&NkE9)5wFF!Q`0NCrsDPEKgF+$?;x2&2rvM6d#uBW^*w+ zO8@+dcyRDb0q+|Kx)o(slHf#9YkDm^1a|w_+3Gd2q0bpY2Iqshi*(@paQyxCb!>Ko zu`Sh8*cu5u(07DPBOBb*=7|rh@RVV0e}seN`Y+Yi`-O`8tX9@mH)eWvR9?NtXiiuC zbzJsJhW(7o$cgv0h%M|G=7PQ*VrFiX0tdrWaACVL7{fP4_~RG%zNuRc%rjKwc2VIZ zniT6}(Vt?kncVEOQ&owosqIvky?M@JpSH{=Hiy>D!k8`lw$zIkpMdnM|1MU&-)Z{* z4}}7PYTIa>!@NWU6QXt6&DG78tgu{sod6-536{&5YHAt?lAaK5L5$G(ZwC^}2K)BU zYW5vViY|9yRC7~?fa9YW>ye0cxs6jXq*|U((+!@-x#_Lx9q(TZW~qNzB@i$)?w+L9 za6`G%N7BQd=NiT6%k~O16mm2&kgnwHn=6K_NpVW=c-dTFA6Do43}OV z6+<`cRW*tDK9pldE6gw|H+z|Niz1r^nyzxz{BvFP!(YfDrJ! z1!2-0-}#X@=y=~hDeTzT@!wLSozofN^oEi0_{$>ImzM37X1)<~fqoT;W?nffKh)pm zg=dv+fuKQ7|1+tgrR9JT{zwDD@uGXVMX}g;493X}KdNl*b$Be!74; z!T)X3V|SmfRZgOh`_lquwdNIBm6NDF^=Gv}{Iz<;=4MAY=33I1`^&PnWIwF=+Rmu# zDG%MNwJC@T=WrbL{uzDH>IyQjH_V@F@IipdweEI<1=ai?P)3umQGrRTn*CAW*lC%e zf$A^pwMtxLmKG`isM{w~*6O!BGS0Uq*`onx4tDEz^l0FF)C{-_8~CHu7uojARL38N zg)U3k-o|%^$Oq)&Gdi;Iy`RlAjm+X`z*F@6l&D@^?CD;w0H(kPC`@Wehq)}(^OFUh zJ3Rz^^cf9jdd`(8X2%C-HWFn=e!>t;Qfc%R&qG?x=vU-1JMpz0A#qD7gfgv1>Z8T| zygx|R(ykZI47dMdX@GmJnc@M8S=jyVwKT$UO`BI1eOHF$j%YAXDVe2%*6dNEg!Fvp zk9<*1&a5GHI{5xmX31N47QlU}{F;jvvdx1Y%gAN}Cesm=TKJV7P?i}-kh^6Ze|%Be zi-SJX2SB&iopLVsqYXJ|J-Zdtp)Y3vv71t*%h;~`zs~Y=`5(hag{lBtdVDh7{zt+f zlaEOa%MQ;p!b^)*@xvm`;buGO~S#bMs>%T5ugJnnWW_6g$iR=!-&e!p4Y}2Du zkJK-{Q-a1j3||=>QAOI^xOh^fPN)IREwGwnhBr5(32YUYY^oQ1<(SVvkv48#HHby# z=JGDV+9_Za`aa4g&VB`-ACDKc3ev;P2=#R-u~=-)8ZIT5C20Syj4i zJU>i3Hn8_B$WYjbsfWhbeWplD1{$Ve_auaN}ESN1KSm ziur)RaQ*bIr!#ZXwry%>M_x7!j^Q*l_V+fcl=pSRsT^(Gt9?{7G}>Q)j)Rc+z=aGx zy>N!CBjvhn|29zyI0m9SMV)CA?}T9qDG<6t2If zYwt^L`*E>MUi=D_NY_=*2-s#>wXJCt8Iqdc))BO);52HN>xM>cM6jck|8Ua)w$VnF z+r=E1FS$Igr7lF8;X~eT)QT`~NKh<=oWFZoLo?6vBh1CtP_3LD>j1a7q}T1ANhvl4 zg$I2H)#Jc1BflCmYp1Q{ZGivMPpCpU6o`Fnh*Sp znY4N`ZipeKCFU)I-+jk=DtB-2uAaro?~kuPY)eV!@uYe7URm9k? z4?TsJQdLN)D0bWOB5JkLdlnM&V%245t^OlWb0!2O2=w59H%4lE~WUH*l%2@0-Lu{V9mzOO#YqYqzyW$8D9Y=~^O6riEhk9*rXs6SVn zlISg8kil_e@1d_O7j4Rj11{+O-Ba6Slj)?S#f8lY+V5cDgvBImtOp1cCii1v96bA+ z6NpJD(1iD_NQjew3)PV{X0OfEd)vb5A^5JO7;_wMDMv8FIkI%}^&iel*c$)Nuyvr8 z^0d`EiV1_$JsV&U0rVi1z1O!jIpMv&H0n8>pojpO6bA2{G#f6&_u- z%#kfTK1*P?7OZWSGS5G^M^rWrP>bt~_V)cqiY%ekwhTgA19UY-xjm!oO_i8Cn8lJP z%i%w6cWWDPWGVF=v==lwS4XG_F`oOvmFJye6muuVM%3BHbX~x(HJf_q5w5gCvNnEb ze}X^j_2PWuxlbr7qHm8)5AiW6%mH#GhX>GVQLv0+M$iD7j$+#q=H>2bExdI3%@A`|a5%el;U!0~;-Q=qksImHtt_CiTHwueW$fH~u513)= zeNH1?r>(r~qX6qsgNi4yOlX72O$=49$rMA+1xh9gUV9aoX4H$C_h4>VL_{@2T1@Xm zvj4ARb0X|iN@-=Y-2D|=XyE=RY+PeUd{4w)Onq8+oTHPB<_(uY*)aI3>(<*l}_^C%gLGp z{4=w?%Bz>^K4No7@DWH_Z z&|BBbFYF<;Xfg89k5nXvAU~D7k&eyb?T3bz;{#`8sYiu&6pG&|1&HRa&YU9tQvJ$2 zJ=K^7P2d0~gm*IA7UZrt(h4d8R+dcc1dO&EIS-K>dY?+1XFFn*zm(M1tzoTw#0;MA zz`o>~$rM9Pkerf*+;FcTTL?5(moarUR%PjnRoNHLt?oN6h-%xR;kaF62}sg7qhsfM zgm8wLd7GuH$-0clJmuFp)4o&$tbAJ+-g`25{VYIix02{6gleg)Ek4Nm_F25JbiA(y z>>WFmEJP@aN;x|qgPT##{%ny|U(4a~g0SYM*Fj9AJSFOht~oAT|2b*H>$b#VYgH-R zRgHd$>8-{BvSFx!(#qbB{l0D&JCKhOyT=zmWp=pop;_pM8x_XL%b)*vnetx=$nG)h znqCQhQP8hgc9oUKQ-ZnGCfK7+O3mwxlRJRtF{{4{wfslz(NIgct7 zL^hUu;U2a#h*Uz_;<-TDUZb@~bKGbB3jM(Wvg zXJ`@sUI4f%h}O9^&y033KYgsUXCLjvRZ<_$J`K+-SDe)T9hw_P#QgmrTZp)ZQx^x| zN5H=hY~{02Ya+Jms?wkZ@T_w3U~`aGG+L4f1ac%C{tz@q$(fWDQ5u;=__?&c{RHo{wi#LKFUczTWq@46 zNorpP5jB0t_YIf6;L`L_ZQ|n%MS7&`i?cEZU;*)XiM>v9A@Q8OxF~#n)=IIGtcKX z`qJ@rs^WO$mJ8&4BXrc`FZqx3IILte?0zzp=u;!j-p2g9;TZZ7u#7Vrf`T8Qi^q${ z0MX?=%LNN!BO5oN>|LEpjd_hK9>|NIm|tHXPj?Uthv{i?QvRYsT+TB#44A1nmf(hl zOVsR)EU+CBU9JqDK|=U%dm0aJ>#5toQBHG>-( zGSpX-0HDs=Ur$RZYjQx{<&~Bz%$STf$Y5c_$EsEGq5VrC^8zpy{to0|`aT1J#a*yu zVd2;i4GG@-POVkKA(JBV^*|as> zS38Dz&kfyF`nwni9|TDSL0Mrtf*FAVjgJIScMnk^bRs;JJo73FPyskH~ zOynU?&@gvkJ|bqu*6#oGW0Y-nv0z-$KYlh4z(jZ}(lFgFd55K}jvNC24kyQ!u^sh6 z1@3sdc!?lL2g2Le%OL#F`paNd^^a3}V6ni!b)JF-z$0zcJ~F4$x4-_^sLL2p)!YmdpQvPsT9W5J0&>0EfM{Ujpg`bjLfEe|8%zy1` zi`mOlk_RfYcG&SE6F^c32m2M5EWrG>3TW~!7~IgDK$--f#Pl;|q4$Y*Tg=f~d#kaQ zwP~5~bmNAhWMbGq7aZMBhH~j%m>*_zML%>3&x#>C5o-K76nT+D3jeoVy+k~R3*s5Uq?{C-Tvcr7{ zm3P4n4F#eoSIsdAj91ag=8c(fBmC4BUtyO>Hie~(6Mn2mEY+~n>k_{|?!DlYe$wL4 zo0yfg*vlo%H3m$Yxk@NU4$Y3s=i|#`+s4JXxX($@w^gHy-4mo3GfiF+s1Jv|7%Ue> zkzyDSO%^X_A>ngz0L9R^J6@P-9PP#?Bm!yNv`$1_+A@w48l$P#Fz_yCAAG1Dy zs4jFf`C6TWZ8+vG=mLi42t~ zrrAf0dp|SQgX2i_;m?*Yo1mfRgpNoRso)hW0Gk7ytH7>bgBM&0rPKc8&F6Fu^Me>$ zAmLT|)pfquV;cCk??OMp-ZAC}XaBt3!S2c>eO}_L){9a=t^kE#${BE*&4ytg(n=Oe zi908Y?=<{`=>&9NU5jnl3n<{!4l4l}Ey?6Qdw`5weCkQ7*j)m&D(|)H9)HNQjRAvw z*rF6XABVblj|-4`a4T(anwv5^`(*cN)vzt?zV#q~AO*vv=5sD>_Dl{tG@<1Q8u=Ea z2dst{W@^fLbLfIx&o%h*-aBQ?2VE-0(*xa2T?;S#9;-KzQJsF+4ISo^!U}gsfo9)f zW8!ErZh2vIM2N|;lfwt}%j?*rEU3s((L+?L1MOJ+=_Z)^gV|T~OO5Qe`d4@E+A+2H z0=N)esx$8QFi;loViAa#Pssgg5EURYlOfS@5KwEdz=yymfrx;UNI(8>9?S!N3#)yb zXB?jJKnTU?DAv=#mBjYkooWQi!hIh^xtq-NlB3e~KqcIOFd_6guD=OP?f88XI8V|L zenw}|i}9J&l$r7-#&}KZ(HHi{n6U0A@NmT7{VUVcR zFZGtEsEktFtf#V0f7SnU@qgo(9dzXAqRSWMjEQMu0DN{kKBpiZlyF3;^H5G7=zDJP zsbCdn1U8jW70y+hB{DFsWeg{5sFVsQF(22|zh@n(ou@&~@{(G4GecEWTzrYc6+j_c>)-Vf>But^Cs&*uZyDqMn1Rz zNe|*a>=iuCKGsC3MIM6_jL88c@V)O=J(5~a6axD3UOH|vt z?%L-;@H}x99L|8Ow+b3+Q+8B;Bg7jTqCy1fGs%bV*0^LSL=lnX<-fm-mI#bNl^}ZG zz;-cWfN)<;U2vG8Az5pn79M5EN4G(=ly>pG`tG{`ijFGdO>+i-8)vXFJl13P(soTN3fz;Z&70NUYxNW*cInnT)$a ze+rhy9M01wJ#Bz?Cd7b*x&FwZ&IkwK2uo!sCLbW5;-<5jpoPVg{GWSmB#&?ci~lSv zH@!O(&d5eX?j$rP?>Afhiw~So{pTZP*D@-pg!R5P9Nbzm~mDozdmys+NyCA%T9=Jaro63M;16)LZ zUONVteNJN^tm9^|H`W*+FZKnu+K>)(6pl0k!=nTSPSB5Z@RjGv8&f%U4HU2pQ{S~k zhg**1ckY+rLsqGhV2&Tl1*r1#%KQ-LTb(Ny-)0rpusS-rQOu&(>1TVGrhshF=)NAs z1?N)_7C!%MD-Y3r$|3bMrX*j@814!JV|b;uibCCTkWI?7pvY}>)5$)W0K}oeSolsX zxyF9C>k9a?^!5o!mop=y<+PUjuKHB3{$_v3PvFIF^-w9d^G8%|cPP#PB_Dj`mM0!4_*~ z@)%(%^joZQldK~zkxoR=QDyqKM7i1owDUgfF+Wv1S%U*;zJ8c`oK0P`aLW#PQZo>= zLodSr(v~4S`@e|A+DIgE#hA@Q%MjLvA`*8?1VY^dp^o+qaPl zy}0NN%`oQV{!xl)hD3EjYiH#AX@kFBf4^8%rb;cR^|h~<(dO1A`TNVh;&UTiV^T?* zxnArL-4u&0cYB9SjQ19WWW|olh2bg0Hr)%)1nVH{liNf02xe8mKsIC@zO#Tbv-P2J2otk*5b#?P7R#)?Ba!q(~u0GhQtl`+!$ z1-WNg0Av-k%aRLdf9cp{f35ttTin~fl9Q>vvAZgJvE;G2jKp27(fx*=tf~-D1j|1@ z$Et&R$)@@*r<}DADjIJp8?uJEO;Ebn=O_SFsv)NR&*aA#llHdVMV3n3sKtNF(@D=I z(^1TXZ>v%e)fjFoBM~$fR|3E6cOj%v3=HIBCfgA$Z|(ys*#^LqmNIQg>BKuwvfe<~VIM)7gVimuSyC~O7TamP&gv|4#> z)Rs;v(k;W$=fn`f8R%^%9FRA_TtOhhd#n)f?PnKP6ADEQzNBF(>*U^Dcwb48m{6I} z5v#m>oNyC9Tp6P$ILRkCXw-TsVQq3pedZHAwQYd!a9#Cf0)5U?j}ZV!->2X zZq8%9UR=dQVK>HMKAyUdPefOkH)Yiyo*^Hdo$acdPARF4i^)-=$RH5To?RReq}Mi8 z`?WdG^;AIvy@@oLsbo(xs2}OMPXL0=mu~QXsjV%|?l`%sSn&YR7$pMN{|bjz(}E5DgJj@Sg8|=wb&LICfWQt@$5YajJ2tISJebK+g-*XgSRIjolM8y7ipL85tx#9yPa? z=obVpxniy%J@;6iMw1GfrZ%z{Of@dQ&p59nC7Z*zl6&;Nt!7iEDr zN#03T-9{ZoN}8V`+degmo4XdBUpdmVFjF9RM&dbO3TE(EuGI(%ti}D41zdZ2vdx<}`apX0oe!a|)&G{a4l@F}uT? zjP~i*Owjm#Z-m{Ywp}023io!;|7xB`{Igi4=eh`D89f((hEtf5#9XA5$9}b>#Q(y5 z)!|IW_bFNTaQ_ci2KJ`A3Y@?Y!#8~>AobtyBBAlh)^pgS%KY41s?YZDSDCcWF&Rl@bu9Is%(!tDlA~#N^9{Hs)p^~u z)Xe5UBwoO0k&+iQWM1(s?RjqZltXK5ldFAS;VtkaioUu9?r#EkRqfN}yZ)w~3Ldjo zc3|(Qi@2YT4TO7~dTy|)fS@4VPG~PCJ)iksw=iTv{9960CrB8o#XmcxWP7~JC*Aq# z@c(J~-a^z59DlHigYO#`VW*v!81RE0j(q@$fSty4ph#a{IB(X=%-7T~kq7E=3KLP_ zc&~q%l$wcR$J$3mmH5Fgk~PVSuXq6HnxcW0GUCfs<$rVvexm5|88lFWrgkd zJiFJxoADV0e5$$*40!QW=`k6Sdv}3is=A}4SmXox%%QpiUE)3T?~f(BDmHw!1hJ^v z3LS2#Efl4g>T`Mm?X8bysJipVcX=&!H;TJ^2O|BNW#$F|BcW*^t+H%!Zu1q@&yLpy z?3Y9C#H9m-QVcM@+Vt&Tu`C#0p<(BHG9lUCFUX4#=X9da!`wm4T1;88TpqIHDe^WG z*c+wcnt01x>IS~=bUfwLYtMIY!LjA0K?-44u; zp;tR$tz$M-{m~Q74qk+YJ%s1D&;_gwDwfHRmkm+e#rh03B0o#! z=;Btsmjmz~NGlrc)cf}{ z?KIBk^Zx-&yF8@@19TYF(Cn#vBOLQ#;0j~QwH#Jz$+LP~2S}to;HS5@ylR~^Oq0~@)DZ=aVuCid#E^*?lZKoHZxR(Fl9!;qIhl(2m%h;jl5^x#N~DuiUUv<_M4FBn z_fEt((lea+^jFRfDd2aruQEs1+9OQT2kl8Uf)_;I^c4i)q_gTGb9&kGXy_RcsP_@) zmQf!uRFztZRsP9eGut+7eQhDqr>JcjP)s|`0~2Qju?LN=;ky-lt}Qi82MA=&ROhRYtL z^c@+lrmEpzkEyRYApM1ViRz>Ves3!>nT{;$F8-?DLdQ3)tkpAOsln7m$07rtMAkeI z%Y*IM;F#Is(B-4Bh%8AiGEh~z#lHgBvxu7Wv=M#`_{3;n=KZue>80x6UF17ovj4ef zO_^utDRO9l(&k(M&0!B10~^|1)!(7P;aFp$Xnrhbve|)C#d}&b)t7GGE#xij8`1{V zbqPz$nKR8BxlNt4-9~OKvd(}8a+}q1laC|%3)d_2_#_Z(FKxt~2HYSA(nv0e9N2Ue zCIk}DzS%{os8OQas+*O~Em9Gx){1F={}qNqsfJdINR3%Sc8p7e{YA48%lzo=$`3-|_Ygpu@|UOd>%-_`s= zyUSYx?!Wz4*k)JwzNBV(1!2Bq`TCpN7L&8BzUjh)NOr}M9i>q*R+%l8=Mb2lCh@%f zB={?5fUG#O^P^KFs zm>`MB4NIZ_*!n=|`N@?txlp@6Q({LBSW_BlR>I}D1+*{6_e%MPUiCTm>MzbIZimsU zOYjLlNgTXzc|yT!(JkfywK{YUJ$@3Ops>u2Vni4WM@=>abB?}Akhe7D(B#3D4k<|s z$UKlLck&+xr(U6(!Js^~uj&t%CG6qeP{z78{+*$uO_o+84x1#Z?-i0-kVBd! zBQ;um&A<$Xdc3Vkom85ChuF`0v}@^%2#jr3V^8tzcv3#T`#Qn37OdE`ErA^;>;dmA zwZ-nqq%4F?0ItP;KQRl#AXQ;KaL55i6DCCJ#fILp@k(z==~l1zhZ0%U##mRkG!^V4 zl^cgAr@a`>_RRPCNJ6h}4!avMG8>R|!NdCczHn==Uzz}||Lm^cBhZT86OK&~z`&(p z)v05&Ng9PRML=$I1vxTlEh2urUuzzQ8M9usT+8bh(llg!;L#YO+GvN{UTIA;UC@iM zR^Df7Yn1gsJ5z_R%zw12(S2F2Wil|C)ed`w@rtmg?336D5$b(OggXfd&=a1b%6U*y z|HCwKdRy{|M*36VY!i3X;Ev2P=zj*rKec3)=V6bcpeH}~ZVzoqHkA2NF}<~?k-N~a z1gpmwNYNxfw_p#5=ewHNgoUoXh5r!y$^6XF#bht1%+Y?I$R%p>x9-XQZjG8sRe)Sy z=V;vDm~8pe$hLg~H~&a|=VfSFk>M5_;o#A?vpSw-t?u6|JC<3-k$2by5m&GqvUPLT z_(mo@P@B==eOtA3IXRnp2pkh=Qs`3q)b7$aUEWvc<^>069()ddx~Js4&*WPWr{C)~{H)_G-l_gOMiHD;4IODCkB)C)l% zvDF{*{-kWM?~3jp5Nt_mnynN64xJOk*rkLaE&SFY^$$1o*eb}|gi9B;gsl^~WB|km zvo_rJFs*$1`1M`;1}9KXK%^~#z>xK>RcUQf#ro4KY%*of##3d`PFdE>e=&|%n~|5e z9jM(480sSfpb5unl4ilNvkL9HOR=DZWD}-C3V<@1+zHd#xDkxgy$3D0072{qyalLF&ik?o*r%$TPjfd69n*+l9GO0S+^ z_OGl5XX>Q;;C_l(d#bp3OK_IG>f&s1hB6hvINoQd3L`6Fn}Q1^S9N6r2clSi#!lX$ z1RVzVeZg5A_plD!o{EV+BM6g}r7w0w+1K6ei&|$C&F1_6{aX4!H^dxEeh;z{EuWNy zu?q~CTuq3mX94$Ko()iOY$_cCS7@S$jNxai;$i56_MmLQ$e2*};&t*iTdIUXYo=d2 zhEFzZE?-7&eu;D@C20@uL|*l@-yj@V%JEX1sucN2_XAmV8piGB3ZivU<^TO#_G?5$ zoZA+@x&ZRT9OH`rBQWaYzpx{B>`)MP@v8L3A&DpKNbr0vvWzuc#iI*3lZ_CKPvm~% zPYqu%TTs;gp!7=|m$x6_$VBwje>Y^;stC@i4|1sB_gg1YEIPh~1;z%*3tOI8uX+hA ze%A&h=TVfpuCPXY&HugpxV$T4cRD1iy+DG?4?R~MzPi4j{T5?V>ihMOD!XG7uv^4D z?+DrEFRx<+;G^EC>!>&g*X(#w)frl|qTMCGuz6;e<^^NylM5T_k&Etoa=9V=dtmAq z3b#YfXLfn5e6*{Cm6W&d{Mp?tWIg+|@*BWD3G|W}%kmDL7REQmoS=%ADCfw6jn(4T z`E`#jazo0^RuiGcN~s96ElM8%i!W;bWU98|A!_~cWDnM%8%(baP%Le(!$=dsmju*m zh!t<@Ubq?GhkXsV8P34Ar=-$k(OPA33k=RZq1?6GOwzH#T-WY?H|hc&f^@fkj>zka zEceQsmmy87T(SxUsDzAa1DD}8Um{2!QccTaH)aakelHtz+_zw3`kc#m*Rc+ob$bvSfOi!f++A0 z9n93W;;5U5vydBnMTImP*?;=~Q+>A43)0W|{nb6LE>!1_8V$S+`sauHy@-$s zNlO3saY0o^TP|`BR&dzJN)IEF72mxAHJh72ddojOcQYA#?c+!Xl@T!(5SXzQw6eIn z@>?)gUc7^EP+*6 zED8J|Nc;pGt@GCT=%%uR&dep|Cky;bNSPdabgB&h>EQgz*FU*0McfA0Zf?;+5jwUG z6J%9LdijFH6(|@KL&ao^VmyX`;gc)Y4E6122-2EVzCf0VA08W?v;oa;bA4QhXuhWhfdvx%4a8s8*P z^MzD~okV_9-YV;n?F;(>z-lyp&Z@=WI23G+Pl`d6{@RRYT%4Z#w3i_iJuKjq@!vh@8bo1 zUKQt{xEK@J(5(YF>h5Q?3q?+9Lw$RN2xZTYZ%kKLQogG#LebnJdQwNMC;i1>x&Z$w z`6}5rl)hb;wUN~4O%8Nn@Sp{|QYYX)5Lc~>B0Yb5{2J(}p#OWt91XYS$z7A!7M)y$ zi9Zw@V`uP9JKbRTWLVd9UEy8S`q&$7B_pKLT%b&a%|$rsylGlpna#cG-UdE53Hx9M z9lz*}tyFLZJ+>J0Dw&nwQ(BvQOror!*-Q>NbN=jvs0I>hAUFC9!g8(MPrONRTzfiF zUON0vsg5_&EK%d}Opc8gF{O-%cmCy}z+-abmjOWxSZF_->)2}(98EzxV~`!_<#^K> z-4G0uWQGAR$q^{UN2ak@398iOthU?9Q4MwVvelhFwbxL;G$D=z6fr90c1BNaL78=M zfH-Lyp*4Y>(ez^ug!bwai$LVXQABIg9p;}n&@Tx7xUX8w0T5^AVk5Pk?*jU>>K`@r6_$(ED)J%z(A|k5q8!wVq7F}6 zO!`BDs0R_ca}E2aUY|Ivma*I^QIs6-|syKWz1Sv*OUHyf8`$XiuD3A zp@`*yk;2ao*XdLGV%mJPD0Zvmy^CJ;7aaT$9n?|3Ek+J%WvQX;Xzza-`>wJ=2Uc5t zkVN8poxwX6_hU~NtAPY~y;S@HJtuD3c34!(@RWwby71MuiP~z6tr#;fwA&j+C=9)I zzFn@NRab;*W4}c<98_&x$siArvQj!uAk6-#CId}Fc-wKg{~BIU+fP+iAqh_TJ0x5dIYkj#+ynTWvDeSL%VvRho;Q>Ao zUev%X68biasK@%&*NWke)XdrbVF5!Ww=|HZVwh;q@w>_1Sg87jIExS7*->&by&i{( zq!tR!KZ-0mGXgIC5hkh8mxUT&BCMsr`AiQ=1<1|%@t$d~c4x9MmOdgVtJN(d8~OWC zcrx)IObRx(P*%i~J=l;nB~_qE3YNvH_(Y;l1#Gx^4r^MZc4lUSN&DQ zGAYvmzRWxC71_~^;2C{AM{|@=nXH1(t|&%`ZWRBSa^g>@UeR-z@C?B#YoMm4F9>-( z9h(BjtjTXyq)wS2VKM0iO2I)t32Jd+Ml?C;)3ne^#Dw0lPu0`T4A*$=hg~!_wS{{U zs^!4Os>ID0aw?k!%9-7p7d9Z8?+pq>$6**-s%1AzgA&Wb-CC&Aeg^z1w2CMnw5Q^G%l})RbY3FaT}fI-RzBl2XmMUR@LWUlMJV7Vf~vUF z27mDr1ZslEUmlEETbZQD#nrtc`U|%nk8n(3!a|Wplnd4F;3je47MBnvIGI_p9_9-s zw$g^_|3$eTdoq;i>;qKzH|i#q-r$5p-eU~7R1)Xzv>*A7!$78q>2sAVFz~(1Tgl#? z)a^E+y_K1AjR^)v9Qw#|GG(e8Wd@_GH z6=C1=@ywdoYjI?)C?vkO9*97SNs74HHgY~I`uIZSySB8xYZYPG;Juv!kzB35^XCr- zb`MM+{;oYCU-K98E;K1^sai)UPa3m!5sqLb`#gLa)M5cxbo)61IRbGY`~P=bSMXQ`EPvr?!y(X{Fy0rm1Q2Vrf`! zb5h+e^^fAkYu1uG$0f|7ouF_&OalhDw^naPFP;m{QA+AHh>&^(`okUMb{&=ug7}2D z(w@tpteSZi)^RollLTu1rq0SauXfe|6N|4}U5Fy=1u;=lca& zvJT#+47W}g(2bWvX7peprepX?1#!x9zt9dgUJLBrhg)%3^OMsw>7?{?pR>aOLPk%0 zf&c*15g$=MlTrgA7{X2+H#4;XlzuY5J8yj*wwWmF3*(!qKit~&M0VtLoa{|5O{V#os1U9w1S|kYkgir@K!hO6 zJm)!ic~&!fc1(AT7;rN>pDeLY4*$zNZmA*nu!8|a-}^zr?T7L)TX*~iW58pHutrwFL3?4# zc1sI)VJ$v6bsb`Y(VVM{q88KZCkdPr{gcm>mhpYmZC#8@x#7y^jAM{g7$4q!0ZawP zLW0k79*EP@dCbnaQLVg>_c7s;3g8p|UwqaPzk&sK#2$KGeVx&ke(@hJEpDBPrhrhh z+Zk!^`&0MuNn@KaO$Uxp%u_cW5+o@~7!o_IsY!9grsip8z1AXg3}MpW6n{j_ZCGkz z4`ink?1_Y@f6>!e)kl^2_aKqNvQeG;Mu80&=B8gZJf2O?qS}!)A75@MeYgJ;suYrw z&v7&A&X<}>K}{hjKD{(%Z6vC#uoduu%QS@V1RKH@i6-YIs*0FW1AbM47qpjO6#l<3ra}QzV(uZV882j(Lg>rQR;72lsbkZ8UEwX2ZpU+OVb0&kIM$9EV`=okfwM+92ruz%0 z-GN9tCljij9BWSC@*;&H~L856}W?1vaP)aw2T30 z6ewFP?Y2ZumjHjX|JRJx?R>%Hnw6mKz{6B>@^Yo7LsJwvDhwC>m0@Z<%u6NQKxA|8 z6DkNL?TrLZVeK5Lc3Rv_ZXq^$VE-Egg>Bt4hWJWi>ORNj^bmuHna1)^0f@=jK3b

}PJ^)C0$n$-1y zR42-aK({8aT`!1Rqm#bz6}^gUUW>RR^US-q|jtuVpfm#Xb#bvts9^E6Gp zfW}0h#%5c0u5DXKN)V~vr&ar?7!&uV`Y}{oDpHyssC`rhswO%2bj7w`?lLha!FAoe zE1extRAeX>+yVRJtMb`+@lu2l0-**( zx)4AGq=jAu0*DkTQUvKydhZ<~1Of^Ohyp5I1f)ojCRG9`ND&B4dH|K)o3z}8dvEtS z=R4Y^h5DWMA>JMrkQU>Wfk3o~kT`1&7OOmR#4i z_(3o-4(2X^g#)`bJWJ0B!rJt}a@|}JtUxgl!v-Sg4g*aOiRFBF!axR40{1BjdC`$h zPm91A{o=f=#gA%IMjU8Tev?_|b)UDaW}VA*wIt{yCXU;$(XuDX zfKe0Rk*Q#Iyn1xMmw*O?aG5q;jsnCnr*Wi#H(%bv#Jl7QDT$w$hr*w2F){5g#{)^^ zeW0eaq5BG9h#uamGkuE*sJInp>nok99A?jxuNOURM^-oYgaPSG5==-5ArYo2sp^hU zXernod zpBy4hYH(6~q`VXlzCbn&CtxVS1yvL!>=>=T)?7Ul%5|g*$nXKuc(;=++Y1hb#{=@$G{*n$QxhuOIDo z;1bAMN_VDGkjBw402+GHO3gV!wkch*gHBhdi7|j8p_`_4?J)EJ$W1UeFgntOU4{Wb z9&eWN(jb7{-EuH&#=@a|e{vH)c6<{I@W?I$r7kbmXI@xSLlB?ji1DVHZ2X7?pkoS?hHGKKeAosa8*L(#^%&Z4#i+(=^unxMks zL2~OF4a5Ofcxend;EqSwz1uY{rQ>p9-znQ059gA*ib5#+BZA~eQ1G(P(_^^x{u`8` zr6ZLi#||VMRjT~g41>m|ega9{2eX_Fqc+R#VINEItEcaQ#q~0Vs!tt5`9rpbY6uA+ z4OrW>!u)Tc>~%Iuobi^pv0eZT%Att`c8^KWwkEUu&|Hx;CCUh9_2;V+T=5q&H$B)kAs_F)nFV2#e>L3AV5ydwk zX+3vzXqi7xO>O50*Jn-RpwzeWvQ`MCkOS;lhNSHl;~iv9K;?XN_@e`g9#K1jQ^su;`Z%M`!W{ zl+czB8Arj!8$Q!Y_PZOJG|gF6AaDgW#Wg=t;JNKC$88%7h!gA%2HByUwBdgB%N{!y z6F$2aWD3Ev*|28HzzrGlb>>zJ!zItNVe)7c18FvPjUWRUXd{j%X26q}<{@F5xAb=) zi1y6~tNL~zT8WV(uh%l+nC!jFB80r1>>DW%_&(HwEg@)C%{mJ7!dSBnWs{EiNeu3I zhxhI(tC|WEjqNt$qzF$s|LAsOP&T9aDILVI8EW2<_45JpXnG@B(l2X*o)Z#^+XvK7 zC&B``a0_B5$mzpfW@Og9D5z5SK>vKo&oUue`cECkY|d=yhR7keK0tMq`Y^PEEx#5y zoZg;FQWZWqmWz9HlE6RICf`fM6^=Y=*!Q1nSLHM@mY zZ81?{;{8KkxZDt?C83$7SDfw7787m*D7VztAFWz;IHrVC9$8ASj|6sD;c`gA!<3ky zshS;(7!8Fqf)hjlF@K8${RQ~0$#Aczu)@4}07-<(`I%Aj;q^mbx(k4!gLx|PQf9m* z-m!lB$=Fb@T*Yy)0h*Xi;$ZJlC8cRO0Bms_T0z`~FV#-h)fSMYF`uozD34n9DUBNywd6 zGE6gk%yYOjKs z&o-myrq`kFhm7`O3lPb&4g-NE%9&>+Un%67W@aL+!VdPw?hUKCmu{dN#ImqT?W)SU zjYUyK!2Ua&!USt+pDB2P)CCA9DERqR71O_#ZBbOqn(mG4qoXAzPXRqW5qV(W#G@rV z+YFB(Qf81NZA$lKoIgYy@X{ocnNCr zz;yu4n`dU?Lm|vDXr&TrJQg<{RY;%8j z8F-&J&r3ONK3zZd-vmIMkyP`-R6UO@_sUZ6r(WxaWd+Iy=D?b87%v8obWt)~8TBp} z(~a8#;Dhjz=)5pBHWpoFOGs#&2LhS3of(bwO@EE9S@}|KQDwLWfJ(Ay0ZMi6QRJ#c zj(iiw99Yu7z(rsg^@!F_k0WaD)9&oJXWziIN}h`VK(wxr9ybG*Q`R-)a$WIUnP^C_uAbg!|Jc3f!{k{a_L*<0-3OGl2oma@fJ?653 znW?7JWAw|s`eKDsDXaJCO;uzC-A14h%KFhhX~AMZn&pT0zAZflGjRAO+AensHLzSJI6t7U;0 z#i;%G(GpjD35B#60e6PTO#6Kv5aAd5l_QQTOs`3RI#;PA>m zjrmy{{SY{Cu=~CjKPQ5ubpV-e>_5 zh8EIg{S>@X*IskHCSoJCE|UN>aL5#KYh$4(fT6i$=?Fb%?v5Fp;cg{)t1Ln!1xvVk zQd6jg&QcLZD3k-`29bEHdU9O;k5^ytqf(}1aIH3k3D`hPZ1|L;^e2`ZCahF#P`oxGPd4+JI#cV9`NS6 zP3_ympfe%cmk=E822jyrfYM>69M(&v9WePDP5b+X(A?Q7_=xu=)jUI7DFvS=bErA# zwXvSW4{B(zBOuRv;tU;bP?I3^rVtc3I6zqJ(e#NZPjZvZGqMSxuIT(YU*+C>^!B(F z$PgCVJ#PvJM=;A%Gjy=tuw2GRWmBIZ4^K*}X=xmGLL&g3YCJ~ibQo6>Xg}(*hW35d5e%SZ!@QjEuw07m#}K<4ho{Ou;+DN+rMxXq$pycm%}b?K6`=7DyWU zH7N}wtkh>5*tWcxFhrw?*O350QPb^B6X6?*EkwfiR8Q%|7}WDy$u2~&U6!L>#fJo$ z0=K}o3E1fP;#VU%NoC8-93ufH<6IJ3<5GMPF>~5zX%fm@-%Z#qKaMviVmYHuq8i+g z*G{O?>cq(LAa{}Qxpl@JfGNaZYX^UXsrp1uLupK-8x9(hJ;H}fVxiS!tb1Mh7+`bm?feD@eG(<_w}$od$iuNTK>q^nF-^2yuzX^ieW^X4^isq}TJ)uL z3&r#kr{;R{q5-YY21Eqh;L&Xig0cg)G%+euxM-9YPQ7IBvTM=2lLG8HHu6A^6y8FeD@QMkx7v(UFYc-jf>lGm>2lo~7z9$3Rq`&mqUnZ`G7)Y|u%&eM@$yFo(u zB67U<1^jx#*!u2b-t#gfc@(K6f;(6RA{k;>8J6vEAmHS7BK-gftn1ntFsEkJ#2i>> zm{DakgL@cuiWb@xgy3Mt<6cYLM6K%AIl^+qkBWe|NcIi9jJUx(H7PIRLTw71La)q+ zf>w*)WRG`$KGlWj5=oIa00^WwpcMz9OY)dWB}icy-EFJlYxX3W2#Km=8E=+SEoF{k zPwYG^Zq(WYMEg^-7$7vcbH7R(WXXc>HP(g5Ey(iDQ|c?Vktz|d5s zB-|%OmiR_-94HcE?a7=JMF-ScJ!ZT-&+rIHInG{Jb3l;|DHN;?tRV5Zh2nucU^7-m zAT!)@iQ~YyOkK^IVDFwnCP>~>qN&kWp6@_$;vj%PGkij6X2dZ*s^P$g7-qW5{fS`Z*_1qLYG@rbzb{}zHcVu!Ew`1P+3LL#b8l)AU&=nev#;-h*&qPUTx zGEC=|A3mHW;uLrlA$bcywvQrs;s;D7S@+`Z{^ge2xZRl3sZ$Q%Fch=8qc0rmR^dycA8}tgBh3!!aAE*3TGE8A{y#1P zGtiIy4m<{F9IAXFzwF_M4drwGlEBl87(rQI8@+eNdU8*$xi{1P_PId_P?%XCvm6`*nP3@XhqZoh= zcpbVRYX)^PZ(eMAA}3%8&6OtjL@EaCYVN-(JsqOV$U`_FzmZUMk3P={aI|Xx(^g-Qx?LyOnY7C8D0=S>L=?*&iB;TLp zl=0D_)|}EAqnCg=6lTL zWYshjVFn;RV1c?=`XlUwO_zLR4&m{04t;wxG&)=t8|$yQ3702iaH-)>*hM~g<$vl zO4sY(ViVee?gGL zTa74LQn<68WA(e4barog`K7TwWE7YA1S$K39 zqUTDwfuVl;SoDj2%Q6u6<(1VZ)NH`7fwh%sSw?VE)2NC1VjV7Wx!f;@5q+PsasvQO zFWXDi)7Lj5>zon;OpONGZk*J!GgF9AD6w6z#6Q(8z3qWGP1QyBpzn-8t0@%ih0E4D zL}by-O_|uG>cMG%{96uysXrv1#FrW6dtU?+LaeB-ge|4TVK;~zwacakg#qAG)3PIW zc&}c98cY7Tow%AAy_$DHw>7&+XHwMaMOIVkJ*tfpq!Ku5j~Sfzq6P?>a9Ie#0;dwN zg@>!1A#WcDO>k4V0dl>kq(B)M{LRvC8RjBo>yJpGtzP&_nDPlk?xGn=KT+*=2FOi% z^9}$nD2qHfrK2HiHHBiRgrQhK$E$ERpy9fCU&OrlC(c?+_nKvNvn9oK6?@%y9OQ9! zbA#wPe)*<%ouxM}Th)7cH9hck7Prvu9*`gI=eWL6`1jS2k;*t0ofZVGDgU@dR zPz?E^qgE|^e#QJ!xS^3VX}qw2d>wo)D^NDz=X8kQVnGJpyDTo_)_~w(xOg*p3~322 zzWixVIIp=w@N}{O8q6PtOFexFu*QT9l(JYZ>O=iqZVA|5-)r6*Ndd*s)4~D1OY%ag zuf$Z1xh+KN!C^QE+&_Mwtf&-^QV~&RHID=z?ln3qJ&$lq-q$L)4IPtHRjf@f)T(aY zncO`_K%0?9vmBAy)wD&F!YUHSDD9eZt1_(vg#H)8bz_?^P~|%6gXNo;?LNoF(TMVE zglggl0?O~LSv~J0EYWn(NeHkon)wXG=CJ`>frCvOc8K&ka@TK7fZz*Z1RAc_cTa2= z+~ESLk0)<#)g&udjbh&e3*mfQ$D#GnL(&9(S*-vIDfNA=1gwYbDlkyjq4yIU;aaPK z!xs{Gys1y|hrp8bd<18-#4P0{z<*= z0i`yBanFtlcfS|T8Xl(M1CR}A-8ogTs2Ltu?vSkD5j!#@FP&o4w?euMN`T!qhSXg+T6=Zw|UwF>Tjf3e$hRg=!FX{N9^eR2528bq7;ApV|hscKYDA1jgo@rHL&Qb zjapL7UdE^}1k&WC8@A^$li~4}%VvHCQ_QD8J;QSafXi{G`4$wYG6Ql_E?0Nj)1gTR z!>4%5qqMjo%!;){*tV4lkH!jJSP*A{oBExMHAuoeDVQdLcmZu4MBnUxmjqw4CKoo- zgILZtxp#5J>WV4 zP8?1Ftcr#`XeP@wfGrR~mNCnaT_xLCm{5!7VOspzLDuG*OHFa!B+G?(%&7qZ$fb2~YS)}_ zYbK1bD)gY%-a22UrvspU%BBcdpDu=G{sDB9jToCSSUI%7jB^o>M84pO5he4dCdrP; zi<6&}XC{MU={h%(vxlchun_wQ7 z4q@h>K&9ZwO88uYFp(nx2q1CZ=n3Q2p>S1|JhCx?>|QAR=DawyZ(o!P-dc zM@@ArKUYH~=yB6<{ZM5dUli+yYors#oQw}pm9$dx7f&HoXok|25BEh0cZG%U8Q`G~ zhuzw_1;GZHXmn;E#vVG|gaSd{A*8OHgvyOxwy@U;t+R-}cj%&|q;sa*RBE17GT!l88KkUf zmbge#$-=ijkEeJYT#Vo zqH30PXjqqau-z?*`(s+b2ACW9?$Q}I7DW-dSqhsw-jTr5aQzWb?UyplsM!j>`~+M% z^z%bkvBDyL4TyYh?_#^JDoirf9D%XTN6n0Y7*HB&6dtZS8bb#0O@4v{$>Kwh&1ppO zD~OhelHKJK^H1tEKTet_K^JsTXh>1QSn4o_cwpIoeIuG--Lmu~hg=v(vQ}5B3BMju zASIGCp@JwR^3wlD=1&L zxw{=1F)y~P?4oR3NlOYtkZ4tMRT+?8Leo-Qp#%*a>0(;Je9U)FM{to2jvmzGV2VC! z5P8_lFfCCWxI{|qBd{q00eIW+Ack@Sh~&|ywV@zLwA14QxRSs91?LSZcqs)(X|ZwMxM3yBQW;9Ly7}gUF`=N~Ymxada=u%yUxTLl-s2c_yI5*1yB);Hol5 z29vukP61fvQF~F&?84`UJ>~YEOje!|^+-kg4TD=Dh#wqd>RV()%R%6)EPw!aIIW9b zRAn&tu6t)IKf^Av>0>o|WH@Q|S}TRjgx|?H08q2g|6zBXo3*%5Hr*Bpe?DjJN$;J286Sk;ak@hBYZ?PP~C-pLAk@oIK1}k(LUQ z$Q#tgRyPVqOfioY#D=#<>;!XZU2QctE^t!|&$nhy&jzw{R;nfWT4=IH-%Sh!GxRQV zWPx^OTmU7X*6x82^s7}f{w(A*mA&%kDE?q0Q)$b0a7(Ol)KOVqVF(!*!b!BgTnbFs zR>uw}l{>Y*EDWqGS;M$Hq40~I#>V$%^ho=~RK1B#iA{UWCJhuJ|4=06e9C32g|3N6a&_SEadoQu;Ll z0VYzuk_}U}OX(NEJUsL0Tn-?+tCMKPjG+cWl-+az{gU0Wy%NhRIcl<8783s|2M_}w z{c_*}kgwUY@)BOUa}ge%AN7!BYbejcCv2`e8rUfF$aG-!AnP1*qK88+J%(SoJjU#EkP}kq<2bE8RuZ27F z63;xk;7Qh-{ng!ax56j?=ShMb*Gz1S(dP7A(}6*@7<1A0mL0aQWkRG2ELw)^=w50)G{n=gGe^$N9On*3~<> z>#XaXNaFDcx>xX)zHq;)w{pls!p3+I=`WHeZeiFajYU~Kmd(6U6 z6}abV1bgr0&FX19!1u%?7~v9d|4Id1UNiPY$|04)mQWxx`7Qm3<*cNcnKJhAX-}BH z=-9wyH2gw3nyd_C8o+vg{RF!Oe+7c3MVJ>-pxz}R!+B32R`QDz)$oY+}rCs%)g zl5yjs=;-KfkRE~rM2-;kZzT(P+0%>%(T#TVIOG*V9x`NbateTDY3#*GW%pYmw;#YS z@vFchR3T4dEgz7cd+_h170&!iPaq$DMTe@iP$!~Sb&MX}$~ilV=D6#<1sbr*!4 zjTXYo3F__X14uL~Ie2^N+IT9vxwyNz+PHc{g`q;K4$j^-o=_oWWpx7sRfNBa&3!j( z;M_m{*F|``ctHV;M@^^zaI&(SvyY3b7ZkY4e^gYI7XD{NMIt(bbBaX&#{FL>p1Cja zx9cMRwd>-)UH@BB@_*?%VEaG2F8a@|e<1p|lA6+Ir=2-2_P66=|Fz>1za0nUGu3Py z?Ciav|4YY#tNdrj#sArHGA&&zf^*P+chk?#JoEi;DN$*O|JrxS-@c2A{dQg$`1rpY z@qqFF?EaZX>OYEzW)1BYY&q8SgoRA+&;5tlFa4LWs<71G!{EPHRh9bP6;i)@;(s{| zfUErHe)v~0)rS8x3jT_Gzo)(k?7xqC68C-!%1Vj@KL0rhghhnKe}yVHPknd9{a>-n z*Wtd6-c2Q-?f)7A0e}7sfu=&L&IoUt-vLnB;E#{y{~QcWU45LLp=bY?lL_6jakT>k zZAD=J67>AjR?S14O-Fo?@w6@vAI`=1J)RSLnHE8osej(HsL_w zwy@^=ygo-YQ)f|sj*zF_LzMFp-Sd9y_fyvBrwZYx{c&H1a}*7QG*ZrgYhl?KiGBed2!p=v@!!F-Ky2{Q8tv@A`4C^uEQU|Nch>ljVvaksyAS zlcR!@+g){=e#5R#KK#Bq&L<)J&UHV2$a`8Ed5&;(s&GD^{;Anmzo+o?xek6fNhf6K zt561O(B4K{qR#c*S(Z=SryErx&4-6}G1I|EEMC*n0c*S0Cfe4F>m}ZEykAeexo4Oe zwDf-I34SuQX4tP1=`>XDvPXEwx^c34s%bNCcRShUrpxh{9Q=x!X^{8O(&OIIOAFzW z!*^-wO9Td{=;NwuUil#z8r`Z&<6TGV8j(YjKl64&JrB++Lhg;nq0{phtkm{WMuyqS;O z`(cUy*xu~#g)3P<{x*&rs`cD;oBBEK*BrDOv>t*d!SA#pPgC|w@dwkPTk8rZ@%Uq) ztcWSLt9s0N?~ByiU3;^{y}jzaA()`-7ICdrNRapPo*~QOc2TB$@IE0s%X0L3;J5CP zrpcc(RQoqssDoXFs~5AI)~|$8D7SKvOO|cg#KltIid}x;WA`%d=}7g|q0O>gkVfa% z4iY{?*-Pxz*Iv&H(0=-yKeyef(RrvK_{Lv;l11~*)tt>^NcutAWx-pjG+LlrY97xm zE7(IGUW8J&-1;(*uXda1$t{i_T!Q)xK}tV)QB76kA$Msy#ZD^D@7(axYEnCV(ruMz zbXX~Ps6w@zd{H&LSZK&v>Fb8~8+ie?kBQA{P1&wg8|Qyq@$PqJ+>#0`PiZU)uGGu^SewH>?tk{WkWBRJBxe`^xpIN`s}e;4=B4UBA0OG{$a5!zL4I>llA!r12oV)36=+t>wS|MT}ncPqfIfT$+SOHt4XZe=Io{$f!F^> z3!yNQjr~|qS|0E2!8^83c_BG5BnGW@>m><-DC$(Dg#ZcBm*RQ8=XSgjyH}Sx_9W$_ zEu^T=FI?21sxH~u4EKr-l-m@k+oAw&LHZ7QbzySN0#);T$7%qcFkaoZrl6MVd~rZ2 zl@RNxW=CAl4g)V7o(mTv~4Tu5k103KM>Ip5FX1OZcUj*bZV*)QZMR%tV)UN#?_= zfqfxn`=4bP^wVU_GW|)5AR$(%4tC*Vaft$lG|f;p&!Qqi_Dc8f{TH#GpwzGTvj}{@ zvB`yeJ1nZd7wH@piOdai#!>XJdy+D~d&4GD!T=lUpnu|(cx?4Cj^7rPSth!e5rr{6 zX_$-ct`^$K;uAqR@bxDxfFIFJjXt1=p5oJswIRP-^k9utx%|~a9*rMOJn>1NyU?pB z9@CvDGa^+x>0bK*HXOb5-HC{mSB>bhDR&ia}Abkiuw?S53$PfkJ0R@ zk{=*lcP_={2F(wgEYKh1y;drEh50Bb0}ujy`HHE2=m9MpGVyU;CVhy0W52)9vAq2G zStqY8G2y~bT9!oBuB6Ooj?UN{FDET%&aK@m6und`I-{1oj7Eg2 z#=ITxxIzCW+1%SaN|Znc_5GfPoJ6LkFuFU71JOYr?OT}gK|(}St=&@LC`aGiL^LFqmrIy#jiFuc~e2cMzBqo}NZL%Xb^AMjU6hB1GGFsW3 zpca@u@5&8ZSa;oKBAQ5Z*R|8q36GD7u5Z7_n6oESL&K*QzcpcRatIYo{H($imHBn0 z>F(x@bizw^QSrHJCejhU5$wY2T6catrty3EBCQG4t~38~{PFc;IWzNfDk`a8BX!BM zg`~!x^~bc2W~b-t`MF=wNhUj*YrWawX3%ASuix7*ocgwcK+ciOjo6gAj=)(TsxokH zG<-|B!gDDdtD?CfEZNNM5Kj<3a!baw^p>z~=Sp>;2`^oKDW0eqv9YZkDtSj}eG$v&wZ%=$tNm zZCI+G54haA#OM$+Qx6SZyj3H#^fvSzhKx_c%r=byr6nX#jS*rpnOlDv(bJ ztAAvBKGx-j<9qG?z0c9i(Fip^%aDuQ)mFFW9V~ivZQC-nMQTlNJvPWmVLvdiWuh^#>Eb+J0zR zY4C~F*r5ve=F_dPoJFv!Uhnd0D7VyhTa5LKLN9v_$!eTq@BHAK=O@=UWqR*gxpG4X zd+iY6-$FdLrN#^(+b-AZg=DEOr_OU`e>2c&~p zmxt(T8Nbvge6j%1r$6HS5m`W?&}U_=>c*kW{eWEb!S(MvTlDjf4-}ZnH~H3{i81r| zn;AykSfZfCJyWjYOrmQ~Twk)5G}UE&H1TCSC+{BD`#FYzn_kdp>P$aXXFGv|peeP| z%eI-X_yzPiBR;$t+1!1YkxW>lx81(!Pe77Q@!1Zd9w>1muOhI8?cpaCP^7NSD7u>- z)z8EBM$$wte>A-?R^S1%y4jqB7D0}-BiJ|aoOtf7CO{Q>_ti57`skk@O6MNr=+P4^ z%m<{xc<7E(;Izn170YyP3mYmbUlUxw6Z*K|`rr*>x=5O(czLStCa`R*)+4=%n%9aH zGy<~q>d=GgdlGl&O0-rUY^S;m(&^m48u#6w-zVis;0K}o)ujB}hjd<2oJCWzah-Qe za82o`oRk%6)sVQ4HoU1aoMfCs?oGU@0{yik#k~06zXGFjQCFb2^Ej!Sl9<`@}k@bzSVG zJ&8n#aOI=dwcocTzvMrA+vo8#eQlKoQXShBabd@!7v6UNL-A`Grdy~V8aJ;HuFE(4 zP+NLTVf|T3d%X zQ&n58{Jk*8q7-|_?we`qrh|7_q+?Rj%Um_GRI?g4Sh~BmQ^5XL#8+A&V1_E*_rkvB zdWOQaKnQ&t`}P+J8}cJ4^H#CIGXa4yJG8Iw_)bMQYlY~D0_*$`I+tvy4T?H%N?Bo>e591xR|cd zo=-6^pH5u0V9$Kw95aXR$R7&2L6tl>p#`%Li*O|!h7i3?oMn8FW) z{rsEq$;z@+vK4iHVxn2ITtepOs6Ob-dlA)6Di7h5T2~@k0-gR3Oee!B99)mF#zd^! zG7!3wmkAu51_Z5wUkaBR)U&=baJp@{sYl0vo|v4(ETxEZMdT;Z-5s=)qsypdvEElG zkYq3G?m527r+H=8(UAxx12q~O=~L4L2Y1C_V~rlpiYc{mKU{udzhJy#IG<~gzx`2> z!jFd}N4Zt`FeDA~$;;)H(KD+Q2sj3+DA@DDADn0?5Rk*_@K~!Fm1zU|=_A%0z#Gc5>h`$!1Py~N@e7$!}YRgFP zNu|f@bA9fuT|cjL#Xh&yEh!QSQa%YMsoEW%RHR1uny{ zu{C$w1|@9X?5gPpk$ZAZv4YjQ9yYp!q%mH9^+E&D)E@h&K)fGi)L<;qLomAdEa+s= zjl+^@S5NL4qbz^XCeO17c~ZyW@Cp71+S9uuX&`i>VZUe8t=U~Pr`3rRjQB%$e> z3!% zaLHXLZc%s_#t?reH?;DdK7S}gJoMoQ?hZ~+Txwcw>~kvr?QNspM*0y0mZ$FmiG=J? zRx|yt#WH4!wLY?L(S6b^BSMK4+t2uf-+kO@`)s(_t-8+jVNNYKIaHLtmwtPo_o2#! zsAuY){uQ_922DVdYlhUgp_hzOF#YzE*OgdCHF5)Lb!QNXK8h-Qmr2Ln&+FGc!e{Y_ zW*d|xe}4l`ruKRF0#tN^>5WrWo;+tB-u{DTcVBYq>lgLC{=`o!ZF0Qa6+=x@-zdup zUl4h|-KfWKzh7w8{X~~fe_({?eayUwsM%p1bF*>lrhkAY+_9VSi5%CeSB?j*+nUYO z=vrROdMtl(b=k4geBG_rM?<<-HBR%)!*Y@W?OG%1MXXwsV*KO+{La-lXg`Q89)Bl- zA+nQM_8e?KPjh>AKh$^Vnb#AILh=_uKfTd|hfgsRx8IHz${DDer(V!@nn;eR=8I#{ zyd~;UKoW}5o%hDGk45v$^Sp91J!9dHj@QDW9DCSj4E9&p zHw;o$71eLvz5Z=QF?CRyP@{xI@sw6Y=pyk$Kg*|XN+p3#Z>BXL|| zRpeD-fveoof<~rq4KC?v)yGQ1$Aqp>_XWz%l-u&07AMj_5?ii*{)Fm+x#7=PhB*hY z@-_VK$Qts?wp44N5VIoVacsQZT^^+9~M-5R$m1`_RkdZr?% z2~dqMJBL=KGiuMjt@>VK>1;+n->JRSuS!I>PGD(sbcYm!*ceW6j9z%KQ>4mH>UFx{rru4p^p+g?@G#iqmaV1m>X@O zp_uC{y3QBACbM0RlKf!X_vkXH=A}o>bn@#Qj;g~jLRrE2zQ?x?LWPzuXx$dT*2;!X z*4KDE>`u0MYJ%0wK#tggOULdf<@oo-RGw1UgU|IfL25KPCcW;Vue@1*M*!v1ELQ2o zh-@R0wtIsrGX?yUefzC=+aa>jkL1uAm2^ak=g8oEA8!6tlp`rh-{ zHMt)FG-i{Z-dDQLKop*~yIx&zc_!wE;z*W`p!e#M{od>uwohbI{Nh`ojuE1_;InH5 z^(5>bp`Ei{`P1iolsbHvH6?Wj_jh@jw|j3wSSLqts2X!Yq05f#KHr{}g0)Io>|P%T zV#&C?%^PJ@=i7R)0=U?06Pm6`zVD1j=Jyv95N~~MoNHu+_BteuD-?gg?i_1q)!pQO zzw(H-T>e6or~uWE#b%eoF?^?O1 ziRq5@EX_arvdHc|*H}D_WXzDfb0tUDmxbgtinW3FRr1RhdIxvM-XwAsRF5=BPd$fw z@XUYar{{Vczxl!@uU{k`4UUzc_(;8TU1YF!c2dQGzIusdgwx#RneH|nihfC7b0Plc z%CKaKRIJGOEXf}Km4kZbR=s57iQLrB(lQCxn9yu0$}5u7CYu=oIIP@k`xo_9u7-&* zcB`GRT%P+AR})CfiLf0(bwh%WsL2WlP^r%@PSrj0-@0n~#p6Xqj(|CmAK_ZTr*C4! z)XSutJ7tUbsom}80C%@*UC6vc^CXruiw>p zVLj*3*rmXx_#YM;NL9C7+B~N!}7GX8x3_d;4qQ%(JVFoym4F zvvN{ik};Ap^K6k(n`)08Wxlsmx_;DE{&wH4OY;@lvo<;Md40Atb%aEVnS$$OD!DFZ z?dKHV;=D=R^vBpN=C{AutP!m7RKI+&T#zj)?X#ZQ_o7-fsxjKde(fk^!=kJ2^P?-{WFn`~f z@|?meRgR*`SI%+e_+H7=4|(KmYc!Le4o=k^zJ%H9R6e^kdENt>faISgKe}PymwVdw zB&L?-YUefn(5tqLPKr7Y*^cUdrF`qN6ep}C8h{6>aU%-}1XgAY) z?TT}tBoz(MHZhZars8#OqvZF`l~R@c4__Yy$&@M1FeZQHb8~b+%F*F*0i&ObKU}-I zd!6XA@WVZ#kD5Vt8-dLFKW`*{pmvm@TEUkM{b(}@F1|mZBJp9>g<9qbD(QsJ|G42= zAnVhkTZ(CW4<`kvpHST5_J&0z?LSpewoylx-#5vfL)0~Y{FcDRG@4{vX?T6%J{VIo zxc7vx#O(#c-!r15E})X#4acutpPm9&Ryb2is@oJ$`}@I%n?xtjF8 z!D4QR?xTf@i^zhV>Pqcf$emq0cAENV`r5}yWi(%b-i;CG(vEYGb+CNEFsf?`sCT=~qazYavDqy=GOzrGii z6cz+rKlAes%fkO)873krCJv+&1!3YaX{le$7nhO}5j(RiDk}M_gZ^x_n7EXnFwlL# zp{TTkpyXNK!JraSf)f8|T;v~(OPpChGY&Lb^w(AX_y8Ce0eT-mT%MUY`zt0cDJb?w zd!-};Kfrg*?@Vnun|6p5GQbJ7f%;evF^G7HB>XJVj_sjNQT_Pp?yV2qz|6&<{ zwfuS8VSsLGeF&*z^xMo67YhOfL+O7hK2v~{-2sGEFmE%{zsRKi~luP{~W0QVEF%b6Mx^cGsB{1 z1L&;FC4o-#^6+<)|1W(ZEGYel)Bn_DVD$Xe;ljX0&gR>1%K(Dl zm*syqvHyalNW*^PHAG_8e_+0E4(_+>BCKZ1rH@RgpMH;{~nO2f{Ag^jEAS$O%w z)Y&H>r09CO-PgDAHWkuUQT+q=p(JErziND z7O0Rm!Ug!Tx{IXkU*HFEz=glC4`M)P3;jZTi2zgMSK|T9*8i74h<|~?_@^Bq=kSD} z^Foq3k|0%}mSPZLb%1;c5MrmtXr^iEnwZ@((^fTsx2l^e8ya%;eIEY6%ONIyMP5uo zrs$sS{X4jb*@e;J5|<0#1uPnUeF9rElIoK$Wxl_+OPjzE%X)mwti&8THWsS6b#FU4 zf#Q_i3Nmk%_IbXgyP)mE<&F;ijsrX?N{*0_i;s&Drg)y~f+#s3i!2E@gQRZDo%5ip zs22@ap{LJs7SPQIyOCh1*58+?B?U_~f1}%0;4!O2Ws@IAaswq8sw=F0&2e^~ z_CiX+Q$0idk-k9yY$94yR_W>M;p4Txu|q}$rX-J#j@8gs*V6pb(S1#jSAegypxDvX z!NqBPVdaHbz~?~+tX+3nmHpBZD%&*hVy!;KJ6#?F7{#(a^C;tCK+~PzhglI z1Vat*bEF3)5E7DopSf$DbM89#oIlPVcilf&3s{h3@BKWV=TqOW|JQ|s*5?0zF8qJ$ z9p(kC`40QPy7K=PRsD}Z>)#mU|L~Cm@8-Wh>C8edI##&N4DNlS=|O zk~nVOu$wovb`ZN>yWopm5TNKbfUzv$$2N+~9v0>$tT3 z=qt@WU9_H&H1Wt2{f@rM=|)v8%B<4Lvo4)-X~PQYw-HTa)i;Sd!nnnLnO1TlDn0Xw zTQkJZzxD*3qsaOqwD*hQF|C*frm~hJvk$j%LjQN)JpY=dCL@Oaul%@C-c8hN?Eu(vPwwyNUx983(NBn-N|GLn)faIFm69KJ= zXJ~b23}R^}W4_~F-Z_mlwKXMM{po(>6ws;vUa2c;+<1(ay_#)JD@4@^)oSY}k>A!_ z2Qz3~Fcye%vs#aImL&$uRNR$k|59d66H+8FKPx?ztCOmG#^{)vj}7_}%i?DggC2}a zh{K>vjS_tQ1+gs~A6js7XxPT55Jvmk#T4F@J|%aU)7;1XsikqOK&;35SJ#$|= z=_g#~Px30Y&p}z^KR4wR7_OlyGyK^Ra^7@(>1<{orwzClWzD}8!m)UFlrp7CRQHB?aY1fT_(Nm~a<1IHpTxFl zBF~|I$Xd|F>&f}T^dKH{cy{9)%9PN5GmP*pBH)PJhG{VM16Is|sfQxZ0>)CDA$RE`oEBc(cx zks5*a@N$--0`+tSUFtMVkx&J;t9I=*PQRKL`X?!vutiXj-~g0G?gw2r;!O5@C>9H%LZcbMC_R$4s99;j9Fv}UI zqyhO0I~YpeYNIWe6#dfCv-_^uQ*><`&g)9fZGxM&L6U`(huno&p6;?g&+>A8KnBZy zMU$>WRi?;;hG_6~mKvT~hT*pZ@f*DOb*rRG?o8@URov9t*e$fUF&?Xf=O5gKGL>=i zO)+k0Hq}Posdrxb3lQV(xB|UR!YF?LH!PytsBJA8TH~o!z-MBPT zG%tiNQ_?E~*{{)qf2n4Tiy%GtRTuCLdk~Vmaky zD<~FCg!EKtrWXXn>#JPIDx;g~bT{8gZW%DxxYwNjz*HT0Sr_O~MUSI=`z&RW%9PB? zSfxqU>IYgm^ip|P{gKiLUNw^TioyZf{u)-E`*#7|{)SnI&k#m@Fe zHA;D4A&+*yqNw3)pFgEEXM@{uF_Q}{Yq<6)0Nq%b)js=&0U>!}BKtrA&>S0On08>J zP3|&$t{#qycC6mSPz$-E|5@jRhOz%#4;#rNH>O1wOxGP+Q-En_IV$Gozr9c=j=B4$ zC<3M@bVojmWPvHmJ**1abo<5`Vl{Q+jP0wL&}U!qv*}a>k#s2MiqN^Vrh2zaw`>+0 zoeE?|+=qRLovy?td!EE47E4Vk<K2F9#ly5C-P0W;)kVdI1h6oE*37H}S`c5O zor@cJOq>lSvNbW19y>^iH0k5-dzkTX-33{O>R<*7M_HT~>jEv$lP_=7oVr=C@0^D3 zmo3!w_^X&WPNn=(Fkh^y(r+MFV-W330+uI;&ZF_2(Gk^~fnIq~pdf+3!*pl2lFSbi zxUCQDDdEt0)}H7Y@1Q$<1h7v0~4HenO ztdbon*+KN)oi6QvVBR5IwnuSSo^uzdn%~G5 z+l90N^~CjfaiI_`qB1;>DN51KhsmwEwZi39^}chXe>qQ1U-{B(_-J5H;^ux z9d?OYI#GB~FS-8HZmc+EB#F$z7_94UbBSV9&23#PztH?{+k}Jn9#;jp9lnt0mur(} z4Ln3p`uA6ktkE1*wrO)poTle$adXUiPX#l$ZDl7=+Y^ zCJ*3)7QTyrB#?FB%B35f<>V!^g8q_H=4EY6jl|;vGIg#0Y!t7oA9~Py5eV{zE~r*n z-mIQ0Kn$FrC29eB3g54f)9kE*dzF;V@cv&?#BsUKrq(jH4Q);lBRX(U54vD}Slta% zMrd%OYLfW|;dw<><5@03XEj_K+9;_&c$2!YB81lq#XM>wC6ups!blq^p~%3dR20>3 zTk1fSy&4A|==TQ)i1~tFMw)Be*IKSa9J+hv9s2yl$=%6Pu3|{d(1+_*9f8<_8yZ%2 z(-!b(8azej$YCZy&42;gg{J!EY)*dQog}}kB~@dcd5Tw)0vt{D`8hXi8D=h-?nhkd zl`fc?Tl2@-D>y-fd6tO|U+rU4pGYA7v2_P9ig{eUk!4r`&aY@q%02_HHd;0Sk8yaM zj@PCvuFLXtHyfoqq=QZ-OV=xqIPIH7>LxxfppbZIWr_IdZB>98tqv;+l2Vsd8bq zon~DPj1E?bKK7HIT1F%vc_sm#N;Sy~c@clKF^_tRphGPG(FZah zF~;>{UQH)MLOFBMs?GDmlAOGF2dq%j$*($$?PUs&P8j9|3eqOC&X(O}Y!S!F25}Je z{6==)mt=yPuhh@QEKpQh*svw~?0bzX-2sVhHe#U2lNAFW0KR_+OuQ<_@YspbPQ}_S zeLQ7G;FP8Peo}|(Q7(svBJCT9#qGNzCy}q^QO2=czP=1i0}i?o%+kNKmJn`F;WRxl zH^|h!0`4Y__@|r#HMYu1Z$S2S{@>Wc9Uc5vf3z}D(WfYV|t zxuE~A9^e|5@f#by9+qa*2EpTR^VmIS=lRppT6~j_;YD@3xO5uOy#S!cP2ZSGTY0WF z?yzB;gw)#-c~QT+6l~ukjr6*@!rA(m+$32f2fx-s%1SYBOZ&;S!a6B&#wj zZT}Aw4tkvkA3uyS0epb1n+QvdzRy{2I;%=@noJk_Jr9phm|a%FjBW1~Y?mw6@a%d# z)dQBW*Qd?UNc#PUsG@oDf~koIF7O=cHy4OPh&XKy{msnp24dSf%9^;($Mt{ad;}Z% z!CgsQ{wp9EOZ;kXG3>L269A^_y=3je9%r~Jk<*x*yqdkj1rMosA7Dg&gwnC!E0h@h zg{*}@AoRTzx2d>J5WU0j6+llv)$H_FrO3b&HP-?joZdO@&8v(P5%Q44XH@PTO_Qgb z|IF$H&3ejYSDKu9zN+uq1;R3!E?j{Q0{e~a-wtu{qWVX&Z_gv~cYnojnoJVsc*<#X zf_%JvlA?4Z*JWBYfvrB|68l6aC(1#G^?=pp6ME&Qd(mkfdZEg7BrFc74A~xU0-ml$ zvHA`0toaM;l#U_J@$d8ImV?^xRPtpHV!6jc9)-kT1^#O{DV56sE8o;@6U1*6`~uYS zyx3HJpNU}LY;w9T9jOb(be35xa@vvZCqLGT`X~0WqUUcic0c& zMog6Ld)=#|Yr_lrd>h^Pt#*i3$=SY6I&XQLKF`jjPT5cW;l5{|b^&dCq3k`v>!d0vtIXvcf%|bDtqN8u=7Gw` zTP3eKN!st#&l_%KqVxBEz&spw7b1+d-+Zk^dVZ1rxE{Y~#4_WZ#`lSo!y)h|?JbFL zhw6OJnfrI0(!}ucD{7{H76)i6LZO^r*PE@TaC`mp64K+A0tvi9$2x|Vwd{xp7=v?r z>i>&x>sby&zS@Gl?kRw*r4{_*NRv$2dm6Dzd0%_xlFeq#&Q^-*36L=gXr5K54Wu8- z#ON%4mMg1}N=#dkAV)=^%P8V68l|fKSINZulR3xLJas3N9P!$~Oc=#3057^GpCZ~m z)lqTYsbZ97IdN`TF+v*we@|173{|ls)blXvEgG{N8MU3bJh{Z}y034|1yWP#0f9GL z@~ivp6kpAQyrc&$S%(e1F=BCnjpp;#@Eo6I+Gn!1DndBD$|^G@Xc0`q&DeG)kB0ZB zz{2iiCO3k%7|?9Gd;$<#9M!yD2lM0eqr9)$gBM@@^b z+(WCO&Gi{C1^gg{o~x#LQ4G;}UpKuQcVzM-%j)sg?LJE#o`Pd;o2HRFk}uzChPCkB`LdHmS8Dm;Upc^br5uZc+?sMA6*- zyG}~BE?D4cEN+0Qa#DRpwIhupf@RSd9Zi@54|>+kX2=@&Jj!3BJ;0FA*Xq4{B~S7i zSwK$dm9!@)59+WE8$D+bO72bQ5m=QLy2FaW?xQnra6ehlPn-LUpv)$D1blPgj2#@C z2+QF2(Vu<8N!(s0&O302OjJjup*9Ogc`~53iR0|OFn=6&Wyh>5;lb0QMijsXHQ*_1 zH&<4^#g(8rv+H^cL(^Z=`?i3TL_RwEr=^a@xK;XG2~RPTlWC3gRM0p=eb^9oHovKE z29jHgbK7)Q2|#Ltkx9%q=7#?RkJI?yQDy5t>1La5&haT3bGz6XD&nC- zDd6B_;#v78sIlS`xib3Y3eGFum2EWT7g9@O*YT3a{2zQmktYeo8|LYl5~^z@rm|L4 zX?Tjz-~%}f`&s`j-Mx8=To7ol`)0EP@T6Y&_`X8aFxUC!t{-IL=F(Y&=lnoKMb`_T z?EW9}S!PBTlWD9v)YXPk8t>9+d;q}@P&huIs+2vxz&#oqy{4N~&!y)<9G8xU4n3S5 z#Gg`@veTW z_XXn=BoE~S>h+o5c9 z8&4tW^BIy}u1w%`EU!x@QyoR8PTaFeQak?tZ(npyxR6e2EEtA7H z$W+zk4KX}5XSb2N&pJeY+)af{h(6Y~vP(oaFfaVU&vtsw(?fTfIG7`8kn)m>N5;kQ z;k%g;w0wb;@!lEw{B*neeS2M-p$;Iw>>|9=_%9Ky-`iKiQ>p%zv-KKa6)8%F zK>!eft*Zp7!!)j}(hY{?m&H7x2~0z zP0zBUB`V|ro!4A@q_l;D7kVI=bt~yV`835am+x&&Y4Ex?y^tsUYX04xWuQKo@Y`K; zRQ0y^T6^IyyR$10^FXakP@B0`*(`CiW{xb2D51e`44>0r3dqucxO5&oa3*v|(bS-`X zhVnta@f97t^Z9{p*OP?6I^8jOKpxAIKIe50<{s{|x}Y(>GTQwW-?h3Ux0^f!6qH2E z-RF`b>0EV&%USg+<}5qjj-aajV>wr*uJHrsOlR}S=?bU7V2r*?cUI%x{5oai$;L!J z5JxRhj-X7l2;AlRpxg02dLwXsUTv>yy%qdg6l;NY&@ouIWaJp(;~&L~zmJSzVxyYO z#@Q4Gd6lw&+M2WbxC`MQS6xw!AQqdEv>T(zxZ>48T*T5A8G+e1VRCtFyxD5^gcDs~ zg*~}W3*}g>z85zeBS9{RfGU*a?;HzQ%`cn(9rX$tyXSn>WM1VBpWIWoqNzb4nV;W6 zGN)uMPmZK1ZaWmf$4TbrmJMM#RJf<(#XBWG)5}CkVFY-_-;d zFvgcU_&+JtF!7-)%7j4c+l6V)%{{PU+T-p?M;Mc;78N3)w(&=0u&8G((A;drE6QkC z&g%i)?3wcmT3*sfWDn_9Y72SZkVehauN;}A57K~m&t!k%@rG7+^sw0 zX%PtA*V@~TW-I72ZL-dyAeNB}GO_m~N2YbvAK`!gdP^f&=&QMMZw%1Mu1uZTs1&N2 z$L#!PXB@UJsO9jrQqg?l)Eqk6DxXt@?5fT@QZp4m1<{uDVAXduB-GTg18W-0u z6;i1PYz8&=$k(KWa;R9#$CFk<=1kz2Yu&SFkAz7l>jF(m;XrXjRwbot<6L)`Almhp z&P;O3bgj(L@Y>;Czq(0kIyJ7J^wSglSFx&@XbehBnT6&RNN4L|71IdAYUXPh7XC=C zF5)r!e_bON3SkwM|Fc<}FVa)AXvK~7l1776=~nk+?SSGQlRsM$nH1u9lMEE9f2_%T zzZZtCcu9kHqIPQMGljJB00M0DX;;F(Xm=4TB$gXkq=B*sW=5#S@|p=UZeEEHc)RaK zv>2OYgxO!e?TvX=h!Vuic5vqhQ+slJU^pKT$-+bH>e+o~KAoApC1qyMoUd7t;m#%MGe1jnILXRn5R^+$ceptcoOCI{ZuodDi>IarAt5bAxu zsn_OXk=a5`B@eX;8=}-2YWe$H?ZA7d< zc@nE55}|Y)wl$+pvaxZM74zLQzc)sfqqd3fe=RbVdjBZBora|;CEixE0ulf{gf&kQ z7|H{Gr(fx_`Ar(^c-Vae+M9Gc<_W$C=@K~2!}7|=1^oAW>Z z0k_KpR*8=$!a@W4)(_@Hh98~4B{j=xac9@g`w!Z(YB+Qlu-~k|T|D!A#O?4sfiVWj zI^K!x>S%5al~W?%m&?4}Kz{6sa#1t9|b5kQ*nJv#FK z^<~lY$fAfa#ifXAiL`v>z>1T9Doce%EU7gKl~k} z@xLl9|95RBC;OfMy>rL@|GYQtf5?$JIQ~C6ckDqg>;ISK<^TJ={`d9e|EV)=Q|ZHS zQyxzH^hA^c`R?#7i`x z0j88SOlJRl5jqg92U1WcIuZTEt7J{mga2aheRtl2AgLLkCbplN19|WV5@au@<|Ep{ zJg8Lgi&wDpUmCdP<02mj`6UiyfBk2`%Eqb^n`MzpBIualnYItH*7~R#?(H4S(PW<3 zg1pxf(@c%4^=g^kX7shOrWt2^Fy=d&dd*v!4Yb&yh?bnIU}+iGmSbD zzw`a0+3hbPKDSc zC>bbgAx};kB$uH!C3$4HB=w#gcN0y+@RXV>gJD%VFJmw=iO@UYcrWjv1@BPQY;T)q zM@|0$)4736T*QE|X;#?JD!QlMc04pw*0VWr-&a)K2rOgUdBCtzcEvuHC*JM&#n?XT z8g7G6_}R~Qe!h9J%4wS0JZcLVHua6(I6D}Z#|q*GB=(t=Y2p?FkyKa zm#2#aJ(~X5u+e)LvG;Eq;7abGT*Bpzx#{(1jyU1+zXs{{0hT-|hq<0~cMq8k1W2RuqjfZ~ygV`!FJ>;0 z_pXu{dJVUjrsM6$`fMeBacy|c3%_WMwqU?nvdnRP|Lbi-JwEivz;ObXJWc#VKOjx- z-aA{bH%BRR_m-hgsb2NsI|z-{JxE?E9VGo?$*A7|;~YXEWdW^wc}X))C8ZS_`68OK zQ3i<1vso)rfyQYUTzGcHj;Yo-Dqv#Qad)XV8tc&{2NigguJHjxFDw^EU{x{A^vece3>sszd5R!RN5V z{+bjLa8={CNmW+v#e!oZZruytk9siFWT90URRaFQ(MQa-VXo#ppE0TQ_V^x4?L>@M zA7@9rL}&Mbjs76-SZ~d?)B0RaE%>SMOWd$gx?z(B;^3cy~Smqt{J^kV`(oLm2)BF@HU@d#=nQf1HHoS=9Ex$;3AvLf%&KbCLg5a2y^B9uGBUTN1RzS)aLFg89-jM1 z{<}unkMz8%5`JFH5c7TX*s^Z^)q+f5GWO((Vdl&zt$elL2JMZ4U<1&Up>q*FPw(Hd z>!FmfqM3i|!i$rxB=gg#8;deGD*)77(&gXEd&`RKy{WT;E;$QN0~z;aVS%JPFz`*k z?35YY;p2YoiGEgRE#Ek|P$yJZ5O-2|@v;l6=nnDmKYXtcn*=g|gi&{=V}w1u2FtM(W{SSCu*;t4UxB z(lo2P0!g@lheQi?{rca_iY z<;A(Hlt<3JEb3zR9z>r|M)AUZwD2i=yJLM02#!Jd9*Jr$S5XS@YqVXc9=$F=G6w z4th6mQ8%Z_pF7|Ffpo^6++(>p5)S#2b)HpX-$)BTNrC#ucmvF0$t5SPx7e3G^t(U) z%^3Iy9WbzEAW6S6ApE{t&)@F%CO&p-`{Ayp*M^RVj>XlUbs3HX;{jC?5ABWS@~N!G zi~3JdUftBu>Rn78vHU?2Z|WJm_d-O>7nYaNGj3kB`DmENM3NJt0k6MZHw?95DgT#F z0K*LYsb&U}U5GZY1p3TAMJ<7|312*R4P{OR@xJP26ZOi7x3xTcApObdfoNa~zUxfM zM&>2G&3PVCHyg)kjhLsXDxOat)IW388*974tK0m{D=1(mg04|eS9*SMq{r_V5mPp3 zxv6s&1<19ohkh%jzwvsKtmGB0M#*6Bw=+khIG|c7Ai-9ZC7C)^`hI>;axu)1uV<;1p zZ##7^`B@L6o<}1NvmU{=sPIJn+zE9@t2~jWnlMXKU9t?8oZOKa_yKb^WP8KEIJd>U_`22W3Ktqske10?PdAR$t<~H^lL9~O8#Ml5| zo8d+y{-Ir<=2z_WoQV)PM|4c!k@~TVMrW<5iIletA$0^CJXq9j*0H3cNq0~&C>f1d#!>c8aO+@Ex`F^$MZk#&AAjCE~0 zD^l?iGG^`IPpEf!fN$o-j$r^|tloz_Dnu=*X{vssyFKcwwkBJMYX+w@jqI9$pkH?)OKA9rrEXBEq=J)a0y;gkQEwc4j`0uUiIuVfV6LqKin%;^A#M86y4CFb zt)8Kf*vbd8UjxMo!-#qPr+l!jBz;q!-^Tna#a8Wcs8m@7xAq|(xgjgzYz8bo zBGG0wnQI3e#CPxcihuM$#EwC2!TAW>t1~+6hPh$#a-WdyUR6j$`od3qU5(wytUiEF zqH5HY?}~S?u@QVv)@T*{;@^im+_ozh5@om6eH&$qYROM#7*TGFx6bta2lr70(MX*b zZD|}zn$&TZbpJ*?$~#F^RadK?zE)hDH;roJ(iB16p}X6TNyJlrTcz%WI;?ZKU|(aS zHD834T&MbAren(TSKZHy-d-+UmS@a!OZMh7^s$nuc(jOhJE<4RP8$S1%@7NFz(jRG zCE_X0`&Q?;_Gdz^HHvRh#gFs1(aG9j0JE@xF74ZiVn9=- zh%T#34}p#z!@mt@rxo0(QrX#McI{~fM@Hmd`J$J zW_Pq#r8}~#8n_~m8_q_0f+D2GQxor}nq~w741RC2qjcS@4*RV}QmIq0P&(63V+&lZ zgXoxy-AWPQ^UH86`)~$kT8V$fx?Xk~GvaK1Kh> z7oBzFw`A?l#PhjVI_z0(ItDMkE~IU)iEQYF}|~ zPt!9ckDT>rl=UB36e2yxXOGH5Y3TGoOP1f-(*<=+<{Y>-(z2F>9&xtiO zR@ryb4_u^Ec5xd`rE=TGr!48UZJMkUM~t&0y2^U?B<{CBdDFV;7S&E5aVBiKGkkrx z!*0CqZC?M8v-v01fErtk&SX7(w;u6mFds=FK7@l9NB8ZMc2k4FkxhbFoPvHzG{$5p z-`;qeb*?T}VC-K~+PMSd)K3_c0=}2Fb}xv)_1tcXgQC%&BRrFbpXp733Td~2+3Fa$ z*EKyhlgoUfO|*Tq#mQ?};Dvn1)D7!leOME;7h&RwY3f63nfbKq^sRrtX0#@um%f^! zXbm>t`e;pYVr)+Ed(H{I0Z)6#FM5q8MCb4h;m#IMf^<B_fC^W4O3WDqS z;iQkgwR1(iQ8|}}RsXCxzmpS@XmGD}k^Zn?9m5*((fJ8}EtF(Ln^Kl;gZM?ioD#Wt zhv>;D*DHU&1k2fFpxQm`s&_)~Kq-_0k7hG?P6)3Zou5!{zNW^TdKQxwbVIc-Z)F|7>=b$XOZP1_RAe^0d|aV|K4_CW zf7l1@DS45XQ)}IT+^KC|PxUqs9NXCM>VIoFh5M3laT{ zleFzU^7T<%rtvWoTVoIcj}&?a-P)iW2)m$<7<(dqJT9sLRxM4g!0~i@NzbnhmR~NrV|gqcTi_dRm}r|l);fDhET#{ zvu!tdjZLTJ2Yh4?$Qqf%Tnaqq$=8R&iR<)z=ux+)DT6FO)5xKhY) zWuy1K@NK8v)iy7TMr1Ae1W93G^eNLQk@X5$;$n}|U zXNRHOh2Sg>HfdjvfUas2dl(!0)>4<2nr+Q*#}hAmqFq-(=OQNK$mJf!FZ1)#R4%;g z&g~5=IlM>;aXoU5bB95#HdB^Mm2ep?D@-JY+9xNmZ<6C=5;5F)Y(6(A^GJQ{>;<#4 z`Ha7!B1SOqYWB^(GppSj=VWCospcs5R!z!XJE1d1dE!KsAYp&hhdExD-aV%=l&1n( znQ(52D>hgfUo~py@ItP^(kMRrmy1Wrgv6=`i{EH<-LBPsC)NeMh)cL{aSHIsTaQp0 z6*0BsgG6?8Ko+4t0V|TbTuNa5f%3&mW2JwbabHf&11(cha)2d$d1f`9H=sJC3O&Xv zLwd|B*y4tD^35dXVL}ti#Em`=jL4hMyf{4|3>m<-{ z63+>ToC2?@=83iS&|J+^)Ne$u7!9;IjZS9L(cJ2m6MG&7jeO94Dp_{cmg(IyZ~{2v z*-Gxm1(CqgBWKGQTFE5BBobFr(wSHn_C9a+2_Z0dL@CKt#f$Z&H{Bit6@#bvjTDB2 zyCmpS_!lV`CEN3$^ery4*2QL!AiGg!{*ltqTU9rGISaJ*bYjDdF<f~t1Q1Q>pVkCdm9+!3mr;;AmftfwXW4unYkcHL+p}t zA@m~361*8{2~#(SkDUfs9ZxYk49^v-USD&GjY}C&)raG)kfvz)a0y=9R64ynFpX*D1T|@23zF2{YYd##X1_LVHGL*~qK%m_%O`WXG9QGwdNX&AJ3|FCz+NxdF!8^3HwykI+L(FMque}7=PdRJz}79 zAc)L|>Mb5xRPqe0AyBKLiu05)8M7{NowxG(H&lC8HV-ULV`HsP<5(wO=dxi!SnzFALzHh6ZzSdH*=aa~Wu^uMj)l}%)Nhokaktwl4u zc*Meu*<9FJdmLVt*eR&nzMS=v)>=;q*@s!U19!C5^(GHZo|u0Zc|566w2;kfoNzde zIW#@WQw=gO(P+)Rsy!j4pXhG7mviHGv{6*)v^h~=UIGnRYz!dWNh&n4KbDeSf?<_# zf4X563VPic;WtINg3OV_=qH(OfA1^xJVLHg&eEFIr8ZeNsSLe%eIfJS!|yr!Lw+E`a0Tau z51L*wt?S%F@84!-u@9CG*y3iR(Q6{b)6gsJ6psTfXixY68kMI#%^5}-XVsD|?p?2gTI=CmDW8LW`bOdsX z!CLpG+r&T61{Qigk+1t>G$+r~=YQ7ZhZMx2X@LdIYb;(MYIGYzJxXW0*}v#PJL>%) zCfl4gC*Id>vDwbvOl53G*G_tN9%Y)?jYyDV*ZJ0uY&^DqDk{99m+pC5hxJQ;+?{4o zw3V?_lQq&}$*hl{#Kx`KdPzpOl1MVdc(JV+YQmTV3*92>RTp%%PFQHSP;Kd$S+y!5 zDc^k=o@TYe)cK6Yd{G7Jx1iiUdeF)fw{@t0reSGP!vw}~1aw0|t$K_s8~ynR+EVj5 zv@IkBC7@hw!7+R4ZmLe~wTM}K>UKB;vF|hpNvceKq z9oKK~lrZ1vJZ@ya)&N~exBO6U#JTyb^H;ouy6vK}@>bP?oFxqMJ zD3X8P@xyAL0Q5geO(qC3XU|@P(gvgK5*~1@0suF?P^D32WN4Sr$~ zaBsp4TiTfL1Xx&??`i1x-yM0EJqEYQuqAiR(P4SHl*IX$xoXFN2zb6Hr|^5EKbQBG zg>UhJN&>}M;vyaD?qK!S4Mst$9v#WF#H@-1yKkfwuF|Sbm6bh|i#J7D;)3olm9tfQ zlLRN$e7pJ&=$TtnK09Ho3#;Ktr+HcPgsxrRP|cpwWkN8{5BL4^t|Ya(PW6`l{a+qN zKY0%S>7Ls*Hk;YLJk{C*EqXmKswF26dG9~IsH6-~4{^Z~7dFE1VAgRZCHD4ir;EeJ z#=B!bJwF6;Wgs;jfD01WH+ajntwNnbAJ(DQq=RI~KfPuiUM;hMkpVtZ}dq??R^ zfFJqa%+4fsvz;5p_r{>POF}N_Qe3DL&UqA>KC4Y9QTcoAbTIz6)QK}2vn%hi9*osC zIQ6vrQu;7+p#sGYUfTj2+-Q-5_$kf>G>I)L@dPpQgwD;k~* zgjv?MnrGSqb9(t$ZO;c3ZezNG(dw`H+{Q_(BC3)to&S{i^E%xbe6lOiWejN>E)EMY&esnnk&|eYME{lWa-+9?1j1KpD zSjSw<7lX=(<2W2nF{@^YLfHw$1Xv6V&BvT%(4fim1F1dE5F~y#h+&54C@que)6PXHH&EC?}mPZ7!nq_)2&b3A2W8gQ~RBxwFfoTd2 zWc3dL)oy-UD2lv+_0MFB(=}zXsde?!XRC8^1h{p_?AP7V5gxiICr@3EJ4H@|4iKgW ze|!+qp{%2+)IHzeTyTC}H@TcT%t-mMtUc1r2T5P}ODoARv!-=725}VD-In_+UM4N2 zoh?dSedEURI;KZI1C{Nukll8?tl^To#Mj@k3~Cgc@zY#+AU@jZB2(1ovaPoRX9r(# zQUH<|Q$gJVx?DQ(tnHSha%Wyzf39+X{qa4#N~M&@6~i&DsuP_~%B=p#JLDgD(9c@m zPMfc(LqR+#)gDJ`KWX*26RyJffyC6ezLY+rW@&dEUq96;YtU0%PmVZi^x!Q5bg&qc z`VVzZIDD7GVUZ2zJ#HR zSc@WxRnndeRU14w|2A-FUq((y6*Odb1k!gdJaXutH}mv!=E3 zg>}(pG}PLjMPI9%w1rN=4=oYRP|p`qAq9T1&_gu9uzr2~tElfTtN!d=+yQgV&WqMT zV4V+-z;mbFCQLii;;1Qqvj~+Pt$jscUMfHy?LcM++-o#6#45S$Q=8Y9Z+b!EK2G0+ zTAyRtf1Cz$Slm7i2+fgC>>82l^2Mtx{n}afYwceTBBB(W;dLE!u*QGWYgX++SHAgOVx-w@$PDqVQrn(#$k&O<3 z-YCQ&;NR%qgv6)y=sP)fLBmU}1;Ykhy(kCiI7mWktSN^&eRNCC@3<&mfj@s%b&8I%;p~5!4T$zy;)+tRTr}?N7|`P$r_`7Mcr^EKfV{V{VKf9%}Kpf+{0@2dEsaAU3F@H zfo1Ad%k3U-8MKR5L+pd+nhb6|v7Kc{{A2!4f;UT2B_zk~LwjZ_TlJ8REYpz@;WAX2Pv z^Dt?J=fH}DcH=fmpK6kl+hwv;LN9H?fKct1fV#AiImui11;@bnM)+SHU04gE)X|QL zi?;fL=r!gjWBV}LGRsnW>m?&G50KgKr#jR$FkWOQ89Ca@T06w-kZd;^tY-n-0pZ1f9L*>?e(seZhKYRRu;v(n z$i^l8L0*x5VGYWf%Rsw8gfm9blgL%&j&RAJlHBZr1`dNdhfR-bzL5Q~I>&AMZoF86 z_?vYY%$ZLoSZEI%wwBAGF555k_L8w3aB9{3SCj|&4yQ)$r5L3Th`+hB1*keP^a#C+ zmU@Ry%o&5{)Y#xpMWxmKyJ~#vpO|aptU8Gxp%JZTJ=s$?kbc(!OjBZS$CJDnP=$ui z(Yo278Ad;(ghR+6@QQdRNl59+y|NSS+c2NG?};CIQpDFYl)eLdHYwV{vY)!y4of|w z)RIV8CA|0zU(VQ{(|(b`4IE5qf@9QX+(~KJ()VF{cb@J1ikLHZ+2&`&NA6?6q5m~diT zYSO0S@!W8mtm&`l7hlm=%qEv=TD(MG#@*(~L6aUc&RDkFjytpp4Y}X?;lDb02>lPK0$L#4^g%OCy>Mw`QnS{&U8>EeB$q?4`cAf@ zBe`%SL%j^*uKS*0^9fQORCChv)?2^m0!`z$f01teb~qFh$;;mliV*Do(%jj~DWwUv zU5w$rpzVj?orZ!d6)<&M0-|PtfQX$NY5QmL-h5##47I+Rc`so#$Ko;R+oP%k(Ts3|g1qGY5aW){k;hF~ zT1SWCVg#m~9K6_Z?R_D99!F-DcJ#+?a6tJB?6febH$5yryX`@&hY^VW-6lw~Fv-89 zcrMDJh5HuX$89&57gPQ9cFd22jq7qH%+|n^h*dlu0hcB5iZE#s8s}fbYgf>l-DKhk z&$__sE{YIQSuwT2{GQfGTJ1KM3H-Q6-hF+ZqG<0x>)-b)sKHMVp zSP{!}bX&pFRNdtdK+UFZGqo-cfW zT&&z{-Ru6BU-{!UHYf-YH8C?Q(RR538!Gt>cL9!)pzi)$v!V@z9cCQc*x;fFO(=Ko zcQU~etQgS*(#>e*rPX-z>XxO@?dbjaNfV9+YsA>RNwGc~OYqcN$r@h~u3zg(@IVzh z-01YQTN^44C(Q!rsN2bJ@zmNm7Ny*zZSSt$BPdH#viZ+t1)(vZehAzZ+rF5hg#m@j zk&%sGo=&BJlVT|_+ZU21oNai%0Gtpu+Ty>!^<3`y)vxMB3r7j z7QUBSL>s?2`LO_DrI7F3pZF`?nd}_|<_FD`Va1_2Gh=ot_!jeHP5)9)J>c5M|E~Vx zEbfx}W?ywl?6oJ2l2B*jD)mO?&LQqo{!iCdZ&xBL1~>kS`j?ivv3GpCD*ntAyc`V| z`X7#{h^xgVb!I~!W|9P|wFOYZks{tlWg+>xN2aA&2Vlb~MG@S;BZYzNO5g*sIdfu0 ztp+G+p@}k?tLpDO3L2x3%V3z8h8Byq%PMh+)sGBy zW97GPmbwnD;$>AaqosDr$HiB?DWa{dTVL38=ZYMUJ3kn?Q@swhSnX9qw7$s^!D#pp zzxmsnQ%qPG&foN6j{A(P-<{r%|MO3)SYyMP0|ft$Xe-L))`C#pn;NH<^Jw3k{cf3O zam78l6C#_}j*NvykIL49ll*iRz^tR}RG#dE5q=kK7m&8H@6=j)^=p~5vAd+zZ2fdd zP76&KCF3oM1w(R78N#&WF`oI(r!I1)x9zSvOuN5!$f6(cJ58*jw{f~e(QdU1)f=HX zGnW}2=m_spw03=;cvO?ijlzB>NBky<+k_513N!6Lc8hynnP0hq!ohARXRbWQtut8L zptHg@q=7D&7jA!W?`3f?=Xi#&R&aJj7wY^h1Neg1I^{?v%petY7-fk~m^|Uj?3~M`jfwKfLpck5|n}@!-ebeKY6i-HX6nX?u5S+gR zBbSkAVeZPw9V5ei^(B_t0vd$>Gu}b{mrQ(TiKeiel@#?4tAyNb?6bXy!dob&R*zZC zbZ^d}h%l-5YnpgH>ax%M!Uab+aben=T1M)6qL;8iGG3Ec|mhbvUCYjBJhACU8?L4_AxSL`Ph} zzx=2Y-B8{ka@1^iy^=$TZKy7P{>fgGS`|)BfH21*Y{~eFZvqS_i>*6PUdYEwZzRJ@ z(sNYh0u<9llPT4fr1jXA+#iee^*&}=uxSI1%!m!1EzJnj9!U0wKil{kRgzH zhk6ihoJs>wFUb3+y4d9mI9Bka2+3^>Z`&E{7b^c&^d{faIdz|NzswT$ z0XAEp%CTYnY8zJ7H0^3KOZ5X(ALtz{77@j0xz2iQ9Qv`u6X$CmvFebGvYiqlTYrE> z&)_-ffYJo4OJLVJCD}7e6za0loRgK1WqZ}YRMc;D4c-1cnW*~{Zg zW#rwj7M_nzD7HoKq~R<@jw>I**N%EJb%a4DZlemwo(?10gR|$Q34pitQ)z{Rx*ni7 zZePcmuNlW+83#`a?O7V-h1e+K#ZL6Qa$oH#k(GdO_kmRiv#CY`hP^lNoV96#io7pl zMOEjG5lpzpTwFT88)g4Q>$}F($BonzMl}6L7DF zhR4`h1E{g%+M5#r4qxY|PHK*rxLUmYkZM3OKzs&Tnw+U>9M^dw?C7%f|yKl=UlN zY>Ly*%?}2-<~8%|*_k =R*&Rq|2LNgoI*?`y4;765v1vdIPSZ43&^Yq1=CG#H;o z{I06WVCrBR>KQbUhem9a4J#_f^A@0*R%Qc66zifOe>z}uywIteTdct0-@)H=|7scp zh7~#(5?Ana&BSA~Pv_gAyQ*viu@mI9&w!uXza~)Yd7gSg>ls*cjd?X|HZZ|=osAkx z)(bj1fEA$WIpW)nSXSY_OBAztOTl4r$XU>L&GQ2_pp;&@qJnbVa#FZ0z09aUMjAY< z%L_QPv62o2At6Drsv6=TdvbjML>1KA&j|{@U&g7xtuN3cC7C!7xs|&WE z5WGmeidOySvbgT8jf6Ig3?HAETSf;zMp^l_m;OVzyF}nnoCyy=nW5zTI$Uw*gN!Or zm0zu9>#*IU{iH>xMz?tsp)>t)sB_8q-8T#DIJ<`)fX@fpPlB(yRgR&Hy;kZRF)t%b z5?Q}>hCP2_Gh-Hx<~XwduJguiVEU2T%Tbz2jhZMcZ}ar5=j)FvM+bL&sV|S){|c zrbemJvE)NrZ5lbpAJmDg%_|oZnZCU<j zG|KgNlZ5yACFOCAwya0yx0cqkBsB_`gyMnG;dd5^esedUp&xKtCc*CfnVV~<)RtaO zYD(8+Xrgzhyh7o-Vdq=<%5Z(f#8>;KUIi}na`*y0FSU{IJCFtt+oRN80oNh)8S{J; z=kM5^zH_&fNU}O1f?iC-27%mwC!9(SKl<^W@uH5SmD?id2pPGGwj3Yu2Q2-wQ=5~Y z7|vpr4lITH(4gor=7*Wvx|HcT!8zFUlzm^k_rMl|w_0cxitUX}#n+F52-tWZAL63~ z?|e?|oSAa!`>1-(%4wm~ui?Xo&I_cH>K)v_*la;I2zI}9FXhzI*x=$L?$Ga0L>WYqJ(@MR=)8S?8GWZFTUE0zQE+N)+Du2n9!8tDv8T%vjjs%W zysC8N6O=4v4vzLMjxZzmWQ6_N++zl)iyt|nD0P4aIUt`%Zqnq>5|a^*h--JIwPt%h z7y>C^Z@XwyDpda)pl>1HlE&;v_a)gp(&O;$gJfz&t~dLkAe~jEFRjJ(Y^ocZX;$!{ zI7;#`G__3$E<})&4CfQhOTQa(cHa^BTuQLG+`V-tTbGp^tC2BYpX=D7Xz{gE=)-Rd z!g>`A;2l`lqd6jAZJ__*ONy5UW;I_%ct6ew#up#7q*1c5{G;4oKRE_xh)KDghV=Ps ziw}n(+_4qk4~D`Z8D>n^4dEt}@~-Yg*mN>gI0TK&k0d$eTBuT(S>w?Y$krVy!*_Y3 zvKfw3VvIa{B}$Kf1f3fOYJ+`St#3Syd%aGemdUy^U>kjkKSrE9SnQMmRW43UImh^} zy|6K1HfEAO^?oE_YMm2Wb-rV|{z1zIY$mI{V%<*kpttUT30!_u99GqynFRhL?={?= zS^<=yW@>}!nor9RfPu7@jZ{MC1~~nj`2WXDB!WS z6LG{jlj-NVB=pJ`IMTm)DcsAgFTDDgnP`*KOI%217&D-( z?&|tyZfH1HVvGNnC`1riTjTOngP@Fu-=&^f?Ny%{TrRX+H>4f?+W*V8MUKeaP6aDK zhLc|6&LB((VhXm)Zvos;MM*NGsH-mlUAddhNb+<1@KnWq^GXzf7oer0VT1{2>=KlH7m6c{sYa zl$gY)Um)_n}iCtN#>g?&_{ zSu>PPnkRO}zH#@*D75_YPL2K}<2V00#BkxB-^1bbu@*}YyRR%oS?lG?7h$<$m z&iohH#S9?{AuN1KBUK~Sws{*c*9+B0JFFsWo0li$IrCo=J@s-vuuJVoC5sD9Uw47- zsqYv{*hI}LRWtwmLR!sIV>zT44?cl?%4I)$-*;x`@FK7EHz-_&(s!KhtZR8FTbvlZ zCjgDRw;S@qy9nWvQf-KPBp(7wPn6)q0~CD?WL`N^ERaqyfq*OJJ2JvQ?9L}OY!4O` zL3U(HH`HaGx9HIgg}tmDAG1@o`=Yc6w5sU5g?sh~9FRwAbwaRb0NU|)vg##O;Z&lN2b?4-+8KHs&*x{jtS&>V)bU&K;y` zYSs)g^wxJIrBPyMxT0o8oQx&!8}EmKQ~izeYYVDB00}pyWLIjqOY!^B@Z2bRpnKi5 zKf;RXf;ehdqTuB$IvN1a!bu02dnaprTQ;b%@32o9CBN#8noJocZl=8jmM|ai^xaFt zefIdNC%B7(0fq9K4`@0mq@9 z=O#XAK4h?F;9So7`0E|J%XXeQr9I}rO6NFTe8A!(7H|Pnzwu^){#nJ`V)ljZV^9ApWP`LhrOIB7%lxjcqJzsyT_--s69iZ0QdiQ_hdBqM{1ch) zpz4H}3wOiZr zx8x5A2i;q1e$N2iaS0h~*dJ$z_F)dN@$_BIzWoACjE7le#}$10HJ->;y`|Jpo-fe5 z)9p!Hbqm?b_DU3oHK4ss4awP{3jS9iqan0{J$wxmIU1zV==_5zB5R2p`pSWxq1-^s zg(YZSF{++xy0E8r>56q{%&wkJ1SOOuKmlJh+uzrf8g2c}5qIiBsJAK1o$}Mgv-3#F z(eMJL*~~ch(+UqLEtZ}K;H}zs2k1+sL9aMY*~OEaikcD5o5d6&b_7bPtlu(EMXP2m z=Z9Jyq%zw=JVUDsFvs)Leulr&glqXo?|>%K8a`{%bXN%ehhogfHlQ-8Y6R@M2YW&; zGjEEC23!dLhZ<}EYo}hM3L8A({MH!oSa4}^(!I+3rVIGA%iJTQAEq}|ah!FB2b-ok zC8}^!?SYtk=v=eJ+x4XwmF?9}kT1!YP;NagapbSWZ~zyUw3ny3iL4h&y4~t#78320 z_g_`UsFk@(y8uttkh@Mhvu+Pd24v={eI-r(X|DI&?;+x;Z|uf@yl;-3WpQRypG9#0 zuIYE--~Q_j@1DWh+oUu45liM8;DQD~-}qw8672U2we966NXk{&__Wh6*nNJQk8y3_ zfDGU>7iEZ4+WcEuIMhuZCy1hI)U{C#>bU47?~d>qIa_^(2;%%+z)}Ua%yuwVT9VoL z^o@j0$X+IdJ$KEIX2t`5v7Zr`9&I(N1ogq4H9cHU{fmVg`?AGt$gegUfvpyT(Z3v>+`N&A{mB zT~{wPSY6Qc$E_4sefz1kYT?1s{=s5FyUm$6t?{XB3x@h1Z!UF&Uj>?#4WD8j?mn&} z@$`;@Q7ps9G0FAewlSlvPiw|!AcT>~_H<$h zgQT;8@2F?kkR2tz`%bg%7IM6`PVtw4^3e?5!9i^imKq|2Sbb5CxNYCDv6>i?^98qFjyT``IKB6cf<#tHs1aJ6@ z$;&uf00gso<87or_i0$_)Ag7_guStWQ`B1K8fgUmrn2yY*qPoI`G5JAkg88XaG- z)DyY?tl|{{h`Eq_zO)yebmuwtLqCZ0psnw4fl;Ky`M^+FGv$Df@af;n{ne<9yzjFR zPb|TMO4cYhNZ6793hwRTq6a~NaKk4)@s_gC%Hu6kChp!@d{6F4v(g9$j(Im>7(bFg z{TCgz$#uwnxVliiJFgh852$_6ER!Y&$qPR`{TAX4iH@Jzb>6uQA%WHllal= zy#ge}8$W9}b+VZPO&`+rHM`5xY2z7>&IKQS|K-~ajL$Lm*Lt40)MuY{XW`mvWd)g* z-U(in-v4Cucl!ME@pG9-?9AqoQTPZ}uH*@7hq8S$VvoBt+SUAF-1)gIzRMPYQG(xYPsBMu2wUkXz@+gjN<@o9z9o-ghQ@Hl(hFk!k zK)rL4JNy&{ujYdH=ADK^6{h5oIJS9Np-p^`m~{5Y>%|gd*S5k}XL^rGI2$iAyS4+z zmCqE38*jj7X!d@H*JB)>mRgm*GUKNv$hsA%bBJ5tr_hSFN{vw^_VGX-%w$A4cqY`( z$%^HO)vcY>Y8Am@m!EtabRUj>&7_5s=a-IIbP*roOIU^ls_Z*(lM1RIgA^O0|HqwI zb7@4?8OiZ`-+Igp%i*^}#lR-mJ!cp?190V>e*b`sgPNN9*of=J4+(cJP3(>Lq=tsD z&GCw-5+{HEm@ z)jVVT*_(U(hHC(YHs!Z&D$sWMolwlgoehgiUoLru(H8QZZD?wJ=mT)aW*8~=AVN<&)L6Bilon^@FD4xD zqUMl(Y|$yW`dt)z(=t%daf7CyUSz)oknR{-dHKzaFaiAAWw2zu4q%jay97~oYab7n z85;lL&jg2bh&R_T*6F7g9R=>-NUj)Dd!>om9c6El`k6^RAl}%sb9!)?v~Z^!iRY1) zQNQ^jwqGVyO1s0vZ0+%Hw@?=&C7k$|fQE8ih(w;5H1h$7A`)soy5PKPZZR-X7-j_Q zU!*4hIU_||vrcT|bBh0+WL#g-5stEoqmT6VkVBwrGvkYg&(noNz|DrFGcRi)srD|s zLS92(=Yb~FP`?_{a;wJU%f&tYDtiICy|#+gZ(d1DKtu9uc}lCKI(_IPyz&_@H=J?gHg&b!mGdn&n3q7O+RpslUBC9o=D1 zh|)mOJvXd;LTQh+%PJv`$9%8Mm zwCyg zS6=ujASlJeK>*C`Rw*_gpT0`|VeEeCUK}xlY6<77!OiRBP<@xxIm0y4RNa{y`jKTB zeRp9Mq}(HBo^~^Q1|$g2ku&R7vJ?}S!+3z6PU?Q>)b+YosIj7!+cVgI?889{+_pT4 zEDmk=z)4C@eAkerGT!L(p1t|$`X|YQBDtZuXj8~*n{g?h&?=1IT@md(*hbc!>qT6S z!k|AJqElYOk0FfNrF>-;h`>zXGK3O9Xw?(xa%i~CL7v>b)Z1fH#l5%Hd>wD5nlK}> zRq}ADXi2JdC^Hz@N`$ZL+Ve>U4Fd^PcujU=Z8dBbocPL2wYh>1?BR4_y!o7#TYVe* z@mYM;_?XK#eptz6B=K8YGHR1j+WdZz^|#xZ9D8L9tBujMM-49E{)HCdM!T>ZbD{D6 zL*NO-x)&#zFDu&)IJ3RC!9XsU5O+50YsUVXzNmmu>-{$$$qpIbA0Xm zhYLnnTL3hCmGSue6nnn2n zSyS_ef7QJ>v%ovA#GdvR^;|*pRrAu6h?TD zx`Z%Amv2b?BU;uuX@I)ePhYvKT2X7co{3;Q&C$QbN5nbVPXPc5l;sRevL zGNT9QVWBcTK!ZU4SUJM2cxf4R@e$?qjuihd=)-`u5P=^hTcXlQP5SAnx)V*|wA|c0 zc`>P1A(iK=UNL>N4KTjnD2Yr>MO|A;Nx#F`ckx*tQSKDD-u5M+m50Bw^eUfNMtOKT z49zKKQxjh1ZlmvdTby<(|A%imWe+KpTOt$T7*>0h!EJ@#)s`Iy#xE$wg|tkJ+zr`0 z>DI-d`=yJpXOA;1*N&WJU4>P|fC(GJ#v3VB$@Uxi#xzXOuMlo1x2qpVf}B;^K^nkz z36jrY-BzwK@eRj!wGmD@8?5P0jAp!KURIkxrdFLHrIEHQag8=Q z!>OnEE)4=&`3aG}HqF1J0q;KY_F~#eX?o&aRI^I;1MXk@ zr1LZWiznojQ92L3PrC83ZMJ45X0^Q&uLTYmDhLZ8;oU{R7|!57{$6ynZg>jq{34R< zZ-xv%((HG3z8P4^?Yulg4Ch7DfHU+cV0P(dqrpMAi~^W>m#rD1%ZdW9IFnsQ*v|2k zVm>zT=gC6L-Lzp+=BT?w(8;lh`gIFyrjHtMA&r#1H|rX>N&@FAlPedGbrDt>v$1@JhXDUr6Nv1m4I7s>2+JYfN&W>AtuNL2=ISW4Vtk^m ziB~1d(w)ne9OK?`fxR`V(*wHUWo~%7gn_R(r_TK4VsQ_Xg-fr>7)IGt49}Mzh5Y1k zWXqgHCjLDIAyJV4nF{}oCM(zRo>BUWt2RNSpZZcZ{HFS7s6Yz!=is^ zC5=B|KCEN{@!Kot{K)l1v;Hsoxr@>GFDk0I{Xuc@4WHBptsiwbd0yEmY!xDXoHEOr z;NqE~&$OzkEy7-yS>LjoBDxt+l!~J+I@{IP&Elw_-Y0LwXfn{vfa}6R6JCG3p!XQr zMKly593<@S`?7g6rkcz!$q3;!yikQ7YBy7x#92k$oP0;hCP^609VvytHiVEbR7cqy zG>&#rVZHih*eCY6uW$(=+&}_mG?B0Jh4dKzb9?p!G@juVY0T6>ZLr$&n4t~=>?<^j z-KnB@i1(wMtrh#6SIVhY)oCwvFTTKp1z|){Rxkv8q-M>ZAgjN1oAtxL*F4wZ+b>T2 zl(J1OyC9er+Y2;pOz)Bpiq|121zEe3kAm-xWN3KXclK;_cQ$&wer>js>ZK43XIpo1 zY^mMf<2z6nBXDd4`+a6Yb5QR?HaCauF11SPh^TCmkdb4P#Koj?e`otBJ7q5tTUSN> ztAVnRicQsgc*s9@t5dc_l~-5o*mn=9wBw@B!(BZsS=e~9ED6IuY~*kHNPiFpuB$ku z)v?zk8MtBJO>?WEUDNqkgbdIP{oFZ3dyZc^{*pPtBQ^R=u}g>@$?>Ns>$Yj#Zt&}D zO8%&f-HqVhhG>-^Xkt=3yPD08LuKAqm^MR4CD|~CDsX;1+9;HcIaZ)dM>{T%80JHF zz}y$~`dKJwc=~MCU2Z{^EO(IxHJMt>t#pne3^fu)tx0qVuhCZ&xm{Ef%L5}v#{APh zs(`w>IXAZJvzIncd^8Viw4C@%4|HgcDE1ja4s0;b7x|#WPj=R)be85~w{oql^ z+DIfCP*m%+&q`<{2T?ZvjrA1t-Ax=hOcv z%vsnt({1NboIvBGPI3G2Vwn}Yicte&dN`**r`J9YJd`5XsKI9m^wlBQd(=bntRDa$ zQ0?cC1RbY1X4{LCOYW=MiOhJnx2h9eB zv~u5LOrjv0(w_S%a9c+14}MM6yPTOhlnv$Z5~SE9ES45%8LYXtAtLQ0%Xp8#!yJf& zF8h+rrBB!XtjxbBvb~IACuGm#`->EdvP}Q14z~_!@iMP+qmtd(@Zv&-*g~j!7Vn2L zh{l7~BK+3nz)L9V0RS)1S%x(ocv&G2pnHP?OlD-Y+pu5nGKshp+g}4jNPn`7&7ugP z?dQO70Mn&H>SJ5PQ_$Ve1w%hU)K#ws11{6cseq>!KK!%~h?{-tBKtXkwJ>iyJ9tjji=D zwHBOEFi&REn&nj^<%zU~O^>WgB}yzk+*uBwe8ry^4%rMfr($^&u$Fv1}ssuZI7eqRJ3{zY6Fv{M)+l6U}VRW znaU>yYZTf!RT^9#iiMvB{>vGRlNtTA{Y%Plt1iDVK3KL|s%?n?c9aT=P@Nr^Bs{2D!bq&V1%E^AQ|}DjHditaWwwL^Esl?~L+-Vk ze_Z(|8~yk`#iMCF6I=qk^~X7ZXYZ@802G{5qVph&SNwQ&bl$1;nKIu~Mw$P%I(A-! z(_ZKgE^gwEFTaet4RH zGcKVoE81~lW?}UUpRq#gPLM~(VuOQGN&V^0nA7w) z!K7wh_HAmg^1fRCks_9kk;OHIc5Oq3Biz}mcV(zyGS*%4<&SqzYjX|MLh9?yS*1Te zph7SMjGMN&L!Ewu<8dF0G%7RLJMK|Vui>?^P4ll6-I8$|W+Z`-Y^Ehvzauflq8NJX zrSZB~3XDJrzcn@EEIUq*8JhpPL+g~+1(!&z?(HmYYRwUoGbSZeJ#MMPZNG4~8zY;mPQ`VChS_bBq4F`zE4#On;Syaq{g;IkE{UPoL9`P7gplJP-?M-yv zQ#Gdd_WkF$MozFwx%rpK*KqUVQO~n_T|^xs`H*3$@g48jC_>ZKR3U^WI^GDZI{fid zRZG48W<(b@80D5iHV0}^x}*+fr!s*d=YqUnIp@j`)QJo?WSK}Q@ZY$B02C}w7~A*Cl>t1ui~YxJ&S%1KkDg}tECn03R885g z%CbYZiDGX8YO0oj<;8p6lU_^sqKQ+q!I{CV1yi!6efYfDfFb)cyBkM^BIVR&5|%LkIMkqBn| zZ)Ie>_c5H!Mm;{`sKVmW=1h*kHtB>^H+x{8?VB5OgqO+X&|jCAkZu>qz_H zCEgMB2ytpXIpJVT9~>jc%$bd0&XBIpRD>^RFKE*^VTMOFOk4n-!aRis(p9d1U3?-Z zmq5>>;ep*c%70h+qY_FLP0iu`+a-$YtrOXG7j^>`^kuStQW49e4J1$(9XEYPr~g8S zi-vP0H6SnV4fXF00M@Q<)d`iyRjkm897m9q!A(yYdND@?LG{(Za()FxA4xE+1%}5j zVp_aUZPYSMwO9ISO&@Bb%vUO}cZpAEHLS)uZy8io^o!-~4=(;CPV?>ToK`ocH?Hon za<=E|R(NZoCy6>{i$lYGU)**;r0kyC*b_&mM7Sp;<;lhqRnNCCsNFhA^Q?|t4vrJV22mdN5a*1e8JRp2iNk1G@x>a`%Ao|;DyRClo>|jttz`#V<`%w z7VU-Mw(1dha(l+2heP!Cx7NfNmaO^nB3JO35?J0H7wD zeLpJm-N$T|bMW3kQrETtZOf`L=eWXrRhj3}7u**$jL>*mu`q7}wLwmW5 zmsc;HO*+Us@@m>B(qtFgeE^q(*h4xbK)Vp)Jl$aEmGXuqK-?Vt%un3U^Q&(>6*Ccq zYRmQoz@1SF4!_d91?1H}eL?5c{rV2OY1Rx=*;wi?fO8A%1~%0$IBK6)7Opvudf^z# zy!9)6Bm(zzlwp1go@D4OSSL}+Nu-0e7)sy#7b8AO1P-hR9QojF>qlFS*Wp@N<_OLU zDQBhEsbwXrsCJzodWQ_SmB z@JJNG@F05+#fsp|8iS?%ugo8Y(4QwQqqp1NUQ4nGBSve-dZ}$^XBPrtO59GNlWIYK z5prggp5bA!A7Yu*jn4wdOk=`t6X@e`FY}7As2wUp2&Z6Fq4|ij{z?ix<=4iYl0#Su zC7kmo>XmM4f$H-&X9UQF--mef(&ntLq-6}uR=qiL+R_%aq1N1dwA6vbmZu94wv^qA zW?_KRrKham>E8d$tfI;8>p~EK`oeYCzGEpz0RrbJsJDo8Z~4WaznufD7OltOQ2R|4 z31~T^H#%(^`CSz0ruc5KCU8T=_wm(jy)t=vSX0dO5Y#eBmvD{z!0u#VU`DWTwV65Q zg}`tU<$iSsm`hZz4*}OExe)n$8{v`tN7;phY5}y^^ygYZPtD%cL#mjsn*ecMaH)kT z$G|QOAfD_qP+?OBnN~OOs|_4mgBPlMlA<%pw4rr|P%xqfQ<;4|n=(si_l`cw1I|Bq-G^ z_>tV1;Z(zk&df*gK$~?8o~UM&aX4bm0R32W!|6vgrcwYOzx6G5dt-3V6@jhU0R~4w z`u)4914MC9jotN?=t>v*+CrVFvCLF_9|R;>q!6Eh4fj%USKL6`={+ZD6r~9d!>8HY z+&&w77epTFn4`{!B{oq$D~gI_VbGTlQA#r{$xZ#Ew>2O@bwTTE4mnh@(udG2 zvybR4GNIZ4J=fw%y8YVU@=+I~L4KLY!l2%=u@kIuwt>p3phNtMRu`P2=vvN5ZZHq4rQGC{x|6+9Hh*;1V3e}4_;=Dp(J5J)e`l-~P;@*525-l8jQqsV($~UJRtr?~ zT@@3u>3LzQ;***)m66za@Ep+PQ+Bn?m_IZn<=j<08+6t6x2)R-JXn?{;@zF*T#53f zSeNay!JzZ{b`UBa+&S3P*-Z;yT=e-k;uOR-YVuy%QyAzQhYCI1%8c8Bl=5LWmeC$_ z0!>YRCq>!4hc{<(qP)(B&}vxMa+S8iuWrwt>Jqz{zMi`QcH+f&2d-f6D^Onk{MfJd zF)l1Oirukb-^RZ|AE`(qUI<;#?kOpfQ%@hI5TWj^D{;qa|FNcZP zV{XA$=masn33L}j*qldGAE}K#QYB9VgJlkJWsB^BW3AdyL!jS;>CAEIq_^W3LBN`P zVMAe%7?Ifp%KQr(N(yhGID^15dRb-Djbe+y=*6iOK{ACWXE2dwX`TGVZdC=JVKLMj zz2zyPZ|bm)Wg(&LMa!#@*f{}hHtpDl9}HX9Pos+W=!W6&rTrOc-hj^uABe;4*F7fE z&Kt$;Wx}|(7kv>{1$MGkE|0WZvv;hPu0oK4xx-dC4AEHX;p zDt9<`?Rt7~@n~W+o!mDv-19d>Dnys&L5JY+(4PAN&+_+s^r8>`Yj~nbQQ@}N4GKqywb4doZ82>tlSLDt9{Dy% zjxWTv0#iFAvLaPaIHW^{kD*6^Za6Bu>5-I@NShwCG;!sGQ|ofrpVvxPv;xv)l&sF< z^Fc?4_c0`lNTQ>ebX?3|XZX~bQ=a|!m{IQ6T^&b^{6MW+cB<(cIgkYZdsoF|W==G- z|Cp?`=brN?r=;Ybg>CRccD_U<2~1ig-e%?3c0}BAvS|a9zx|{3ggm+F4TXZK7C#Yx zNEZB!+gd+fHgOQ8BX-6ACa7k@7+&C%CjXGc+*8#YBJJ%U@e-ifEd8!66d<)!r66ed zGU<2pqoT#(j99HiDdRNLG{d=T;k<}yrBmUUe}nEDVOMHot9(V9Su@{1-9;~saq7~9 zzu1||0)rAAi)5ssiS!1U=2O=K&0_GbT3pdS%2jj(P+-?pfJ@aq6kTFP$~W!sPgh^y zY1VaazyxpNo+- zz$S=$i%V45t7P_=dIF*rUft_45X)R0p73&A%B{bhgHIfNEwh&op|sUl1}`$K*#h@4#7zRaY-~&AbH_8gdr}jL$ zEi%=cn$}(sPd6jy0H(jDWq602>^s{^qV!I<1FZAMxG zc4$HS5>&RBuQF3p!3Mp}ivZ+~&r;~hpCDTq@b0Eq>CK9Mv`rg<8D^Nu^`}@oYFLpk z@n~%QSz7j6CCcw(cVf@^v9>LGx$eR}U3*39Qnq;&7l!lbZ1aieN9pdOIctZ@!doE) z&PI?Y6R1w#6-Cicn`(?a)IEGf90`mrfT@1iJquC_M5Qg|@&&E3py3X$0I0qH9)9J1 z#5;JWKJafId8Zt3X89sT3D&7s3_W6Qd_=r61~KN|lH-AOzxGH#Z5h(%gf73ZNuwm`naCU9h~T85 zLfioZ%{7edT&SgQZuQX7pqMx8BS2e{OfFE(Wyk4q+?iS48cz(ghdUc%nV4Cf{*Vwd zLJR-rv0jk4-P&Vbsx5i6JTi+|2h-5loq2zpHBaH8#D?sOuR&^7l$!bbZ0Dc?CC=6^ z#$#OiRjJ^iS&?d%77dBsAd#6&If#>Boq|zHoTShfQc@VT*V)RCl zh+JUG#_K*o4g5vv+BMk!XrjrFnI8#}vFdgX4i5JJYov+O|NU!cXPYDE6Hnr80{tRm zPo7A^+Z;}gO!}X{*&9ze4gTOui3dOT#yiC0Z31GVlA`hUcpLANF{h)G@OJ-=!%VV1&!X5s-aN>XC69-rM&lhh0zb{+`?sLp|jXUf=cof0jKQ0)mk;N|A8{WdJ)8dN(8PI82n}6&!Mg66QJU1 zj)T%@6b97~xDD5KkKA=1gCXQ|AaB=WrH@w2JFsF#zh&3E_ZimnW)$vy1~-3_ z0Crq#p2#3~{+W{TDSp~9i7N0{Ulhd#4NJ0q^`!4AE5*R->-?9X2P)hxKYgvB*7D|r z0#Cgc#UPyi#Ryoi$fnejG0!6!eFZH6yk{zsE1S6@oM7M1b;37t?k%NMc{RC42KdN% z9TCM{QWvL8yAeRF*VC7l0Cw`uW@zva4^A)|Gr{TBZ2Z(rD!?4&<_7{PzA9#pAp;{A z@18wdtY}NeNO{kcZ9|_R!N59dW73D-=AbAePpmUQ7u2x9us=uA!si6SUT97*%fcu^ z^s6f=yf%CQtMa%K$t?{3y-@`9DrWu=K{rjRE zzE5NV&u}r&T@i;6j@k93Poc%<09$6KCVo*C>`_k+#0ATrN4wt0tnml#G9F20T?A6! zfMrQbDzI6_4NaNPk-!KvUd*fcnR)#Qxz!I!Jbe-nHrhd7U384SkEpt+v)2=VsR&bhm&tT0|9f{JFr$Yu@qaH2VrLZ z`3Pp@h8-ME(N=|^DY$+w|BhO+fxb%3k7~+ip zi?g@`6j|zHsE#&8J5Xp2)OJR)pj0fM6A#P_PwWu)f6ziVsBU}%aiiId9`Kpw97-y* zfS#KL5WFh;>FUS{*}l7Ir}&W}p!G090-?d{uLOSf0O8i%e37Z4^(x(Ie!`vaVP1j3 zca3&WdiiOzWX#57_ht@3dD1q=M>8m`CcLj3b)*Ayf`Rt~^)kx(T2nY$ezv}fCxNu%;r|lH37n1%BtA@!0#yN8=*{>Qk$2+QmSIR< z^-N_uHH8&A0j8bnn9R&S^(2()&Ss6f+(TOb&G?RPKn0~VJi?J4plNJ!+|^b3u3oV> z)2A2;4EvC!KTG|t%AlJzB}0-QmZmBx2u+kgEyfu$$Jr~kC!D%!74b4xyD^V3UBafz z(w~gH*O*0)_s@GhKm$BY{YCegTxtuahZi2;CtD?DtDnNBJwEN`t8RJ5y;Y@7<93__ zTZ@G|AYLCF8W?f?e{gi}@l5ys|Gx@5u-Tkvm@SG@897yonL-D2l9HHQaz2z0v34-7 zj=SpWEQc;3r>V;jv9?jWK37*>c6G)3^?E%IkH`J7R zB0M}BQl%1%%acmyrs9q)ZQ6SS#2WUn%XRy?J+>dzM6++L?SCH>A5F?nz1V(U2pQ0i z`9=4rsDWOUUq&nr*rIeN=ITq7Jc(ngu8&h6AA85VeMjxTIaR6LNVTXdD?0N&Q^Xim zW4~B^%wwMBe_?qs`Vk!pJm>@OeP(rSznPG(=_pbOD@eKMpc2YmWlWs+ro?|a+gp`e z0&Wit3O>AvGTGnl_yg^xKE&hHLN|*If&MMkqDGkUQQ^AB^lU-RZA}D4yz3r97xx@5 z<^xdz6L}R-~JQznh zZR`eDQD7L>UDf%8vE)D7F+U4Q+ik=@)mEn$C`al-?JEx-1^m{C%(1#Im=V|Ik~QZR zf;eei7=UK$k$616{Ws@7XuSQLF%fhhB(lU$uCSUiTnXCKhJ`EjVRf?d$(RJbEfP4R-mE8WN?FrXimkBY;;0Q?ggNG$|c|F z85^5LWHx#9u_aC~Z4AK;6glgIb-65>ezcd#p&qb8Uf`{eZo%MbDP6MtTFX+7A=m>a zToBO>ft$(Yt)Q!;t*n@t2;P_UI1N(ycKu6-+TV!}Wi0WOAsoHxPbcNxMtVHpr+yl6 zah7)Qq~^eOHE}4pbJWoS>_Gl?GVjWQfG}0FbvQRn@%@_Bz&zS5t7-gI;SV+Pzd3wV zprw0ZYLz7F29;=R<=aaiURsHD9-~koj@xd|wVUkrT4CWP>Kf ztv4d3#WZJ^BG%KuqHY04nzU^@0KA>QFwD7Dzg>>3Se;AiK2mcJ43|uGgUM3W3=iVI zOYUC^%&fEV6=}5WZmen4>f`oesizq7DF_@42DN|n=m=OJ{ZJe%OIG0ydK6xS?dX9L z3gzAejaHb!Q;mZkEzK%7JSK{!!W{jAKy2a^jb6nQ`wQvi>7391H%6_UC6%Aj$5Co( z8jllOW65{zs2_)I=lnmwr0aJj08@|@WlgRTKw1qUKS(qu>ftP2-}u{bJ5468>|~{5 z)RkC{8BiaR9X_?I$<+#(m=NfpZRI#$>l>U?RWy~cI&9tb6r8fGsDeZK3%m_t0&6|KO~wYQH|>7p&+z9Bg+}> z9j<=P_^vD}=vQGD!qq%3t0QEhOCNZpg7eO<+43BusYfZv?_MjSpu&%w?zf~{`m+=C zdiFz<-hKq-BZqX2G5lZTPJjzCh)u~T{BSwVqKu&ae`2B?>DG>JMv}MmYAf!*`k3Cc zqD8>Qb^Wrm4#yujCd%rZ3$AFRONTkJ^mW+27OJqAGzT&XM?cz8=RAO@og= z4yq|fm<1P`yaMC%(FpBD_PTWz*SQikhKt+r{)w^XGssOD^GlSjv>$3B#9@suVgIsv z_!oySstI3AJc2tNPs=cHj3iE!qn9iK!)vE4?QuWl z?nO4rW_Uz?S}zUgJdOC(_!z`aO})D72sd#5jl5eshd)?H88ILFV!`7DXW1?Y*cb@- zu|%5KBcK;({d+5W z#j~?|WvRhyqn2k)!tK;CXfD-K{}YdN%ec-lrL(Twq;4bC+flrKxf}vwO~MfR=6Oo5 za>JdA&?p8~F9|<___^?Xs`(DKrOclNbnW(ehc6@}oQfn)QVKDY8%1N%ECP(uO-<=$ zS$ExwX;4-`Ko_Ms5bV3QHBLxC z5$d+Av~8vL)}69{;#sWN<)mAa-0!*VX7t0piB$^L%45U~ zNHIG8&nEsgs@7c@G-#XmzqkC8){tER@;s2=BF4L()6`M#Fz6%du^6=*dl#r1C4YiY z8=PrQ!8Q!whu`o;c9HHY6I*n(b>KCxWE<=PfpK~yxvtEx%=w8)rWP?xC(?^+L7e?! z@wWGNC1_cQT>!l@e4kczLgOtl)R$NlOGrdf%+!M6A=8(3KjZbCIzD|*wdCKsoIJa# z@9Y;8*LPgOP83?F_QW4y5VJ9rRx`YV^b|0w$3Qd4skRwqP}@#EF$vrI`D2iJGh?a20!2uY}dlefdRkY>?`^(Dx~Co7~ZVE^;@2 zC5|gD)hX^lu$_vsd*i34_y|{QG^ny(VktE_A={O^Bu3|~_qJ3(hlch8Bj_MDV9rMag$@tPET49sh}77t))vk3{6J5B}1n#K47zuJd?x58Y#dT zY8YxqKMcr>>KN1#WokOt0n?Z%P)&KXIxaMi+OK)G0#<`9_XM>~rQaVwA;nYvNhz^} z9F(hUx4bIzjqdS`HgBgtlOs*t*rbUBNOo)~b=odthUhKNny1J+F)J&ET22@|Xj&5; zR-LoDIfb8$(PdWG!kwzrh-tBUIYRia*>cJMO2J zOo#}0VnT|c$dd+dD<&(u|+ME zp$7R66!&bCjIPN#)A(vgLeMnL8EadNq5~U@9H#HAxgZQob7og`)=Hd+^any|*g8tx zlO!MzyJ3BUGq7;V2x?w_sqtuLWe!z;)GU+EHj-l?Fau+0#%EE9+u#9`Xc(%}YqFy4>9z&U6wsUKKrsn<5n&bmA;66Xf+e(Q^aTTWC8v8i@3`6Z z66|)=Wecps5Jk=!>ksRioAD<8J~3v4vUtk3U{~#ZT&j*qS1Nl1O2HD(Pc*L5XNW7< z<@2Q>c)u68o561?HxLqzx6j07gq#Ji@P?X3-#5CPs=|{x@3-aDvb{HIZ(O9yA*eh7 zl{gb|)juDx)1dlo1vr6kdDZi^b8bPHaWP1^5I;9WZFsQq^0q){)l6kYe*KTU?3Q!&n^%{JQa<}5y-&%yF=);=&~gvwnZ z1Lmdy6U&tT8sYDVgD;0?rihWQZs1=oehC7!=YxV=PWvG`OPc->m?_Q@ zl77exirsQdnmn`8YXsA005F86)k;)a3U(1H`>hZtPZownXEago@Q?U?eZKDov9WgC zt()rm^+!x&x7Hbvihy``@DX3%nd@pZRJD3sS~oQ9{?4&LB_$4b#ks_9IUYl4?l{PK z<@hSle_9*t(M1k0e~~yK3KI1F>@@r|`W9W9<1*b$w1`bymsHX%ozFBbeW?nZp!+_a z1T@wab-=>C7lG%W(`rZ-2$|qR`?97bR;v#d0q2|vO3O;7YKk>t_DTE2+tkEP1#e5u zmmH%Vs9V3foL%aZT_RND0!v;_e)q)xGUKIph^gs@vd)b2I;T-2~alR;3CSxKxLD%_sBX^fB{ zPBGx<29kqlS3TBIw<0Opa%WAdJ$IFS&oabZ=AhT3o3n%8pcTq>}Q+ zClJ5goQkupn!fSkFg$m0mGn)^qUyV6PZ2FJvHk@5kU`T{EitmNaveH!|H${wuPuHw~ngXLGMv2At7qg3aDuDbMaKdGgEcuiwnLCKtqf$X+`6vb^9e62< zqTTE)4LW^x@!9a6r#E&RCt6tymonDj7kNr5H}0JEu2ohHkGpl0Xpe>~fFkdRX_170 zV{QS<>5agHaZLVByk7mkwchCXu7kWwR>*1y&AwR8vyxkCn(9Q5&da?N*Q6x+)izmLw23q~&TRhR6W7 z6=~K7)HUOFRmD)zVnB>2Tq(8WkjnR|fg!dWoM z>v*{~N8%*3$@!OY-NnJZN@cTHuwO}EC^&n0!1e&~D~{fkgCelHYW`rw+?x8jzO2U6 zjsRRa$E62?@1v+b8t5(=g@diuYf3FodV$ZvUK&0;FPcy_@s=|apcUi=^pM|6wH(r& zZLRFTH!QDOxo2JwinWEsHbEHxMz9D zFTb>iepsD@V|+i3tfYb-TRGA>wsq?|VRoH0cz2T*+4AeOlZite!GCw1_zdtkK`hX# zIzOAZb~rudlVE|8Do9nns7+OVF`Q{yzQmEBX>3Eny8jWSPbE&;`2)*M9$U5v*IC;> z8ss?{2ixuqeGlAhyqPX@xrb3Yy``H7$(z&XziD+p0xrab{hFrbKr{oo`7XQZZyLkb z-8cWYYaaHH==-Tf${{x`7|>Bz4-3?Kx=vJcLg0;6YJhY|e%AQ@p6rBP3-jd*hQEgm{q6QY==oViMT<-48u3bK;UU>J97ffqiK9~UX_)+@hUcnS!KxE z9bp~2ON2@n&MWLShPV%I*0|)itlh|$#VUq9ZZGhv8jW#cvb zz<)HjP*DW~qSLDZ00q&1LkBi^*!UO0M=%D#4l|?Xpb4!Zx@J!Z^a8Fuv}1>P>UOFo z*RPy@-KXyh!%6eW#sjPGECSjrM;uAKsBAB@8vmk(XjzHoX55MUyxDws^UkOX>K@@X zAsLR1U8qJr;zr*~Bh-udFT-sLt$x}mJ#E%CYr1echyYjQfRv9C| zaV2RvTC^;&2I-j;8n1FwQTST53|=XOa>YXxJzJarr5b|RD@w4H`Lq;$SKjTY>$~}NSk70R<4a5E14Tp$;kCg z@9!>ii&sk?UezR3jgdu@4e!<7uu3o~dZ)<2bozC_wHH`OJKhXblym0Hr}-fbOc7y6 z07&MM6kc~PHZR$DrRw<;jn%|-f1_^qCNW>>GWH15^x<-wD?|R&HJD1tt`FBH^as*{V62~}_40?P)BrGW z&hbBUyplPSTuacF@<1ZoOi#(9`HVms$f3Nd)fcf5ZKc&bqr%4SKnAoX&yBOrX}#LT zMp^ThWbAh!wkJ`f6Um`v94mmc-nISp%Nf=9)R_?89PWVV?fA+Z?kG=D&&CMK;@EmR z5h-nt;D4T>@lj;&J@uej7TL@11YlpHL&K2+`KZTRim9Y`&_4$PMq zfMD$uwp0GF=05rE2)>Bu|1~qM|MY~xP#Avp;;?r~1sE#d915u*{eEJ-I(QR*CMS`& zNVP(KXcZrQ^#sftul*A2ED-JjTVGk0BuwLlRwGp1!kQOX^ZpWsn-Er+tyoum^#Tn4 zHbY*e>dTn2$BW%Uq$9WT0L+qlR9i0`uLvX#vsi6M*kg^Lc>UcacvuMj#n%`)dk}B6 zmS<*8o<_!NPeu+vC+D~IAqh08u=BbpZ5Z~dY;S|R5JK(y?e^v{uvssg}x zGP@7o_jB2+hnCQKfJ*^C6GDG}YJ>8`<+M&-mD8ODa=8BR^Z=hK9)=G0@ ztuROxr5a&+0_+a#;h+~ppSYZ1^#i^Ao_p`zaa|+QGcZTnT7Ajf_X+hi*E%`6!~Z>_ z9_8|Rg${^Q?iqiDLIHy7^zi~vmb$LLfN^VgRU~8 zZgPY$P_}DfV~UxB6IEwG9?J?HrHP`ZD7VxCWFe`EV)-AV%nR(JfxQm89v=Y25 z{UV+T`7B(J=YyI-nS49C%q$y>Z|i*a)DG0gZ3~?nBJuY|KIW7NhnbIN)TK{ViUq+0 zZ@PyW!W*lC5T<*#j?rJyQX|BhoCZ6=&>5DlpWd^HnC5MPjH(G`niO`h-F(3~HSOSl zNaLjJ`6(GrI%sLHW{uXKnfu{Vb&d1qQVh5@Lh_&?xs7s}E?)`?aP-UJ=eRH@3GG@+a6$g$R0|-L>fQqHhD8T$RGVmKqvdm4 zyOLaV)z{Re*m5=UimK6JgJn>zt(H8ybCPy)pI`oTb-QhyC}*{Q>ko9M+hSo-HV&|> zQHI4Pr*43=Pa#Kg?(a>sUsE}kn73D?xmgEw5`8LkptJRA3ag9wda?KeycXF&xnZYZ z@lOM#!}rg--}>@H>d1m-dbBv3Hd`{I)5rdy_PWtghg2R6Tc~0_7rik4rB^{=N@D&b zJ$Xu}uO`1DXH`t-x70lDxCn<;iD<7Bo}gpi+W(5tx_-f3@$Zg{sLRgT53Y=mJ3>fY zZS6~#@ZqxL;etgMIO|N>&qzT)A{v%Wn!iUWS|D%>t$kYZ2XW?fGfK8WQ9d^3t`|^)(#~&4{dc+}4S5+PakG1xDeg=m`j{Lbt=dUl0KnP*KlmBqDG4!M%RpL3GtV+!SXJ;8B` zT(0^TfDy;d@wYG}f)^@0iEuJ#PI2K)EdP|}fS~P7pVbJ>pN@K4$Mi!3yz=?^8RBff zE{;Aa<#A?2X0@FbvZu-aG$e&oPo&(~`_f_o=iwL8H)GP;OJ628iCrfxV@-$aFV;w4 z)%8!0NAF~#7@>22a$QZ&ZQ{&hBXLK~Nzc>?6GJ0AkM=4LvY?PbJg=nXt6NWYmw*nn zl0A)g)*C)%w~{G#q1BX}+yVbI?(uWl6STA%3W654G6Ptz=C~<6l)W`Ol}m<(CWq8s*wgq}*-fejurJ zJpPpo3|?N}`zkq=*bFv{__q)QQ**MN7TB39{tfedzrXCHg9h--bV<|!;@p74LTy3V zA7FvZad-TnCag2Q{3g%%zEe_!ntAHNo4U@^;Mc_+Z-==@fi1ISEvk*%3mX)U5p}5xltN5Melf=IZ|lO&&Z!P*MOUaO zAHJL||LP>#H??*Li}nOq8ugDfc3X~(47wL}`aU*qKc|7UbX=L8Ukq-J5eX23`F zY(`PGo9Y|s7E&}HgaoQ8c1LU!w=4y>cz6!mvhw@S+XY5B?!kqM(0<|5#x+*%&k;5U z08X%;oJ@+-DCNad_UigG{iMf(pqT{r{8y|CAIT)<%{8N=2OD`bo30jJd2T?x@K(vz zjTPA=5TQ6g2+XK2@H529lF=PnXDo=_7N1MCx|h z<>uHqlj9t{ZGXgt)|6;Bi=1}j^YT9%(1=eno?acQC{WKd7K)$xo?euV#(wdn%OUke?FkST}EFqnt?LMgplwm zdAP$r5_b^o>T#5S+E639#*kC;3zW~+?oa>Jz2)mk6z8u(`g|_`Ae`=#6eZcTfNhD3 zTRfE!_TBcz>R%nv8tx3`H$1P4Mn9va z%&vy^AB01$i1|t2`B|I?mN~T>l&ciSktN5d;FKNc=fyXhErt%xoJ`~ZE|Dbe22H|! zveCJsw4E98`fZ6wijGjjmrU$mnOV6N4`LN-4_hEUwN=MHyt% z;89=qFw@8ff%|SjpejnJGx-1uq||Fkc>A+~y_PfGE!$l)+jYtjTIvwhQ6oQbW0S$- zdoP}fwZy=@IR@m817zkQ#QHK>ZdV5d)>3CoQB^~YaB=Mn=p;{1Tf~4=q~aO*rol%y zS0lvc{m6EGeR4M$n91?Exipu3-3)ppaK4AF<3_w5*^LW<#!?Zik%_lUy+iP-!iP$* ztG}wa9U^q`eN2Toz+V-yUBgaH2@HJ(%wfPdsU@Qz;VGd;D_{eY(Xja8a)z{-=i5B~ z=oGz-4oq{qq|taGjbpu+1&X`9?6wv6N1C^Pz}``EyZrfSG>sI8ad$;;GnFp=N>mM{ zBR`96E6`=6>Y1i_Gb#t0d!vRGeIx7T{R1{=piDc-w05ti?2~yIoJJAv@L6Eh)bImV zzufW|kg--TERt#!Vu5Ub&z1QgG&Tr!rjDOol_kKXfeHJ2a2>i*9%9dDeev%>fD|Rg zDcXCgw}}r#VWeGIp;PXyZ(ArbYF{B zrw5KqiR(u&DGRrKxa#hort_0guHUab$k&CQ6l1R-Eb z;9$(ArE|>69I0=Yr>mU!__vFYlD|CrcgK;?0;u+LSY73MCyli%B>D<|e(=j*@7uDq zIH5>U>g{9n8PH1o$qR<^LU~ByWh}Ee? zd&T3c6TUp(e(Y_V1AF^?pfkv3m8z=u zw(b7^zv+w_)g%b2&aox>+B}qH-j4jjTpBM&)32wt*)or{8BG3Px5`pX$;^&}@wo&| zf3a3y#q*idti0*(m+xSd)wR{sjiRgEKEDttI<=**|7bpBSRh!IM1LfU0yd>OgN_?;dtNTNr*mC%BfSHjy2!E1Z1Jk3Km#Oo=Cfq0m#bgm5os}x3-=k zr005nG4ZL^IMtG8gaL;g*dTGIKA3B*Zc%lb(v`lps(VAD4pkoLnB;|eX5?l|5yvbo zho<2ra++g`vNjut_L-2Lb$ro{n?X2^!2q(Bxv>(8FrXO#+TyUYt+j1f{3M*N=1NZA|MZnfg#D4As4eZ_c{xnP>D~l_V zkauso`GfvEr%*@$c&;A5b2Y++${F4W)$kX}|K6&G_bP<6wilNN>`o)J^HVfCAU$ z=P@w*Ae2qYSUQle0W#=0tzGpQWG}Uz1ygckttKwXG@0z*&AIhk&(q)>rR}^T-07uT zVzIXQKw!}Pv|z^CcKep&VcfA0f1ynz9=+?s<1s5?c0WqDnO)SJ=1qH~mI0K?=PE!= z(m2Qkgj(HcUPBcW+Ts>7KaoDSOVNwkO6I=LREtL*YVW*$O5y5FFizHps^OCN{|h}a zG)NELW zms8#ZGf6Rl^*mb~JOY?@rP}M){{oPA-;ALl zxT|9`(=y&>u`?12YNk|=+8egYK{(rvN(X)1hFC3$191bTTF3_1wf+g;eRP^#gmAhb zy=B}4jkRV=K5mw|J!cXZTHHK3x{5|IXa3z48Js;-RW_h1`0}p7e8f4^_)6aep!>k6 zBi?s|2EFpw;cKtMHg7_5w{CP+JPz%i4f^~gw76@a~Y38Z{u1T`JlL|1Cm zHq6PcE$!||9n}O>pL?lSIL~#pFvwk*=Gv{EpQL|)+I%|yrJDF`;fl{UjY;bO@OJwP4{THu z7UHOJTk{q7{zDh-)GRg#wPSuZErs*dOE&ZkOF#4NGVgh|>eEscvMA^nEhj=a zk}s!iFd^lxbV0#s*&dq%YNwn0gTy|kq9jg_@mi|eEmd(+bNH(a!0)&+8ALuxBnwzSYiRR$HTH!UvR%vIX zqSOVdf0JgY(W*1}l|_e02k!yRlqQhZCy}a8+3)R)WH6i@QRE`B_(XeI?Lv`V_764T zD-o=ZoyXGggCUdWOZdiW!aX(jK7u8Jo`j%1dd$3xzaZ#&Q@@&i0_{TDV7Z@|X4Hg) zv7Dd4@9FrXHgDT)(5Y!c0r^ZWhN5i2Ff-{1a||Xpj!Wef(cl1GM_*Pob6vFw=2mXn zxRA7Yh=VSp6|WmBu}Z~uet>-t1wki<=YcC{1bro68=xO@JH1wr{r)0I`Z(?+kf$zc z$7;!rJgxze1>*&Q7Y+`V*`U*VC(xk0dS36+RhzwtaB?d=e>wiVEGiF~pvBKl>~ZUr zGlxao{(?%k(nVu<%JRJLt>q`U2C2C=qzvtSBTT6WGsj%_#-@B49Q?3i-j4C-wz!1V z-31Wh+86kEpn?tSm|_k#&vf~f@#$6R%JjM(dQ7RnQ-3Iocd|cztb*tZE}35>_JLfm zFl1jM{$6Ca>gn(SewB3f;{}jh{XH}UAB2i@#FWJC$|4A|C@-ckOYSojTJp+~#pc~p z>aUokTAn8H3uWZ2AuDQmhPI(e(ys))xU$1=4*v??EHgVgU$54H#_jDe9zK@1Q0E2) zFh;|VvJ;5DI|(17z|!1>0xkTxa@o1r|{E&iREdK<4;S*`QIi6 zOFH~No7%dX5B3(@|8k8(wYCRC3LtjSy9mn}Is-FO#&ui$OpT-MsJ+YfJRf=&xoUH| zerB7|(@|ZLID^AxXdgmGOTeE^x}N!*HzcBYVL@s48S4ld zz(VsW*}tq6P|mrF+z-~?ig-8d${6kn1hiF$e;9#5i3Ljj-)q2~%P?PF3XXY-vbkZy ztJ94;I0UA9e?k+>qL7~J)=^r*4Y>WunmcKBHKC2YQh!mz8b#<`v)Jt-k636h#ZWz3()2-3xFueLgz! zDXRQ}FMsBg5<#X}h0D}WbzbZW6tWchC;u-R7k{NxIIcSeZoPUmOa~pW9*Mt@k@Dbm zvPBH?74aKNo5k0BwcGln_^MQSG;_+;?G!@{36$~WZ=qU>uUG@@q5FlrQ;9ur8Vd;E zoS2m72+O9<0C&YvGmzaI^aB4{#w(5F)E;>Kjfugr>w1=6xY*Nwyna5nJY|{by>Z9c z`^Wl!$nX32v{1^|!ltqNMDg7$n=8mj-9v?{%7h*`@dH?v5`}3sYzI6?o3d{wa;_YG zQ157G{#>!vZ(U0#RWaqv-`2uO&y3PJD-tI+bxgLrii3ZgPWIxAl9r**?bar!g#ExM7_1thZVT;Z`=%|4%{tI;g3tK(*?TEm1wxvH?;yJ5dhK z=Et>CDW60TAoeKf6VG|dtRCm4maV<4OE#LH<`>AP>q*?nTQ>z#SOuvf(n&&VJ%?jI zToO?r#ZU}AWCaq<$;Wlw+Ik(JGQ_1)$CADrV{uGOx=8{A0{WGa@Aje#GXI8qj_mOPFA z{dLD-_36z-Me7;hHQN{$Zm+ia+@vAOI*>Au^E9;B(Q6Yj6#$_k8_v`Y;vtzki|C(H z!%n$?Z65r6yhzJ$&Mf;Ub>^t1<^BToMZkVT`gKwP6dJdO}jvzpoB#nK(u<&7xEQZR6FTmRoj!mf# zaBX0K$X95VGn~&2=y`eH%cVV%Q^noY*RJ;A^8flg?bX7;^k2+9oLgKmMgXMZ7@jgS zec-g-oH_BYbZPTf{HSAf-=Z|jf~{9F<_+R#a=_#C&+0mjuUWhQx+d@8$8DHsqKL}} z`ZW;drRc)_O`Xb(GePPg^H-R1rzFufR|PdLW`?xx?UejFt36s;I*~cCTx{M(tkS@_ zkMsLhW(GQI0B!_G>pk31nF*<7OI{V=_5?qd2pm(k9}xXb-xDscq4?GL}+|LG~m#+HNQ8IJW8r@q2AA zK!RkXU?K9()^%;_MQp6+<-q3mYsZb%+6eiQsDb{EvR^l><7pl1dtOM}J=Kh241Z9# zPs)mcvEt9K1}p?V(nusbz4DPE|KhkNXbNiPB|tq7#vRTt#()bYtPy)*1s@}EY(f&- zV*hn)wE|NE*|o@RyX-=5qsb?V3Pou8Ap*#XYPirPUiG}td*Ax{(9B9twM%ChM=&5# zO;NR$Sz2gR!3hm{CFiJ)$2M0-(tTKG7>>er&&QSIWS%INy6{TTp) zUo*b5XtAlbgNBX8c5)t$oq=H+>(hed5Vz|&YW<0tyMN#gs(I)$USR`XXo>)`QMcr- z#iYGg0O765Qq#6Pb4=-JO~XuKC9t`rr6-+=$nTSTZ8k z(b91*)+$>U&TQgFGp%>k-qAp zZYu_Yp~Z+_6n%b8;dX00UM;juU@2JFZ4SW>k86q|{)Ln7si&KOVaj&cWqWiAH((wX zVX{}Xj_M057?K#hAm7PZ<>Z|N&21;~mYa0o4Aga^D3cn(b+r(Kfj3DjsFj?P5E!}J zIU^rlJ?SvVI^%@P=~)Z=r0LcNK{r>b=rfSAMcO6a-}?>rWAgFEVP94K--?fp4wUL` zuUGih$hHsp!OSnhxesbNs6%T(4w;jea?>nO@F*DHP&-=_6migLkxn^8SJY2b15bFf z_{im3ns-4bao_hVC$bY^5_e+QrPj5fJvIYQ)({u=C^ZVB~?19KQcpE$e8XAt^uOcuZ=y zwK!nP+gTs=&afDxx7Nf!8yD~g!!b4J(>BDj02d&U1fF$~df{%@-e6z2!?arOKz% z@@F^u-mm$j#Ktu^Uq4ht?v~NW;ncrE)8gpKb_kc4YEIjZgzIWBN?P((1hcTk;+MHwWm9{r_yM@3W2F?>*+<} zKmGcBt+BHyk2}DouXa?M#E%ev^$w*=wyr?)9AE)x3(@?`ZadnmG3GRaV@t1vP{tcO zGT~FQS2?Wkc-dg#I(o^S_rfh*EjTK z+jkUcmh^|SzzNxuiHll3Be0Rlv*Xy3;awAPQ9AVNSsIDSre{egyRNV1dxcdR% zYbL9DW+ViiZqD;_s5GFGe0kvV&C>@qdKx{va z*j~qaiccGL-2a|ZF-WO#h_nXP&>Dmh)B5aOq|I!EP@(AZ!?KF?$-+f?(5K9gSZPLn zyK7d%nR^-w3(PswMApP9w;BEV?A$iyVM5|OE$~1dQ-nzw1K+O2soiF=#6(u=SDUzK zo|)XwOS_5_ic!w(d537GGoZe90Fde>K^D=-&DBKe-Mh8g51epIs1?k zslhhe>~hcUphq%PYsS&vuq|#`cqHLdp*#ymDvk=B2@?CV>AP4wcIXrGBC7{51QZX zQVc3pg5dH&Q4jP(?P_;Q-C1D5+0^Wx8fFjYR0w?gYxU3`u};PDTbk+$d*X5A+SaUx zrSIC_ugQ2yHa?7(X5DQEhpeaz64xg{Gb>tyHp+GQ_*6@Irn(8Kl*^)q$(_K7Z`idN zcYxUbKQa0dCaB5q_o5TS&VJGP>%{9iPO&fvN4v5pd)VuCjDbL8DVFAz2`82QIzAxp z)Hd(9$uaU3x4c`Wr7ow1IZ^Iv5C?d<9As>?lSLp=Rz6NWC^_-mpB6|HW*^*XfF1%V z?UCmv=<{5cB>#$&$^GC7w8=i$N6loD3cGqmDsMG0?XoMnjobA#a>H27t!F5%m0Iu< z{Inqu<2eTXbf>t)7_#?d9}!weG5aSTVQQXbB)2Q5U>H@2J$|EeoX`d0pTvF$x5_4M zGizFx2!s8D!2mqyC!w9^L$h>2z4%Wn&R2U=tk(EP+}8hyf0%PJ z%5z<`#)?l<9yfic5xL?5o9*xE`AR!o0O;FmZNSXI#V@SdY?r9%6!{LQngX!#f4!cT z&B+dH-tYiu)T4>+g$w-) z!lNf@qCqyC5S)xK%DN2KUWdjMYp(H{G8Vi7#gLa>D0LTYV?4P$gR&GxbT#ja1M=3k zwR4NU?uz)u5WdT+FUsqQES_JjfjnC`(G^E|f!b*_WB?9ydPa$yW41HnD5TP^X0)Gu z7_^ry->h(!XCF2B)Kh+Fr39L)kwRRT6s@tYY`pdYfQ*SpUAI@7DOqJuSo3OD#oyJ<%5;B%k$;IyQ!NcF|HD3o(7W*Vnrh>l(_ zt((W6%!%nGL<-(WVLQ{!-}2_)4pk)UgIPghbn6YP10Vwxv~XS&b~l-&j-#xg9UY9W z^W+a!j!T^NvzP(*rXtBgf6w8xt+Dzp#im|v_i}%jQ-1tq>sa@YiRlYbE-nm;$%18d%F47qTMB zts4{n>Ejq=$0f39Rn;wSb5raV0tlh49OE^Y4Mn9zI)-Gkw;Y+?Wbz0FyvOzk0t!?L z{g*|=v|pRKn{$W_!>pW~1tBdvRCE9^1(LARXRw7m$P+O0e7$XYD~r=C0Y8Cu0R}2T zhnY!RKaSxLrs5D=`-Ym}IeHV2Ex%-)y{zbuwMR2a+5VS|hh0rHu&yTk+tyCnVDldX z!(kNETa|HXWTe>sWd_=2miL=lhib85Rkodv+KOM*4+AV&@IQQ+W{=|T#WHrX#b@e_ zren=E;QYlh&sAJ-$M2z;w)4u&Bb3EabIVIfZJsB1`COjkO#++ol2)k#0v7l8_B)RADWSS8ENFx|^c_QOX8d~V!BEgdMgngh zLp!G>!0me&=eRO~VXw1?vx%Io9ibxF3(Wvj9>~q&pK^@W#|0pr%H0bS+x$njxmUW9 z8QBNy6aPj-^d4+~Lzw%MPkn~F3a;ti5C$wu)2=b(X}8(Q8VT{=D1?4jIJ3~2SOvnB zjN`B_OigPq^)u`R5)7Lgg<@Q?fE8ss8SC%L5$T|qw`Vk!VoKS*4{E|gqLg>aRaZa@uJ8QR&`CL6j?Xz^abXw$!@f zLWM=3N)Vv;K1Y?7a;(vohm2 zg2IzL&pGG5@9X+qWb}HAhaxzzx-&b#Vz+&CP31d8Rm1jDmk%}J zLXw~*Oa$IfQDaJsJE~RVN~#(+&?JdOL=^xA5dwJaC2VbdAvjz@I?_upg2@ClrRy=B ze|a3x%oqM<%ieJ{t1JgEA@o2>g~Mjo_t@7>a-~#pLPL9@L=Lp2g)fvJU~DDd@#>ao zFjw_fF?k*;%lmz1OfFR6zpf|$`CIozKkhozPd)$leKT{dla@W+-h}MtV8WBbo2ua* z@L-?{oRI{$4*bOPHyr$2sXiHD8MU;3ww#3AMAnMjg3NB(Hfxdqyjbg3HfwV9(ti) z-xpzt_HBz`c%SMGZe9_dB+;Bj;--jWnHq>;)=CgtJ^dHj+3H56#yLN3t~7YwZICug z5MBCEWJFL(jdBS(pV%3%(1lHa2wT~}zz!NZ%R9$S*%%zPQ`y$eq%Aj0uSV|0Hlafu zkCaJC!iu?;=o;cNwQqgAG*Y_?3c#{zmdj@l8qVM2xWM%;Y2V3@e;AA$cyia&Jiry^+kAoU}VM++s8*#au z_4N!iC+%!1^;yE7LUUa3Vk1JwlADq}f{h0SCYJ~yPYuOsL+U+t33NZZtgyZP84U}9 z+R+kw>)zXu;b&tg7Uo|6ElxXYe_^PM0q;9gm*k7nU3sP==< z)FdvyjXW;>SP*Gh&j@Pe22?b_Iq0n!X+6DE1?X&~)jbTY+KjwhBbUPt#4fqVJs^s_5|3aHs%jonzwq@y8|9XIF1Bvb}nD1*V(&WUZ zJCB?x;t4l!JZW&((p%NY+Yy`y1BXg0-Tu|;dA~)|7!}ui78D71r&Q{3xy7K1@UeO+ z4|HfM^}~9yr8_HIF>0I@jB8q=Fjj+TG$QX*VRINhC&3P+Y21q}{Pf;2iV?tEpKV2l zd4`MZF-fNfs4D#Ah}yc~?+2+5XO8giGEHly#@gn4_Cd2AhRNsi5f8UKUA{Ye3#t?! zJ$`X}KfVO|bd=I4{0IHk_X+1PLQ`&>`xwV1qGTxCK*zhi6mwN#M)fccJ8R>$am11; zJbu9wgoI0-w~~l59Cr})5vxEyz#DVoECPdS`<;p2uOXjL;;YiQhd?mgpwKJ*dMbV( zTMdEgci}Rd7YoLjj~IXH8KScs^MKKMs+ilTl28}NtY5UD&XV1uB_Q$Qw+~KF$iZhS zlUyBB>z}f96;BhPLC9Vi-ZBB6!$qhnee|K)Q`v$4TJiRLv{i4P^sU_SimR|2ql$bL zbNn1Gt_qJu3RtBdH_$Vd;lPj{ir6;E57Y*gig1nww)li26+T!G8laDJvz2ma+R==F z_pK~6Q#hd%Z4vvp76bq#z3oWzq1n3eDs3$HbAz) zFU6o|?%Pc+)qD`vqm(l4gjvJ@bCX2rgJrKF@8!{K8*)TguckJMfQt9aL5@~kROSNuSs3vJKA1)J#Ih2I92 zEtEkKR5|ig~B>9B&jtPROMx(Alnf+fCO>8(#m#qyfprH({7()Np)}L z^mERVIujT~Df3Pd&dVw_3!T#~1HWhC~@H(a81k8p2d zZk0TXonS9-LU7d;;#rrZ6w3XE9=I1jKqA!MWI3rQhRd}&MxUOhhMC(_A z%J<4d*2tz$HgR#Ak}{!Q9+7RF3clky(vf=dxaYfH(>Q%@v`-hLVNz|3@5nhHtZ24f zL1`L6aMaGihX_`@{nRA}z?v&ow=XBTg<%*L=awiA+`dLov20_x1GpUMMbOTNJY@e+ z(b%Suaok!JJ68Sm=|*ZW>NJ;b4_N**aSr5+fUcrqZhl(06ruJG{o_I^wR*O3r|$$;9p{ff=@8sr^!#FLTNPg&2 zO+0$1t5`Z(?;B6A$`E+~T##(_$nNmAAP-UbK|)ecC#8`a)2oymiR;z&G&%bz+ZYNL zwuU0Hi`i%Z2j@(UlCeBR%$O#^*f?nuk|jlO%#NiREfj9@@pLV2l(o&fpd;5XWT*EJ z`d$T$YgxPB4rP^=CfJo4BeE6aKu^>_C0~?QcLu6lZ0UU(883Jip}?a4gB`aawI|$5 zE7)2v#xmk)DODT`xcTHzjVCBQfry6qL>C8^v{_MPo7~oe@w;8@(~C3EZZ;kvk?&WY z8zD)cu$drCz`q|%2Q7o?L21t_27h*S7A~%4zb}F`8WD8o$MCj2s}!xt{`g~%(^#^1 zlXq4r&lcQMm0h|wtP7#ZiLF6 zH%#`t%JB`A&anIkGtYH%$o3pMsUB5>2Ek}+qJ*Zg2-);0mb;e(X5{6+-xbBL+tDpe z{$jBiQYVdIX(3HS7*#)Ryk6f=6pd>@7gKfxnAi$Nio{4FC|wN9HioL0l(uJHtKoVZ zBd^T`##tfFj$O*J{fj@|=>TX+wfIS0XoLN(e)b z(30;_2QJEm#B4Q%uBH_m=5CYO7&?5F>KB3D=w$squn|a7IIGfI{W`%|X@zilKoDI!U93F;SI7t&L=?dumgYltpg7@KWVn%s z+uRBMS8LnA+95|_(ZQ+VN94AcQY9PD&eX_9F5%|SnXA_uJcPJjENWXiUX}gv`}%Y$77TAL9FOc8K8#Ss z4}dx4u@%DGrQsR|W2!~QGMMTqu)B=uzf+qsd?Zfq30G*bmL^|iDz#*~D^RWy3`MwG zt{;NrXq=YtB-$Nk~(vIJfHY zNy&_1dK8efJmDr4OJlvucDmdqN8Yi$Z|AYuSW^Lcm{)Km_>&tQ1w<;f2^mRsMC+?_ ziGYY8P1B0~`I*i;V-!q2r>B0yND$XI%L{59Sdeuc9xy>;{dYjsCIe z#i3OhWEN}DwTP4OQD4kXS2R6>8^Y5%j}s8h6#!G2w%}*_1(ipekoK%w4j5udcBdro zpBeE;Vxfm;x$_>@Y(6Xw}5L=mxeFSuz8a?>(N)Lm`au zAW#~FQ3GgUS6l*SO@oN3xpiCR_MU&L-&sO`Ca+5xRArqj!az*AS8ctMe(@(~Z3yXH zqxr04KiXB}H7E3_Z0(LJS1j3^(gj8s!;zA7=k}5}yzT$Jr(-9-b1Wrkp6Xv z22g}yW`8DI&K}YD#9?d{1gXU(T37~(b0~#5r@KuW>}LFtvBr3(FQm+7hXN~|OT_|? z@|XHJk2erBjUTS-wZDOytrZ%934HaK71UnRU+i{j<`FKHAv1s8&i27)-n#tbt6pu` zwZ|0nh1~ZW5ujV$4Y-@29-p3Xv? zp1A&AJ)Oj{o|H;`=*e=drF&jE^1Y)c1%8*|fnDF)*pY)9O9)NkwBYYdIyZD_5J=N${;Y<91~j1l81H98aaoXc7H+%a|@1eta!6)z$s9Z}!P z>3_G7Tp~`2i@z4zD+51=L?1P!-$q`4>UUNVRVY5FdC;~`+ifVz&ZYGU#Ox0kh-ii0 z6~86GeXWbW;v(sxfg_!9$wubKGh|z+-I^NDE`VtE+QR@eD{BVn= zI}Cp@4DwYnbH==GY}T9MLgXL8JV+JYwHZqihK zYZ=_{i%`&Q4et#&NaOF-6A~zS34ysfNknYQ_Nwi+myyRw`Rgf`_8QMl1jh2yhnEEs zKdztV*4Qb0P-iLWfToh`u&De)XC-i9yaG7;Ldd8CfWK0&@@U*o$JDHboTGNe36FqI z;N?hAvW9uFl-xnGlG<(>gCP^}#V*iaw^DPhAQiS?7}>v5H5%X(A1tLoGA0{KI^Eky zt-UOO<&)u39bvVn&1j=8g8BO7+ExI|k;s(O9V-|qiw6kes_(Zq7Ox*l$1E{+Uy;!y zD(8x}B~o7ktdZE?g&gV5-5IkXJY9HlSvdE9+~*H>zow_fc{AHzyxJ9puK_+XayIGWoKCN&I~bC@4^t&s?m7*i zm9IjiZe25X^=)UZj4DWXZpN`(BWU5Bu^ot0@w0fL#X{LR3D2h`4S?Gv$VuRG@S%?& zU-PUc6ilSX1mrfF=X2>^CUKh@8jR1_vV9PYN3#T2ZZw&XJqfMAM%`iXol#3qk|TF`o%IL$883f zjFcQ#jMu0-VwovxXL!#dadA6)@G5`&D#Hj^0sGj%(o|iDS2Y>S?qfstf+zKSBr%8) za3fw%L))f;UUro|p_;?Ba1L{E78d5@&D+bTUD!mJZSjTP6|?oCIC*CsWq7lOCz zeRj7ifGWGA#>zA!jdi>pOIeS%cWX|!NX2yyEEsh#!4nua#tW-07YAa)#P-*}ZDd(? zn5(=!`ZFLG6*{UIYw36xs{M&wq_GEX%q1)!ZzOY7I`bUJ)1k{f=4_f8$sSv*HP+oN zuf^$difxUhwWSyUn$3Kw4WqWP=-44cHedG97*`Ek@npOXk5Ik#GI!Nsm=%4temOi; z$f*yRrU+FWN2Heo zA)a6O{ZU3-byO9=8XToN$&0ncb(=J$iAs#rJ|mn__t!0{x-$}%?ZqVntF`o2|L}q$ z)2k%ptfbw1RXl6+ME%Il)Q~f1U9lNipCmqOh#RNL5fWM&3oHd0ixY4$k=-fQs!t+ekqb((UhU~UX3*1C4 zTjOQQ!5OS*bTSd0)^19}fVf$;K|*09Swq|dh_&GIB34JJv`BgggPoC>(i(rp8(@VS zytfc;{CE=3642L#!WNX{o^WiI+L#HW3SiXiAkLj;$4RB&=hTXetIW>oNor6@Q$G7h z=l5vl$hx!rm#&nKJ(mX0*04BVVn5D;POHV;l~y^={!bu{?=dhf1ij%u2*G}&qd-w{ zZoFf-(V(`MLvy;=gC9~nLM_R$c(7phV_~ze?_(_3;(uV4(HXrm;+Fs;2KtnN{{gz( z&6<+vM)hKZLVBo@gL_FH9yw!P^|#gy~1Q(^t zCBv~)KlH|vR)~lb67w@RcF0OX9ikM_8LlJb4Dk4 zhgCvoS~}8x&geVadc+zloY+v6g`d;XXB4CkVQU>X?STEf#1BM2_9Cn1pW#9+BiS zSlJx8g>DFgKm|C;@TmBx+A?>i)iU`xNgbfMPrn^Pqz8w}vQ~n}+6o%H%R6Tq7|Br; z&X!*4zK_`NnvRm0kAXHZ5BY~LF{X)TSM^QgBuBOv0<9=BTHu2X!t-OiDfH!djduF# zZu#a5(DvbRSSRsTlTS^q9(lh#MHXuagSjdkgZPlgrzl*i%ZqOS;GZOyqX?C&BU1~l z2vm+y_wCZGQMFZ2CrW%%XO*(fjw&`^IAPr91yx-=PVkY03TAfUhqJQxj7ZWZv{k&6 zjHPQUAvbm~mHJdu(t%yFAJzT@aTHrHN;Wo`dLa=1zjm{O zHie0P5FzrMi0UYbw;|$8Lc|%U93mK(?wQ?v;X23|1W*8Qj)+)njtvJwk0z)(W2duC zeO*`F8>#>%s!%gB3#=Fdu%Tj{F%Z2&`ZG~hq_4Q;Ub)Z6QXUC38^^#|o4MoingAAtxc>qvVO&+PM=wP^WKf^HM#@|){x_mK?9}4I%-3 z=-nY{sen%g9MorQBu}<%@(q+_3EV7wts(@%jlb*sqssR(@J6RAiS+r3=o3>we_Zk z__?Z(ci$t?N=GA5J=LPR&-s&~V3P~+GP;1i{nFMd_N4=Bdt8Jo)$-q=IC920ZfkJV zcu9}TCTCz2MaqiDGwq@8HTyxO@F1(WbBOGiA;sC05H8!g?m!t$@T#GX)Kt{$6naot zAkMv5jsvT z0*6Ysk1T?S>U9qINzVF}4nXJndF@6X51>uLKw@+-XfX!rJ0p&3S3jS$Rl;iEbyPJu zv9&9s!nXdgVB+0rWnoCA> z7^G$R)AfOY+RYt-=GEZsM3(4Zl!#6$<_FM4b{x+*(yNykukoCb%yx?AILjPq+m$Pa zxlLy%ggMweOU9J{MZjM#5I8tr64JT>h^vc@*d>u#`&fLDjeQG-f`2#$GxwMDcyzZv z2I)9!XS&;gkmG_cb&k+5tNK~))!dUnuP=eeV3;Q(f52MX1fQnYuk`T>fvEQJ|B^7p zyS-44!2v4V0nq^O;sUzUfP{)5zG9sZ7iV=5j5MC3o%~cYU4H*)u~GAFPbxOHH)sZR znKIzaa7o@N#jU6_AJ4jG#UuOu`$bCSs=k(?YxA6XLkhy_Q)*Am?w%Txf!PJyIKZSLARfv3t zt17~-#)`u(VIe@oShV)9bJ;;q+U<#!P@q_`=8)zIg?T6)l^ui5qm#Y z0Opu5rK(d*p{4urj1l=mXda^```FHiIesohaPrzG_;a-zKrsp^%;;7~Kd?(MxK#Od}<+F#Dp z>(AlOjEG6tytT!jZ1LlFJ1LPEaM16Mg<%@jVcH9c0QX|71H;x3)}X2qLUIimn&Q5A zopb`iDa&j|ZRP!CpPgap(DR>cElvABhVP z^wAKoe+@#k<@v>_x>YUd5T1ciV&tF=Y{gOTC)9u=yoN$e6DW-ZuRt6(OGchg>WHI^ zxQok3#fT%NX=!hDPn)dwM9CLGc7_sSB_KQm^VWMw<;An;;tbux5_~V--S|R;fg^|j z{GkRAt?*gRoFJ~qK$XsF6!S=T{QGMvULhz5(vQ-m3|0qhO;Vy#3SC`n%}NER?P(uB zQt@hJ9Pb*#Q~R0m&UGS8d)06vd3r(^pmjj*ZoCFo$FM@?7@a$oMc)xIn$yJ}vBB(a zQ595JiE2EhxkJg2!}6N!!VgN?-WyJeGitBkf=qw|iXAIQ8#vq(Y*>PD%n0@$pW2IH zht-^i9KVeWNC4;5Z=u#-Z{MW@968*tj&64O*{%y-rz#r6nd_gYd|>kNjT;nIt3xw5%R)r}U~ zz6k|0P3%oN%uvXeh>{em$#lE^0Z0EJXrb1n9Nz9p1u*tNoC|!jYqzUlAIDaz`-KpX zhO{uUm@L0>Z_GKSgRiNOy`|kczNRG4Vn*4lJ*K^)q3+^4h}>;?4bn@h+@Bz7Eh9Mq zOj*NB=b$|?$Z*=6*!3bNW%EEm3qZc45%#XYQHH6iM_)Cn$$JVPsk88BEarhx$Jzh{CaaWv^q2*Uv*>D-&ZEUYA&m5a- ztX?@*dvb~J19l0|>LCLgal0IQob>O*Jg;>@nj7&eU65 z+i%~K(HwE;SN3_=-v66U+DMtz4H~nvWIrqd?;X$n!u21Jh$tw-*{19$lQd`B~tTw0q5grRA$kG$V zkga%r;4qW0=R-Xj#03d&nyiihf8>Nxs1eEyX})kA;an_f$mk6+TpGc=gPN|F@-ZKf z_fT2oSH82-*7t%%*ICGuT*_iFH(Fy=cDJr2{5yM4cd+=hzP_%dCKr)inup6wgM*{% zI&e9YTwuYYZZ?N(Wne-UgBlxHcMpZaG<0&z$n2zBs{@P03k%hjSW$PSe|WTK{)a1U z30|*35D~|R{;>7;A1WwJkGERU?bR?Fz2lj!biF6C4`Qx!N1@4iQiWsHOJ{`28Fw2) zWot?rWA1u`a6ZyGR)M?ce^sJUqaelARpYFBMEqGJ7p{~CDSKPsraTA#-L*9Cp+jcs zQ6?<6Rvow}6grodS-X7CWjt%V0xp64llR@0B>Vk6jPIPdA$J`b?j@%urQt!CtQ7L+ zeJ89KY+m^DX_BHZ*&*X&fAUBk=O&uvFsDrcj1SiCC?i>il>^0K{>2ko*d@CaIqeaS zxUaaMwaGeE$QOb$F@@DQW~IdrcU1K3EGIL6a;V7m3f(;}H$;gm=t?#*Wv#n-Vm=8u zc2cOeVfyOKIo%iA-s8!20s>;CQ6pPoGp1Dh$0j;K)ixL>CiiwZ z42hDBsHq+#JlcQnyVAp|J{IBXTs2i8yIRiv6NE_o<=_KcMd(dK(feGRQ&q1;7PJrd zdlDLS`GbH{Oge14q7I)C!o{)+@dm30A0usjUp*mWME?p=)h6y@=XSF54zchR*gV*f z9=3#6IoD7gK)^c=@6GRl^r|htB5?;2M$d@~ZIJ2Y`eHx*z2V@! zD?v~)k?`vNF9Q7~f(fhjpI zt4L#s?3l3`qD9MaZ%VjoUE4t@8jVTj9f_dG75N^w5R>R>3L6&yq?r#MVomxS zGxFN2-3eEr#*u>r)WHEh#4$EeTg5W%)pE~9OFo_248d!xyCay$7c4v1pvZb{mMf}^ z$SS=FF(+}GwMrr#B=8wB5O!0`2%#cg_{yjW8&4HB9i`q{jspD8Q1+ff&}?d$8FvGV z5%0||tIK_^^p0PQP0gP&{b_t)3J$^@b}^-@K^O}mqk2PH$a{eZuk}@ICU0*D)zF{I z5D2LYj#QV6u{!%H^Q5sC-@nuZ8v95Z35QpN=51C?>?jyWepu6&x#`;K<5!N2AS;Wgw z$O#!48JU14f7S*hbpE`NFo~DR&A>}E!jrL(amrL{=kDJ1h0S*mnSlIFR7%x;Ul{N{ zPF#Ay`dAYdsGHXX8L&>9n!nM`F4-8E&MZ>fVzwJCyD6D^cYZ*H*O> zI(E7qy|grz{?1PP0Ra6vZGeay5;)z7UsgQxSI;kG2jRtl#^Gfbr?&E=k~`M|UFa9V zfJb-wQvOg@IGG07?d+L+aqLOlVwGi6SXEFa|uWo#s~6Q+jBbktX<>~D6?l`VGx|x zsy#%WA7;E=TlvHJe)v$EdiE^IdGM|Z(gPz`ZaRBb9cH@02t95yOp)EKDrfAiA&>!p z)-`0riB<&&DP7COSi#ye!_d66hYF3aH+|6Xk>u{+NI`__Pb8;QSP1b7nJimk7kaM zN)zQ8P(>2=f?C~y0CtA^uCS$@JOYK??p3xz9OakGS?p}bLL*!-Fe|?_k~dw-IQ?mK ziOfHaLqXa48QQv|_FvPpo!U}KwOBOX6bwNfxD5&v`VMk@uCnv_vG!u!E`ns4E}H;( z_w=E$mCaq$3L)Js4@XtNhPi}kN-+5NdzH7(ah#wF2y)!}ir1BG25y1{4VoMCU7<;O zVrI*=(_;C2e(K48)IP${=_5dfA)$Wd%~f0ESX(P(?%$*bW5l4zG@7pj~Bd$J+eUmNMCp!p5`Rm%LPMKxpnjiYzoI#v6G z6-Q&hFJ0wKzspzk$0u>WP2o z!jkPc8P^+xLRVGFUn_29Ika%M1QJjksEJgn2LYHrvegVAsY6{HOPe?RXuFif5+7qqNAVx&+yQ zyI*Aek@@INRoezs(-i%T?YAw=ggOD~f3@mpBl7<<-j!GTW)2|G-Zj7M0w)NxZ zJB1hYWfz0Tyjp18n2}^(gwqPZAC2LUBLPS{vWh*Y8ma=~29NHLIJVQWa84`Alm%6F zU2)f$!ZfA>L%AFEgu{QDSW~bX#*x;H){!-NlHl7M!Yk12y)i7y@3o?aCnP=Nv*E3A zJ#GblS%P#6{N|0^1o$eLBr1y%0Se!tlh9t?ZG|m`1n`(Yr-Fg|SS2u@>A0d3y$-9X zn-BS_AruM@9YFryWrgeg@4?_&Cp7=ZENuP%>Adh08vjQa_WzL={{LZGI*20we-6R^ zFZlj{NDD7JaD(gr?&|;hvj1~Tyq3oQ{FR^h|Iw9eo%nxs<^SuK{a>zJ^MCrv^?Z@) zEpw~PplxOyQH?ROceZgn{}$nWxb8w>EB1w~(T zynNL%A}lf@{Bn3SQXi>q9TXaU`6|*~-8vKoo9v%}Kiu~_4PagHNm&31s@2{!#U+De+@Q%knTlGv1bsver6x&`ZdT#BEP>gzD zZ*TGIN7aFfA8)!>4V7g)Z_;vX4Lmp`=T~AyL9wd-I^0Lo7Sr3Va%JvwGpG;4!X>-OQ&yj9jcbw zoNbR=|3`aHG1y)D>O$GSQlSzPy;mlJG+XCx`}k&RKQ*R%OyuT-;7|HD_npbV)#H?( zer9{&Mxt-Y=@>>o?xQSiPBCS@Fe_z_`~nkBBWFF9q4(OnNcgijAp1O#8ls+5z9B|o zd>Dw!cTXwg5#x@Yh<`a5meon0oE@?;rP;=i%_6GZ5{)b{L(E|JX?vAsz5YhMjo&K6 zHLlJ`sRA=m7B~C21$D<$`uV-dIX3m!OI_yf?iz!leCZZ*lN7~u_riAS8XE(*s`tvQ zarv9g%k}3eJE0#v`BNBqdRK-qS9jYlym-2Jd!_Z)@c7Qhg!Q^vPR^9=4)0c0D#<2A z>-rYXlJxqMAAj;fli9w}&n(Q$14YwEUof<~j}9q2*IuxQLJlc^UYD|_pQ?*oad^_H zxtqTEUDfH^#gH@ePh8aYKfM_C-hXR`S^O1|v|QtTiM|uZ{N0KfA8p>>rO?w|I$2{N zzVr!((WJs+zSOH`ZkaJRJ5zQjW~>)121lQYPXkAHR+Lxbr#5V%qkEuOp9*|mD#<58 zDXE~dJyD~5*)MAr3#bOtP8XW(b#9Ul|_j4rtWY zGB|O?tL9ST*deROR+Chw0Q~ zfss2Ov;}*$mS$T3ocFG>rlw1;*7d&S*(ZJvGd6y|d*U&O*H3K=I5V7>+@{0U=FczY zafti7!;QSFq>+!`c=%esU5C|7|FF=^{`@A>C1gLXR-j74x^L-a+_918V(Aa|d-|** zC)dYGNA|^gI2U=xnlzvG9c6t%SSyf`F5;%aoL3(S{-e3NZ!HZEw@Oq+EPYeGi(Q=- zMyL6CiDOl?7V@t-o~wF0?{~RepV7j-C45WMl)Sx!7kRh+Of%(DSg@_ignqOHG$& z-gd&@bhdtXYOpyrJ#qTj)t66;k`Gq?ihqGkp7~?pnNv3M>vLc9FYW7dx3+`iDxcXK zZbCBGNRXq>Ck2Q}cRr{bH7wcQ{^f9PzUf{*zWF2fi}fN~qo?1i?v;*I_q{A(PWD;( z;J>N|aEO#1NwSkNNIm`Mp2zeXq4S@a-zKlHv-MQg+^P0aO2E?zzfiy9XRoIpQysbT zJ5H~49Iw&j<&eR=YL0SG-Hou>t+}JIe(LRvquAVu^MSr4KLX2OV@dJL|R_y zL(8>COP*|^o6{1A!w1Lj-2bd>QPg2(T@6}X*>;Jh>#hz3G}^=iZjPEC3y4}zrMnK+ zPcQCj^J-mId3(=w`7I5i>l~VT#Fz^Ci{vs=)T6#}m$5`O6dk-~;EI$>;ubAUpH+lU ze^oMjBz};KV8}?PGj8BNW$XJ zUOjmz>tt8g_8|VCrsX|RT$`2XPiGkeMAGbzZ$kHhcOo~wA?r@fL%oB(c!xi}>FMs# z5URJBz!fLHIn1B<*A;?7*og5Ts@G2`}C3E|fb{rmQC?auFa^qjCmi+X0Kdqblp+=%#muDTrR zHDR-2BxHqreC}aI=3rIswMSerAF}^-dshpu71ZTIX5(3h?ycT<@Ut}Xz4F-F^wFcv zvzIK(t_FMhS9;jM-GaW%8+G0Bh1#?q)4z8kLvtRf8i&g-S~=XxI> zdrGsS`H1Dr)Wz1<&A**DjlBNn)_PoH&TpS9#}^L@hK$&tpO(A+a(;W56!QkwA9b|m zw|=T>)I{T+db&D?RN}~Cw&RtsTYt{q$-6$nUhwdPu)qX!j;^)-+33XiZi}Lmn5QE| zVV{kWHVccuD8!nE-S z|G|0VUX4a!=|9gh)=FRAYwF2JJDhd(dE}^9z^nXT(x2~L@5tv$#-r4=oSe@d@O<#A zIbBA+#Q(TRZ1+i>TYoN9dZN0|UyO->t0t-!<|v*D(Qwk@Ly>OWtvLnA&Hlfd_Nzi%Ck4+oN8r*U)F{i7ko&(jqnr8^xk{WrQMk?#LPLOCV8-l zP!sij%iOxV$A|L$@FBab`bryV!P7iOY7Svd{9fmj6uK{-&2BvwEz}o2m;)($`8nyH z(dVm8L3s%D(7W7qxFYiR8?lLJPe1Hu7t67qL7_cASZN@zs2883C9WJ>Tubm2V6FN0 zJLG!ss%cjK$&#l}THmGc^0EDjuH^jWB7g8<_}}zD<)148gfxm=(~H#o@+9n|=hXcm zpVSrQe~v7N+liT%Gp@|P^1QxrEC@|&;deB_Z~EqP&J$|s*1Y=1GA=YYE%`@ah~?Ma zHXko0dz1>CTCwD#Jz6B;mA@;r9cVjtbjc-D;Mjq`_oEwri&a5li|FlKZ^=5oPQ#B3 zdIr)2ekzx)i(g;hJn7$ic)+0w#a*GgrFf3}Q2h;a6x)!<@IfhO(kDe-=l%Un9kI|2 z_<*Oy>Dww1(-JSddlQh_@l96f65)OL^{tcqTTh}6z^%Jm(uLdVG7);U4I7h%v0~Qe z(tgQU6i0DRy?0rQp$B!R-wEwY5mhupe4}|LE_Rds9y>-b*$r|H#C?4(fTk7=MM?wg#Ne?|=2-^QM1IsMx4& ze2aeJcwt$KeR}(CM_l?+3gMNUKU3n)u}$5w-`1AookK?$feW*2`|jY+uYAPYhCT zzfAa}duQ=0H$EV;G~D}$xxn@Z9AN>4mwtuDG~L#=SN-t7Soj{{L3Uk!YK76jhnv*< zh>!PbuREL}9GN~FKyoiaYCN9m6J5)m3#`m~;E&?BZdm!|le1~vJU7e6RN{WxKkHL& z&}XjpW{5AL)jEG(sp%DqTlC@ui_21}88_ThBtWCH+ts#s{(-sx$8cv6cOi; zMUUU3suF$@%Hq?Ng(GgcN!8JB`p6q`)qTA2)5J~L_w=i`*W%lH7F)-}TQV7T7sR}3 zFW|Hie|l!VYWd+KtNO<2;hW1zV_DtmdVg9c?$NjIdt6g^c}0s2Qfs!@&pBoP~LMT=US`9nIVAC)S>$`w!pwCQqNZ zP}6z3r0d1iGUvuTpZ8WZH&?c&^6&HP{`)h&SbVT~=l7;v$ld6!7gK};jG(TSw&b&o`oA^Az% zXhLiB-m(r?+r!5O4hC=Lg<5Ssup6gkNW=`4K(}90i<<*ZtH(Fq$PCnPy?7`37<1^= zoy+{GV;S{*A4tvml2LvG4>QztWJ2|yfq>TtzN@mGGTKF#UKVxQh-Ni5(7On~I{!kq^PZo;Pl&>ulqXlJ?72T(|MAfK^FkEG<3vUD`}pWSTV&5wY;Ml? zBQLINN6iM9WU~Tu@6SXjz4??pTo}k`crbO4EPv5!NbpnZTYS|?!H?^wLXNBnXb%2K zV7&P3VxoP+#a8;K?T5ZeOOgm)u=NK`4|7Jl?Lht7pD!mw=0q@Pzk?D-G}lVJ$xp4?i#$Atj((?S7HO)f5wy~z@h?khiv7$K|m z$29UgG9g{!+bt3@tM($!0Pm?P{@mu>y9RFqEz$P!D<|^pcHgKza{o)D zo+VMx5zzb;B6K?iMLwDUtK;#D@!4mu+=#3(=uxezP4> z#!&J?V7nhS)fq`qVhe%?!@NZ&gfVwtza#I`wULsvuL~7~0yq0>f(Eq;2ksy5uPxEn za=ITLl=9Uhd(?X1ATL=ZCMp;6{Nec~*V5R-35|0fk!Ctk^Y-mWSCcfWwCNGP{)d15 zd2=!6P|?4jQ@onCrk{geDCFyx{yz6QaxnYJZQs*M*RV?1M^`+*`nA_z{&xFsPflE} zN?ftB-;&p#C$AL)FU(z|JUwyf(gZ%wG@<@YfZo@?(T#(C70-g^G71%*w`)F!zs%b{ z6UTG+%U{3G{-%-&0(!xW$(vf!@V}L>x5lTp`5n|G16AizMfUS0YP_YBzd&`Uf@`7o z5`DOD{4s?r?jz+~Vl^7@K84SYUn)847X9t}W0-l_Po=Xzeo6KGI`m-nqaSojIV8kx zqLj1ks$KAv?AtF?^4oTqn@=Zy~)!aM9ujh`PX z7s!7*dz{kg6To%S0;fBmJXr8H!u($L0cdx~+bZp%^&z8w*o^P{T;p2Osco3W0sGnM zfu>QS$AZP6ph=QtqfxYjA{Zi<7;nM`S;~c!N7c07zk)i}4$?jBCfKh?bT6AU4G;Vl zDwRZ8ZQ0mj0gC{ml2fE~nJuVxB>s-MO1N%voF1!lqAo zDVV}LeLs6+>p~m(cG8XTBJr6oF}J_p|Gg!{j%!#lnVJl~HQQWu_{{5L*4{kUDcN;%i-%Z$c3g5F0w{&@q5|SWz!|-}(M27c=XW z#lz-vIm9zdYG!eDls$DlG~FM@ZNYbF|s^m#5aA zIVU2F6S+rz?(*5GZHCF%|8_e@+bO+}c+{Rxw{1i(Yu8MxE2O$I~#0M=-SqqfCo48ZQ&w zCJf(tKT{a+jeq>;{A<^eYZB*wJ{*n9olU9{ zL+Ybfgs)e>J!B#9C8_LxVeh@8n%dTOaTN$HAxI592!e`02vw;uDA)iCh#)11N^jDn zMF<2GmDsUt0SllAiAa+cNI-E5iXsF-2my|YRF#^LtUGbeHRoLOeP?-}^*s0@oo!d|_WTImL>#&-TrrT+@o0VVju%=}SKiqUY|Fl)BDJsZ z_28~JnUVwXN4uwNjLY8z&+{Digy_E*{FdqNAUgD~A+3QQWghabiQTxnzCP zV7^v8W;pk7&6~h~T!^3AxR!UX#cX@I-_SY-@kJL;e1myMv5=WT=9N!63lCTCptRgH zE}Z=>aZ1z6D31O#ql{0lyA$7}F@aw955hR6Jv#qLGXGV~bg309toV}6y5|W$NbVo) zrbVy4o;hdQRBfcZyF@B|@{o$R-?_(emr1$Wa*3am`n5d+%?I9L+k$MqAIy#3AD#d4 zGS<=|=8X}Xb!DXNxmhbgcW0>Xn|Oc!FOt~`0ro_{h2B0+;haTZ=WxUY%S#``>aOa( zANzI%=MzS^7Jid>$ldrZYVYRXdQ)8FrNlmQ8ih=I9=yH!!LhIGT3GnC%b5x_j$4&J#_FC^Gdi9s z%id<+5;>cCO#SZuo}Hu%PljHNcQr?+m1oB5mgl%+y-1&5zEWD|AX)QVa@@s9Bkm}3 z*R|x!Xm5)cU$192&Uap)@9 zyY~b3wN_}}Gbgv!LAc>-;dY)}uiasMMR=bjlG^$FR${Nh{acN(nf&J$MzIkN&-Aa} z^I2b9)|5@PA5-t1mChQE?@A{!p1UcZ=*>_c?_3kNE(da{zWb)OCd$~E$QzXizcyXt z7(Jn;pIEwSowW7_36@=f=RtSi5&X=_a%2@bD(Su>}(^-2JF zYli#K`a4~n*WR8;&B@iodPsGph{>Gz)~LQVUY?~$dxNldf2AGDyj>Vp>Ja-x*<49;l+_XIVT2=-ew$n`&`a}Fjq2K zyT0*WrF=@r<(X>fNU7I5bT=98TT>c6vth~Kw&LUwT71qcZGGKcXRgc-c?56%{Y@1~ zam(eiJmvb28;&0KcPVXu)^6IF7t$@lzAT^kI7mOlBYdac!LO`OQfdj2j;k6{+rPhD zSLU#ECDeVZuw=E(m$jFwY=jMd7p{1!n$rHXXVLgb%{`KzWYpR2p(N;?aG%*~#DH*O z5>m|Wg7mG@%{C{uzr1-E_{Lmf7g7pnYns!fQ{tlw7gbc;M3Q8Qh(nENHy` zwpDhNw_qPv=z1{lO=g?;;r4ZLjNKP5rrC}AvdSwxJN7L#$C**D7P+4__pI5Na8)Ci zQ9FIk`R?tz&wZ?XtrV%1n@!ih?hqoQ?sV0JMrGrvI~A({(YzQr+q_~W2Fzb znUp%m@;DbqSFc`dM5ap=xiG2@DjZL}<@r(cSk(6;Dtk_!BV(i^AA6`OoPf@zygjFw z*HV$<68Um?XkWV^#P6hgPJ32Q{hLhJOkIuP1NXGVG&GE5ZQFMZ4!$=mu@NySKi}=| znlllwNyw#Vi`KRJCz(fec3Cu~EG;R;(p)np*Xd3LsUNwJ65E71D$eoWC)p%z^!e3o z)^86UXY1X5b6}I5N%AY@(;th^*1JFZu=aJ>W%=R{?7_pI`i2WiaaXRwn)O#t?hgKk zie5~~)Xtkv0vVU#Qf|3VrhM}RXVnSzPCqpBbEbyXGv}Y#h1vYx8E$PYp(}F5-qK8q z`!ZT;%LHn@my}S*gTwGQtJ{|)EM7i<^<(YJ3sRM0a|cp}fv(&uMCq+v2X^P0JDF{4 zPMDM&dx+|j=GbqGTmwJuddITz8#%PW2s_VJ$_c%G?n|Hdw$5y{>hRiweP1N%c_!%x z?Dl24KiwZSyiqsr%nSV&YIV1j2EuK!WxC~ZMfe#Vt^?!yO7-_DmYP_H5_WyEbm$2? z+D1#<{lGlxt)9Jkf_ibFk)LFJKQo!^Us=+dH!6C`p?pgdNj!==ulu4zTj-{0W7P4Q zeZ5^X6P*c-Vnqd|W@a$z?VZsnru>F28=hltp0IuP!Ltpkwk<1H{lRy_x-pWt#eRi@ zys%YqvV`OpuO}YH5JpT?ewVz8p0~7c@{^r?Nps$C=S+O5tIbc?u2fe9x-+LUo<_ zlSJ);?W9bkE#0>v{HRFh!1m$%0AC3wc~6VGM9EV*QCn#hneVFd|89i*uzATN`ckE8`aouN zUFy}-QekvUtt~n3Us@i@e%;dNO&)(AeI(*-^gH^2;-_z&Rh!G5($IO9 z+q=H#j*zh~f##Og$q#}hb z-+v&LdZfsI_(hf6HDiNU_N7h14kIqtPHgDAu)numaHm7IVo6$U!-P2bLCpNtb1$yt z`psn>BDIThD(c<#-OvRcxo5bI}B5=vBM?M;>uGKA0$9-<}y|CFRVZFz$?b}xG3dsw|e5)594A+(>$B^r%jfI{C@0sL2ynm@# z^-M8>-0?%0)G_S3Wzc?c@k|D>QenTN9@KBO2YEj}nl3u_c2?Tc@ssqW+pVQ{)spwI%O7b!RQvLp>*jd*&270WBg^N0 zkmYobJkZL@LKJMz$QmB$-Ro|NWkM#7cIrJkZK zyIRJD)fT;d4svX5h1}jwYuvJW>VlvpY|^;AlOtQb$1QwnU#NW37lBCL27igp=U>X@ zM;a8CPGJ+>CFkr6+jl;Rr(Ho_R@_u#lhgNx9@DHH%8_S}%pK|d6y5LBJn0fIa;Y%? zTqM$-^7L9ySXrIiIW0ND4dD!t;keqJyUjY=NntxIX}iAGPLAEbiQDS)+w&Z5UAfHI*c@vLn$f@*v&8~q z@^1{Aue24gX0gQpG~~*m3D(?T3;6bzW$fQ9V~mZA%|TAz0P|PbG3FRk3yT%YW@ZL| zT3cxi6H{{olRqq)nQ!^|NPzJ_kAB%U{=03H72_+m&A{K^4uEY_bF-fh8JJl4hcz=c zF#V(C;D?_L1->*lF~ET1U&c-TX51JwF!%wq#J?Q;Z0o<3`&V0=niv}x{c#U0*2KUJ z)a93D)4y3Z`>WXhJOCvzHTt8mv41qd&tm`e6tQMTe>JwLv8jRC-^*Kznp>Fvbw^{2se$?5yPm~=(el4OM68*C=^y0=57GF~$N5KB`BmyI zf3yAH=+=KakMXZQ2#_%Udqb}PqyGOm%%7IAm|r~*Yx=+Yi_u@M`0pa!O8i=hZbn8H zn14n(;QK$nwX!licq-zUp$p-}F$wU0)Cv;kCv-@{aR0HB;W1H1kDUalniY81ehI_9 z$NU2c+rr~LS2}@(iIKsd;ST_C@Zn+6;Np{>e}vKf;H&-N(E!GS-hx>PpTC0aUq~}> zYnQ0-qX&*fdm6gf?*Ks)lwq6U!DI2!;97TQzo27Bqrpiam>x%CF+bz*3XBXiBNnrQ zOWVKl2~-_xv{IU%pFrfmt~lKvpMbApjaF*<^Ecp}SfgK7fJ0%8epvxdfL)1Sf1C+A zF7{_||9KtgY``7=`VDjsEcTa`m1l~@{xY-jAh17?V?VD1g8R$kM@wa)z5l9HO+R)tD9JT}9NqA52 zT_oND3{$^l@4mg;#pB4HBThR!aLk>acvn}g&fj~QHfoxfuD3BU+gjpx{HPlzefUdn zPid&^Cw=dR*q8+7oy&FC)bgJB&B;(SjiD{oUy>FZ0`{oU`!H40py;VV7rd;9m% z=Jw*2ChfMi&25X27|BXVNJ~!(g|S<$C2K6Hr(!9pt7z`h?6w-Fv*Y-29fjj=ZVC$d zA^I+NQnyoF9p83d+-rfk==IR0r8shUo7AzQ^P<~H1}QE^PMd;;M`dKMQ?BfHJ;3UG z4Ku;OQ?DH%(6c z{ywnlMEmW^fNz%`yt+npe-_mBN#COu+tAOcnLESQCc=X}!>(nC+vHa&9o=6Sr zl<%`2ekdCHBrne{y#g;D5SN!lzAI`88Ee6P=dPnWefDhL+`f4NO*Fz@CCQ1E(>5uW zi*JFI>ncd-DVauPS?oPh^9@~89@#1S_Ws@MBYvB7kgm3A^{{!RNcG@#uTDhdXfDTO zr)J(*i#pYw2A_x1Kh~NkV9pQkvpVbWZG(F2y?D8tUmvuDe?WBcRobSrDgQ3Q`~pw@ zEx`QjjVod2&j5q|%c0SR2V(r9LCEN*I z^pAV}M*ykGzrZMU@@+)X{($J`|NZ^H7WkiPfhOTqcK^oFe)h!`pE5Ht|23SNtc)-J zJx2qB)Sr%q{pDo5^1|E&4H%whfuAqGqj{=zB$ zsyQg#|5(jIBmC#cva;CxTh0H|L;q_{YVyyD|23uiug6v}6Z$K*nw$Tsy3rOe>HeRq zz46Me{&W3*PAUKS`~qIv zj7(iN88uSzm|Pb*fA0fLcY2up!*@BYH#~X?PB}J%%l0U=^_S2{6Qo%~1JReFl$>_R zRx%(DVmiGyzZ6TH1+QKb)||KbDnK37%A)9=OY05oU$%wX^vNZKkuNp2X)S9YLT2_` z=;QH?Hg@gi$x)JFVxhPb-<9+E$x%w057P;@pHm?QkvoXoo@R^t?C(sFwiRd`*I|n2p%hS+$iHwSC^^ zm$A}SLgR50>-ibueu_ZkX`x>NZrFPSyYa=@zGYwm1B}2a{`4+>Sv_ej`vo8;Qyi!4 zr?;662xJM%gC|Qy#2hK5)04wE)oqO{>f?ZWBQRR^Bb8PPQQDG7E)d7_5X4NLmjUu} zrT6aiyZAuaJ_+-Bw|y4Ipgw30ZrDACE`}EF+h$}@U89s=Z*zuk#}uBNnZ2V7zirm+ z&4AZnmr;0e^%~4oLruzUXJMpBeE011J3bkxF|7?;&uvX8_K>7lDcCKDC(zP}HsVC2 zcuNFpVn|&jlFbBq2``S2?aWop@*;vW@NNF)*1OY9Sv>)=GtRTiGqx!}G|uNdrG+?2 zX)Rb7m1E_QsCv;*oJf8I=;@AWCO;R+tvad zsNqqPsW)mP?I0&ZA|yw2I9{Q^29GvRgfjgB9lsFdnK_^~({*%T{tBD(GZ9&KV>i1SU-e{>3d`r|s~g^UHXkN5^?Y3Rlc zMFO z+lRO$)IY zM@+sepZthzm3=mROfON)K7$OGVJ|{z4*}bJ7RDRA+TA-XxB*^p=O?X@_>E8uEcT0% zLcB6M&jFQ{$!ziDs#YS@ORR(I=fYnKHK*n?AhiW_1m8sYoAk@3AE0`j6GNM#`*>=bBjn)<~(f% zyql_wMY-^B;oq1+?AX#T=s~E1odIO0=6V|G3w=~pM!(zU^-Oo3@Xg*nK>6?j(3th( zN^u4Al7O@Qu=11XNiO%!x=BBV;s7@Zw?+e;vw&m+%}gtak2zO;bJ;CHFIkAb80l5xCAF}?aVwOG((N$n zP0EIx0l^(MkG}9-q}6hX_M(?8UzB1r4S$o(Ylj`uq&NX;*G&zkSp?lhKy0=;d*vw( z0hk% za@fLKDUVo~@z?_$Z_*j*V5f_bbh!a*JJED6INk}BM8-KSG^+ts2OC+@ub0{BYUV^n zVyUu(26I++c~Br7KJ#LsOfX+#J4qEVg=xHR)3q19^V$3oK&#lCuAHLOJ*;sqmGd;S zCG7zuXovZy4lxuT>ke-)s{q8wz`T^p=r?7>dd)beMme)om?|-G(Ph9K5|l3Y+Bz6c z+IlZZNA4E9H{{lM(H9ArlEDJ8wjj~QYxvFT&t*cB46dO*&USYSoQ<(s4meK9L(#`qSS7oxnr+&j9w&M+kn(AAi61bN># z@Xe~^ZOTP6WoaecmPFz-eMugo)qhYIrW3X`072mRb3uJbfffXHkPmtP#!@6-!?2F_ zHJ2&iwIwVyAiyE|0e<=*Xa(CL63Gkik6p86jTj?S{2~dOqb~@;dIN#};5RlEbU$h6 z9pErv_{p#(ZXiy~cWX~A_8ix%?!7Oj(eP+?rrQi}f(%|p8Gf7Tv70&3fcIL~_jKt< zN`t+S7{Akm$9GhR2T1ZzyRb_6N#Rqh3bmO|0;Z~H)#Vj>!Ar0Zq@-e!gXSes zS)k_}uT`v|xT1$X7+?w_(acrTPPZFthLfF7<|c$ zNOKjGzmsNtXw3E{Uq-Sq>i$Cat$&A4%yf-ilFvFTAQZ*?^K+RSlwFRCT`y0ms z5U#3^FS^azh6U($zisjvpG^8#+6pbbf+DgE)e2{x#E!qi=nlcvSFP#QULG zJ3s_ND-c~qIVo4SH~d%%UQeuI_)^&hkakvst&*QPFf4Ugjy@e)#65TIm~6oTS9 zE)I>UPSKsYoJVorl^=YASd$SYEbc<1!f}n|7|DqZe#JKI5gL%FuvJ^3*ET8q0N*iE z1_lXi9c<4c<|@6aol96VasbC}U4zJ4DYI-sB4z(M@{R>B4vhI-d5Op!tmfbos;RuHht-VupvJT? zr2uy6g_HaVdE&I-D`PAf?_H0f%-36=k6>;@q=z$dEOa-lncz}dyBX`pD(Ij#=8QkuXpyt{r z65d8ek;M#pR1@-h34E^%5F#^Tn=@uP8DC_N&QWyF^H0%!hbjbk7Y*)WMIZGm9VUIC ziEjqiPifhtnmW|Z@d0GB?qs;(yf`m1gsjC|JYWtc2|$TKzfg?yIlf{XOdK5mnL*34 zjuwBSNfE+vwTQvRCkfYOBvgrkP@9oDu3VZ(O&4^D$h)bxr0@or1E$FhMhc`l4$Tc*fU8|A)$h)F;A<|rj}g$)Tj zcCh+5zJ30;ud;C4<_jwY_xWm(-!(c_SrANJ3VU@)DtmMXX8?@JRfVgsbowbwy_{i|OG}1r1jo z7)y5Go@PfpgXc&SaALC`Q->NZ;>sSIu)3k{hEIM1!CKJ3sO7@2- z{Kib$KwttIOs5&P#7856dTIHDhDC!J?#67Mv_GdT=A^Qtc{$lenbO3clWgKsay6U#j(|N76LEhmASCl!vuvwLfo}_g1f&Wu zWdq%52n0yg>aChK1!4=`kfZWiXh$h59x3LlaI!;`n!%y=hisb%OgnvPFcEX;(=E@G zX?{5g=wm4+&ph?@sd(o?;^eay&zc4V-${!B1|vC^!Bj!sDcIW^V4>DfoyGywH7Qz0 z^zs`6=*CEd=72q^egRCPjQ=9;W1KS}N{ft}q_m(v+|^i4P(`;x{1n^`ruV2= zWODyLo>#622Phc$fcOri%%Kd>YQDKdjs2qJdO$C09L5u8j;Hu4;yj^n6$$=p!&yjx zJ3Qlkg%k(|vl|X$W3dII)6gE@0@3aVKUT%-oxK6@stYg~G4pKK5wP|@k<;d%R$2`a zc?2RKL-UUYv7M%(QJMMJA~*n3uC$6t!YHljiW1G@pIH;1xd5m(Bm9wwnrVp!FxF$D zF5n6n$8QFJDJThq5`dNv&h>f@; znkJr=03qUei^1ihX~m0Sg2rheLSyMn2fU-}!)&yIZl?}i+i_RvEmTyw*u7}#GdQdP zF(vtrQ-CbEfbBe)p@@FKj@CBSkxptlUPE2rBm-T*4rehml(Cpa*lz`vtR^`RK5va% zwulrbzWXo}#u2WlH{45B?9mu|qF%0quKPNQ-A2awfk|uVRs@)Lr^Z#Z1-GN2$*qu6 zSDHBVe$;~O@1hamKCC%@X{9*vTL%9FEh*)(e&4jh zO;{b95SpIuK&wY-^e8yVyA%E<4;=4q2eG73S?cQ7;oaEIE>B@aC6_5&>F>JwC z_Dpvr(G{A$qv?~}ZF3>6U(z{-dI}J4Ll6nw0(y}m(qpa{Q$QGpp6n!ZGWr=_`Y)c> zm5{r09*kaS?CB-IFbw8_yia_>qZx*DXD}hff)HZ(C6Z4Cy}5W8cgc2oTKHqPMMW#!sRWn~esCQ9#7jRuKLSwky1Y z$`@qBlnChK^%y!wWFq)0e%~u(|Foq1#Ko-_@}K5ZJa0XeC=p(-Se)%F@^v!lt(q0O zd2i}a?s>fHC{jp4Y#q@2WsMWRfWa(_Y|F77O|vFHwyljxi9bLXn`DGvhn*$v=V9|Zv=Bg`2M;fq5orCXQ$C2ej)=#~tQf%&bvr+h6=kgKVSTjW@ z#_`3J$J_IprM2ID6Vtfd%@iZsS$i2WzgPldx*T0hBLmcTx@ZwoE=oR*W8m)L@C!Zf zIJUH69hLiu`FT)Sv9&E+M^0}nCdq*d{fMgD4GBzH(0%y_P^TM<=av@HOyrKR-oYMH zXYT5sTzv!XuIH`3vGlPC+%Q4a-jpmUqqM42Ni>ggRoY6N6HiQ6$D{XIZ4_!Qconso z50*to4ndva5Jo9kb&ZOF(zQGn9_afTi@4Sahkk)9D5h%G7MC~?^gi{m76;y7Ly8?E zg3i*I>L3Xi*+9P-`j!Q@560$#zbm1=pVyNep9-Tu7k)AaW`MV{EOt`*Y^iSIKYTy6 z7Q)rE281|bG2_vY+bme=64$c9Ud_@QcK+VEfpi%LamwT&RH{$s`tb3%7(SRg`%=z7 zs46XM(Lot}8)9UT#UJDDN*a+QzANq*O}iqo^3Wcz)8L!2N~_B?aNNqmf;u(R@UHZ^ z8Tw7pWQrAbHcIkfr@dI-(i&CtB5oNSnjxlv6Ld-3Nvm@y4(^J5id(WiKcSrp8(5=h z9LwlR(i!cJ)jUu>)R@;j&V>jm^hM%30T4WR5MIci)U2GIybq=%iC&WiEZU_>s;+Q} z9PU0T7|snbxqd;90ax-m{7#hK}HHcKjDsxsqWZx6RcmnI662df5{8Ws!Ax~SkcrqSDhLU%C8m; z)aHG&(Mu+N)LHn^v_$)TreML#@(|-N*Z?)!>2f}zws4NUQ}%K~g1DJ=XikMfo584A zt%>YBPsCNRxFJM~Csj$F{&vB7;yJ?rh&Wn#%J^Z3uSqLH(mUEc4=&bYb!ShVq z{QSlZyN~G3N!?}5%3&yYUIu(~+I=YC;_3{G*UISrvKm6n0+Esf{1#snf}V_&(@5#{ z5^cD9{)wc!wF{cKvCEh9SURjBB!2<jNp> zW~fbkjv0bTl6V3^-+u$ltX`u^M}1zn6MF%Vc2ADxLsl>+kylXzMCcCTX*)gr=$SzU zY(`bTMV6FzAw~Vlwvo$<+PPJ#80L|1V=@gRvLwn z;k`(uc_dfdoZp~)YYm?%f}5AFi)k=tc{Ckc#}-Y|^EX;ejKQ z!)Z3qZKsoFlFOvc$>Iow4ieOt5T{uZU<i1t_h9b>%Z z|5i2@;0M9I{&PC^Uw~XX`JvgVE^<|N7u&FrLcaP)$}2G2?VHcFYs2F7&=sR*x3A{6 zDU-WKO|0i}arnf0%p9oFQ zKrR1Hci|-L5#?iAuk`p~Ar}`P_&TLkSCtr90Vc|DJ(vsu-5D5r*DQCh$z(k3y5ib^ z6^%M=#K$w+9o#Y^I(>`o;p9w4ZeJf&9x}0Om-v`!eFhdlz~I_~wii|`4;l8vBZTTx zwWy739w7N&pNc<-cYlVkAmfkJbo2Ix4qENdMi@xcQK@gvKn#jm?9Ocyrr(wF@xoHg zec?PRwd9Bc#cSq1R%jpVAnUzF{cka!{I2^v%s&hx$$oOMp3--vih)$2wB`reQj@nr zx+TGVuc^k~4V@`>?#w&B%Fog#XCiEeD{Dx@t;W7p%8?q$?7*4v#eFL1x~IPT&`m>~ z6i=9BNBTT2a`aqZZ9|c`qnstBB~12?$S9oYslVfWT0xt_wo#MYKHOQQ0K1oaLX&4Q za}>x=jdp)WxOm=qId3Dd`)#fuN~GmWYO%`vWKV9CC^<>{uI#YgdnNpG+H?ipC)<`E z2$nvTQn>irNU?*xwnb~Ty$_S7AQp+j)S~I1C%85N>!}AAwk4|Z< zt8Y)*F>#!*PCvGiSs`ssX-{qobrRcxcu3cHRTq(m-k$%VN9JW6E~#`R2nkyd)5P6jMZO8Uzdq2^-L1ynasC55`D z8tFX@=&L+gn%G6rjkvGyc7`b_#{|N-#0H^}qF}z4vMhD#Gb#Ue?=dDh-O-3h%_l7n z8|WVVVqICm;@*1|K?*Gq!}n3TMGwj zvxyo4rntM;yC?~_J1jw~bf5kHtrZ_c25)Inui5n~4W;$Ta)^sgMardtJZGkOrFgKo z5PZ`VQEQ6k!d%AOlPnGdn<=yGZ%4^;J~N$pNwqx@-%+Ljt8Y8N**D z9l_)l$i-XuHTTVzgyAw_YC(*JtWUpH-kOBI!rS4^j_Z^^hy$>L1*$y#QVdxFm4Yu; zpnoX(YCFo${6{QYhD#E|6ywGDh)f>X7;P#)p+G z{9!p|y|{r!)C`%D@9_M24<(8VpJO*< zG2jLZ{1@|sHe5y&VpY)0^41B;T+M!1!Qmmz#(`Abi1Z_hB zsx`qPyc#H0KE3+E_tS0grZ%G%ICGa~CM!}S4CO+QHieHIqDneP_Iymcn)3vg0Wmt0 zEP})E`;~I_Q(HY0X;&lYZE{lM;bA~1(m%CHg`yqNmEI^vaj3FuhfCee@NLhGCrskS z(h70p+1ReEPQU#}n{w*8%=c`bf?O}y?Kl2_+Ji~zJ?7}2>W`xs1@G(&leqNp1Sk^ z?6|rAa5+LW69=OOwie-$E+`oQ6YEY>On{ZS*bdEsY~jL58r46CVj`kSBF2^3j`so9 zYb6&s9RpMtLJUpvVO4ZMwbIk9xl!fY+aILg-8`d;5`|-^J2%qu0?2kZMbd#@&~Bh4 zUlN<=!G|?Vw;?7pe`JC*ay+^%w0BRU!pwE?`c$cV^aX_F)aFeKX~2z4aC*)z*U}hd zB_V8@GJant_pz(-{9_Qq_8^|hd{Ob>m3ObhDi%UE8I$bJT= z_-)X}RdvQVRTau{bu4KTZ2L`%P9OL7ne_u9~hI?jG-U%G9#^_>V(5v@6rpvUSL4_n|{7Ae#318Utg5Nh^NJ_EH`0)X?4X7Yq%f;M$jB%Vfh42FtXD^^F>aXx(@IH2Rig@q7 z;6CP$rZdM^&lYgT=1F2lgD^B&UXgqV*-@_}bJ}wp?BWwW#LdB6$sTiGN~^Mk?1)`* z3b4jgdenSGmxfsr*taQXptb6HP@@?U#t0tvif#yLhWK|$BBV$d`Yu` zuNBHXu*vE-!x(8Z;L$x7yiSRhqwdLFgr5#mIX7b?&-QQ+t(t?DHzivR4g_#*x)Pr& zLVNQIM9oBII|r$79HPNFYTvoy1ImL_8fp~C?na6IbRY1E(-@!4tyi*U7W>(8^RS^7cRk05k&d7 z)(A}fWFM*`thOQ;iSN+;yNLWKL=JcQNd|73>_jLg;KegEZ>5UXBA@q5Nc&kf%f)4N z)1kAHWHAqzf_%fi8yC9ot6NICOf%y8F6R-%;S@uS*!%8fZ68SHwgE%ePBjG`ba{)zS$j^&w%^&UF=IoPN+v^p4W^!-qY2y z7=&`=oL#Gsww8i9qJs-jo3)MWi>aFr!P;f_5=_44q?du@-(4oZ&Lck$jc3sJaINBz_mn~L^V~pk(E!9;5)3=;%Ni8;s zb`Z1@-QE?})j%a~awe20Wm1~G%IboeI;dh$dFD524wod%6gi%j;oax-QWYqPnuXp- zpX3m>WAJ>I0V-V$(*VkiD=zgm+mDU(feG=`5^)`(5Qdki_CAwDol6!~owMd}`go8zb`>ml8|P0Fs|T`!j8 zGH91gzMN7;+GeE)Q-sC=aFqN=#miAq?)fcG=3c!NcE_|2zs*2Ehcd!L(F9%!ANa%j zY=EZ>(MB`e_!TGF8q;GL(9HYKbK_tvy~TO^8Yo#A6Rw_ERS#qZlvdxyKa`(`-*`?x zH0L$WFOwY~ZzXmBr1Gk|!hUYW@YEEIQKpOz68V@3?S|fIQevgMi2G2k+_hi`xUa?) zl#UsOfi0VZs`kg5>J7Sp>^2dK_v%Ly8^~Hxz zcTA62N|rcJsV$}67TwdVj?6#0BX!;8ZQs_)D3{@_vre_o*s{h1vJIo~{zB=aV)zk> z=XaQlczcNfvbb~`>@%3m#fcV9PxB^)1|Go_c<3NyXICh(=KK?!CE|BciH!+FLaEth zpn_!KHkC**6=_MHe~bZ{P-!cr5Hih7e9I84erw2P>v6BCnSzo`h*%|Gk#Qd@O4^wq zm!zG_(M5#9%H+t7`tY`Z+n8GJn2q|$FYQnnF4-@4>Z)3_-Ca%d+=#iE+^KkV#p>Xm z$b11;Tan8p;%GMyz5A{_td~Kb<2@)2ysKpVxL4=6Y#o&abx_aR>M^#?C>(*%CmNl|7w?$XdLx8ShF+ud1@> z?x`OCNM=X2fB(!7u$VsFSXnc5ypIFAZf2W3*|raU4}s1MEn4i15A8SEJw9JOQ0G(2 zNFa$9DbQhJs6B($S$vNE1NurY)bvFH=Ch9zyF7sk-+|BjeDZCUkZK19~JVD}zd4o~Yf;8Bd%LUMXkm#P|!J-i1;2Ld-`CoW%dMF4a; zE#5!ESe>78CuM7_M7&H%iYDe`?=erw^JcjCG#R&Ub7SNopYh7$P4r5LLmZXquI+s! zdT?tbRm$#8H{Y5)&f=BYQXMX}cqnJ)bmf?b`D%10I^mvlvmkzB33wGj8oqW-d^4iE z0;q5j_YtAeQx$;fAjpi#wES(j#4?2j3i*ejN;Ot2$nEhZ2IGcq((%iuWt6 zD!K@y#|uE3CDK0fPE5WF14K0*Y9~?9(44Y?>?ofOF1goR)a^N57qWriFM&3>HbhE> z=GhGLeOp$iUGE+pUyY81{=~f|_$Ub$2371^aB}te3QN{RZ#xX&JH>>r8GKb<2-$#f zeYQs9eX3<==1rXfM|H`bVQu(f)Hc}Zz8|UA3!kV}vYl$qzmpR@S)X=Z1dev2kKmf~ zFA6mWS*7M5_g8U8cj{Icz9;8np4xOexbj0H&f_S;Iv}Lo1MrezKIXn;FW6exGo!9_ z`WEwPMiqGVKy>Oi>#=j|7wcTgMMABLhOhqbOBJ>}sG2 zvwN}^q}~HMbze+oOz4*KaDsBloGJ;k`&he7Dfz4qO;(86oJ_JT!Db^|&s){{Kqb*0 zyDC#N3q0j^X)O5&5`nkrFhO(Lq^(Wh=*-ILr@rx6VccXX50t~RZYPb6boV9U?xPMV zGJQrW^rc_ItL1Fl6dV819Aht~u~zevH>=7G9?qY`K<(duA0Q_Kj!dnJi_(eKdC(A$8 zH)kY(Tysv^dg&nn;-n;LNi?r1A8HUCdyPqLGmAD?+3f>#@Ge}fc)i*-2AWJUo%h9- z;J&B{|1XZNJ)Y_P|DUj%Z8rBAF><1WDWniBGxu)=bSET*j&QoTe)tPTi8Co_xb(T*F(bCcj+k_BHFtI~)r53%19QGN zzV2(N1LbPfXP~pTYtg&_n?vf8 zQMtcTFbw#i^;3X<3ygL4;D+7Z|Mlf^%lZzs$8gisLk@YH_FF_AZCwx%PUF#4502Z< z5w!_tph5rN)iN4y%@X`3TM|7)ws}S16a1YJCRiwkR@rwsS$+^nj7!-^n0gVhc`>4` z`m{q1IC(XvCEp{I{P;!bdzH-NK2_Fz3aDSMm^7ov1;1zpPP*y|qWOz4q>)%VAk4Df zX)zKzSD@P#B(7Q{{=gV&`on9V;LZhmFf7#-&X&h7hI=xZ3j zrfG{X>~9YJkL|QxY$kSrJAcs=c*jS3o;kVUdPq4<4VK8}fJdbJ^68B~s!{MwQg`Zy z2!Rhdfy8G?{b?uOP+Wp@7Vp6Df_pzkU8bMg@_dIJ&Lpq{{_HgGs$o32ONkpM_(!FZ zVvGXK9~0=a{&&ZXFT|0(9L#pUXw4Ze$_InXe*)Z-l(VlPw&yeL`{q@b;=mCoObm%% zEGs#OgUg_%=A}1u5|z`F9#{5e_p9FR;InAwiYd@To+KHtbL0oOf~jWc7?+=WP+g4< zjdn<;-`R6#{N%mmXO@9v@Cd`S>~(HgEHHhAj7NoVD^+zjE|)ee3sYhu1)(la z))aCg@$%#Bh<9q<>?i!C#u~SvPCD;IC|K3*=(b}M`Og{r;orFb6l5%zZ;4REC%t4F zEEFWRYTeJC7#V(yJ=x{M2lLlUI1-=MN7=WPcKFHjl=hW)I?X1F(|fi!?h}93qTB07 zx;(JSNgtsDd#PufDrRm0cjLJ;5Fo^8gPSIDUy9eNuF3~k_$8JZ@3St(z$AC<7>R|0 zi>8^0WwLgc3uQv&E}Sr|C6rq$93egE+)Vj}e+mYc@s-8;oC5(*>inmvr)43{I;VB8 zH%Nb5`wE>+T8Qvsk3|&^mtlpQ{pzy{+G;9x)oS^}mp*LKJH-|3<#GY`$0MW+=*}&s zU1BQ}bi*Dl*3NR0*=}F2we6e`5)GKp;#PNf-P=rVg_gO?PO}dQInnk%x)$cW{ztGN zwB~$bp6s({zhs!_C!s^8Pdgk}q=#;ADpK!E8OkAFTM=X?j=T>S_=2vHlsh`G)Rmdx zLV-_oo+-Jk$E0QWC;|a-mE56fhNi$i&zRt+u$LJ78dtw#z&iwJi6Q3(AR+vQ$UR+K zDajjwLc*sYK32hZh!fH~dYKw^vyJn8M$^`DHgrJe610tz-s~w|_;Ef5e=*pwPrwmH z(r7-q=m4%D^q!j9_6kCX+`#$)RmO!eJe3J+!{{G5V+yXK3u zoJEcymz3&g{N=z#GNiwrTYC!KQk6wvd2p=KN#4`%D6A5y-I|-2^Zzzg;IJ-%Jp}U^ z5qW!pBf(K%M%pDb1@!-)@vYQ_Qchocm7?|wEeOC|wQqj~TU%qdVZP3G=(9-z(mt%Y zN9)Su#0UkdG@36-G_`jndDa~RU^D8ETx@O zIytn-mgB#{Z?NW8pmcvSBh?;?7OX(oC(pWS$|j&a23>d1&qi|kVjD!(f_>)Q8I`{N ze|KrtN2}Y&|7*z>dkdh2O8&IeSXEv9)$H?69SbpkeR1N_cBXYC=y-skC59(MaCxd3 zcbqtUl9J%unX9)(_D5qDyS!}P&CHGZNSldmjfOen`@8qEfe z)FLJ=y3{r7=4O#!u$S^%YnZsq zXE{#5fkq~xTrJ=Hk8%H-rZ;008OZ|DLvza}!=;D@ahOCQ>x#>CSyGy7|4%0wwkJ1&U}*H&Q$qO`Bbb zk4-LB5uv3;fPoGkJ%nJLBHyep)a>z5ZSY>)((jY*oyL;Sh#>pvAX2(ow8{OU{jBe^Ds;!wHl z(+_*;-+hklJF{bY>nY;zWV9&m+T}kyLO?_D>Xd0BxM!&4jLquSc+k~J-I#GH8dqb> z%7y!c+$#f7Sar2Xf^9O*(J8M!r#ySv)Wu&~Fk0bQ_hlDVgRpPtQ1}e!;=ZRgeM9^y zV_`PDZ4KwV)tEWX_KdloI~s;`!=`gKiB6w?w!6LTnRM$EeHge+bgOzrG=pn*JXNBNQnkZ7&r%+S2R_dNfKibmDt$m4jVL?CF+Sx3OjTd_6_E8F;i}v|&V@H1lb6GYAK_?Wi zb4M$&L%ZyON5?9SHxsuY_HqhW4q)^rloL*IE{@$kGl9vjzp9g*|Ngr(%0Td9nO*8l z4Sn&aE8le`!#biIU^SRoBwlu02MlvRy#qdz-+dKJjnP8V79f1tvW>i-#5D&>SGD|( zn_5&$qpQ<94S>$@gg1lKFsI+?9R;T+fSdB%xkx>#6nFoh<*W;wZ zRPhpN9^q1ed}ktAvRWELxiF5MjRvYNLTgI&t5NqAf6q~74worUIGVJaeA}a5)^U5Q zGU)^T_uN!fvH0{)Z3Qz{^EHP`lH+2E!&SV=l9-dc#gWQ&LyjMVm#VjX(Z=Nr8-}th zohny)+aJSB@($6kYdalq)i^2-Ti2KUG!E-4|`n&{OSsti^wJVvm%K)me!iLp<|faP>~ zdfP=~rk&B6n=j-(A)dT2)+q;Quq$xz-A%d=FUh?GJZ%kl5vKn=t`Q$K+8H**@>-U34s8(&zj~mH0PM{cweKRxBqV~0{mR%yOC_8pN0*=+(x~! zZn5eCH&gY+qkC?cI*!9OR~eK<+w@ib)V?h_dQIn(CjOs0YE z{elZf<3#Uz)QfqR93}bC*A{RK!+Aab4i;uMuT9DbS%;D#Wq3DNR}VptOLi*40wvV=Y$3Ea zC<8D8v8Q@99F3UBQmOYg*odGJ*ytZZQd_J@{xtF7fLLhJG-g?yV|rH8^T<7 z4*|yNXQV+mI-Zk7;w4qpy?hGWQ~wRYjOY2SE#YS)3RHtTw`$x{xU&uVW&61$H!&m@ z9W=m_A6=8G7Fs~L(eqZQ!Prgfcm)o=7`0jb*pJa=MfZ{4r*?K)Ao3a3VEak3Ajj7Z z8Qw-Cmo9+HeaDI3n68NE&^jevxFes$;O+g`*R+%Gyi&^=0WB*zjQ29&8@f%olTvz z##(nC1I)u{&o-2^b*{BRY1KUaBD+GcGPSgGWkJsFQS2CDR^?N6g6jB-V~q{e4!ov! zXqEK}iF7G$`R2ZgkK4G>>LkZ*8N>71vt21dFO+A+=j!gIjHC~Fcc(^nplTRR8aoJ~ zPLI-Fa42Iy8A`^PosehEOO=bEjiE!#&VFNr7wG1LMaFHuww$g)j&-VG{Ej4E{L0F& za{3z{=JsWzgAA=#lRNr;vh(Lhv||`gYU8cgh3kdTQ}s@r_Xk{69ZQAB1@fsp^P1y{ z$2Y*#{+NNFk>Ij__dwb4@@h7t<}BuSz4Z9T^6$Cn^Y!xP@hv3ULxI+mGi;39blgz< z#A}CiF?-`#39n~7%;SW}zI*VX0mf3y={ehU`=-|1L!=jr0YCqq{j0Kn&&RpNOk9n0 zqawF0IFZZL17=-i=i%W=ox}zUCLHfnO{)wQz=0(%-ebWY+yKLUP8DOe8U%8IsZpA4 zH80Fay(@*3adP{KdjA36Nv&RhjfY7dqqQzR?{@s{#Sb1QFhz4C;iPANiR*w+fUxSNV$h0mk_a>&U3Fy#=%IvXR=YChzKy)W-& zl8}NSHQ~z#uU{M~-6Qv#dUTjSvMP1Ub;DcdC9+dRBd3&jpYFP!&99H}iN2GUZ6Rb`)W>;}=$|Q$xqj|e}$p;g9!_KpO#HVT=IQ!#V z^>UT6|E}-zM?_%&{4ttWElmAkp0po48UaJ^0^oYK!Q-1}ds*PA_&4?#*S-Yth-M?; z(#po2^6lPwU(78t{QM0)03V=;xq@Kq;YScpdrXLC5fccOgnhWt~X*RqgR-d^8n;~4!R1ij*AAjE;o_Oeb0d%ybp zm$WI$x=YNxwe|naHValMYk|l{;Xd4#;SrEwfj-f83y?n%7sTI)MW+kr#@@&0&v&jD z)}wgk!u-%WOHC7RA`%e*qGIlcpE4o=D@*y`+mN4=>z5XEDwy=)3O&^1bquEjx#Rs# zi>;*R6Zs1pyf=72LE$Q8)??!3@~STzupSy%4dZh^J8P8*LO3z%dy_Pm4#B={s1&(n z$4WASr9aNoHKhVTnY>YxT1j__TgL>2iS9cXf#yEBXMwSr%~@3 zD&SjH2NG+%oa#(V^Q=?B1z+2J$3HH0!~a+@Sn=urf?)4H`ZQ=g=vb0bnJp3sN+Y-b8qWbAemNex^4V zgD0=UeEmp|$MtHzWBZ!9=*>-#d`2*dWyH%nlU`v^t{& zKhCEbFUL4y;o9&ZtF2SCNBjO|K<$I8u?+Ygm^qjC&1h%1EVRi3gD>zG^a@)J62A&L zBG~?4)xIIv3eUL}Se;mf5A?jEUY49IjB?O$GC9H!=9xcq;R>EaM4GceMdMI1NKKkC zB73vh+v!zRELmQaX)lp!Y0#nXAF*J8?_o=?V-C_EdG~$C@7ZCnAm_l zh&`sx=jHImH~;iZsdRL=psm@R$#Ek{1_SdkBv0kCpVC&G**7768C&74668@>8)hl_ zwIaJ&&s_d>wkJW0wL8Og;n`Vk);R#&?l7AzgtKm^8p5PYyp$2_> z4xhqoQ_J7`Y0WBrCWd_LRZ{ma`SM;y*}rFQU?-}Ua@qjLr;Y^0_mM~&iFdge*?cj@ zph#VAeqZZRUG-!yBK-d&Q|MG+0`&WMshzubK&y0-)G|R+XMq3R!EI_L@1;cs>7g#GDcw-vrKnbhV=8^tA!pLle>rqWvs)Zb7o;_nlkZH=~j#!eX;+Jggm}a!Z5s}^08J3nn-tbCYh)y^C3!ijCQ;1m~;m`&|jW_j& z?PPfn)Hjn|r{bylwygP0J->1Cc3CYaK&D5oT_yL9JMDoPn$eH&uo=+8`#3f}sPz%* zj1bl|PE1W~v}o~gYeT=;YZfvyi zGJPgK_Lc#dhcLm$2MrgfHdoCX0R`BudTgY#o-4w}AC3UJr%<8B4QB9`q*SqnMJL;| zXAcB)3NO$+c2dY=*%i#vf$a6|^&!&0TPcPqG7r?De2gBWKYgBlDGD{Bw3luNs82Qy zJARZQDB!p`4ly2S7-cZs&}6iHa>DfFIk()=2&!KB4%IXE-qwg>%KZ0;Q2MG>V4E0K z>#Olr9h_8?d<8R~M$Czc;IYe}8AThmc$2Dxs*P;rn00b-b6C8$Mt; z`}u+``Ya>tso9q4_;_DV4+#n%nH#QN-C^^2Z@iqEghR(VYZuohfruZCGF%RJN?V?EY?>Q4PM9Eu6s`bH2U8#TfFCSRCMI9Tm z1&C#T2$~o%J79eYUOn=@lcL^ZJ`xW3j(`*z5+PU{ou2v^@3nk>8R}Bh*u}JYwx(T` z>2nGdkr7zm2ct-A=Nif~vUKQik)Q=72?>zO&XcIki%Z8vxN=oZUYOTWR?QfC<3 zuLRko;AuH?u6-}$l6$pw9$cFBNrG)T+%QpUx!wDFKZ1c^oKf2`mu9 zWJ2jG#@vrNX$ubD-64g?{@vFvl-7a&=pVXz}C@@0`!@-4w~8^ z-txup(mfS*SJPFrk4IYd*tK{KDz@BHa3EJ!2TtoVjXj4D%>A6s6NQv^5!wCcr|RS| zM^lUyjFdsKoVIQd4*Ce5l#+y;5Vie&6fQerE#A#pz5Oxq9vCSb@DVG4I^2RtTM8BD z4~lgLBu5gGZoAe`HdhLE=W>j?vsX9%$B&)wVmSas0-P`VkHP%JjNk)>>6;fnrrCzRC|!@J)~1<3bK{Pq%q~KHaLTm1 z+pBeDCvGA*Ryj#eVULq}GvK^fsmU&lwE(Z_ z+y>iFC=o((Iz$&|n%Av^l#UXN{S38on(k6p(1? zyl`o(hI<`jpy>*oa7tsg?3SybkW5&zfjmIRKtK+7;jm$s&J2WxPqtrI(CB0YGctm2 zVSI#CfBz7%-1w*t@%(3XeGIwNU!o5kr>XN)3)e=lAbSR0bdmHPc*un7wqclHI^ehk znb#;aTWHw`RWc4+(OgZOkNp8Z@y3RI4TDz!{5}#-W?(E%oqqmfG_3D#yvtg+2t-6r zOf#gqtr_5{_L!ntpB-JM=gr(hb8p~!ylpQ`{f2GHr#S)J!gu}vuzMHK0zv7(O-MLf zhRjzwqc&^)dVO8(R+ZVjG3|=S@(*}(NcZLU^mH@QGdO6_9ix3`j@XsbB@2{~q&6tZ zaNQS=2Qcn0Po7RpVbe(&jIfmt;}{A?l(4=yQYVg+*oe|tcV#m~YB`@j1>v|n?S^X9 zNA&6Ew>Ds&??^yHJ?7;DqkMcHV#}QD;->ZO0K;Z>v?{AtEpYUJ4n4w=`L=kBO*3Je zI=`b3_3!}s@bguARa#|#@d5Hx{iT$e?1!ohQzNb$5nmuix*a|sJtqZ*e!^q)htT`o zY*FO)m{wt=sP*Y4g6J|a7afj#F)95NrrAt{}~=}$49p1qAAEM^GM{91&qq-Y&y zy_m#IX}CUoBzgAQot-SR2kQLYx?s4XlD4R+!#B!UD`Z^vk2}J>3G7DUphB>mEwI|8 zjc6iR>gV^XW$~{vq{g54AD!PKS|ohL!=h|~T;lufva0|)h9T81Q2k9Yw+#4ySy1*7 zEBlkfC<$9jol(`w`A=(P`G7pHQ%>;JWeCMM4tDnSig_^{IFpT?VH5nKg0jVj-_Vx{ z;YzpdT9mzFI;jcm+fp-8lFZaVU3Yf)fI>MwNZT%4K;Pivwkgybm6%!EYMc zaR3(e5^P^H8h$^nQ3HR0Suq%EgEeAhP^QvXoDqia!werjv!`$cC;`wp9U|TUa_hE0 zSu*YCllUarU+1juTY|sbUQh4!&4WbV#G@xagSFu1yo5^{uC z0?=_U=WiNHB#F0-^?{a1$uzY0dZFelnOR1`f5k2II6+X|d~-JG>HJ7f{JhMWn%MUk z!9F%0auep?ikpJ#%r~xrNVK@8@G9iy4Skaa;$`U}1Y11Z#1n$&JHMrXNtcXRsm z;#$-1*llHkB15A!-kk~L{JYGuB!|8As!Ta zlSAgFR5PKKx?gN7^(!MBbkMYN1ufR?!(sw~Qcd;j+{vA04{1{hpQ`V$uch;ZFgL`d z_ZgX#dDZs)tHyDpcQD2>jV39WdU0)f?frU3Gr9n@H|Z8E5ly6>daVN3wJ}S2+uhc# zaA*M0w2xwtl#UbG-~)7a_hF>p3iqv2qbuP=%@-&HX4CpVtXSg){9O|1!5B8+4e$PY z1DaS(jDP!UDWueD%pYFu-fcnHNeDPHyKdZ|(`b?!SFE^7+mZ{SnO;8qU)S;oA7s}A z>f9r0IVobDF;=8!S?Ld8HsP8#IX#y&iWZvd7BSkG^9R$O!iMMON&T4zSyyl3n&uwG zg!?2UVjH8gWO*olcjVO=;_L&y#`Ya2Kx=S#*<`m6MSCjx{-q=px(7~i$YXTmBC0Bw z9?8hB6>1{$WI9+&WAE5(@VggAK$3-+Yb!H{%TwwWlt4F^J5Dg;(i{o-fpw;(e3^W29>yTh%HJ?LXfM(%iMc z*%I-DQ`*9naH^q6{L}43;ha_m4qJ9DX%M!0idZ!Kl2_dzTE^vc)hIl`ta#8rYBP4R zzjRjcO5o*1-MjjG-|g?a~#V?1Ekzhb`!?^ zB+U`rlp$WhH+m|1l6eMqFm@iKdvPnK76fl{KOB(_tlf5D1*U67hPsgjdY<{TKnpBK@31aer}*vP4+xdw z9V~sjL9*t|Tzdzl&KwEG7MSw{Nc-c64E2)v_*emj3`8MW0o9@Nd5|&;OldZG+U6Bj z-t)2!N(z!RSSGkfZ}Y#E>j@q!0)lnr?DMVx0r)q`41^)l0s1BZXF6+nn7YUp1P`I8g=j^NMmkZhY_g>>CZzR_r=7T~96UC|D zR2Qb~-3EI}N`%{&q8klrcfM3nnzB>ZCRT-dFtq-AjlDrcjYOMveWi2fcJ(O#fPHtm zZS(wwL3#mAmOmgzdb}J65*eqgE!4v&78b-m2HmM{3FdIaxgA+{-rkqXc#CYq7*{`e znS$jq^%VAjRsNJ~kH2o5=Rfo+ber1PNvd{$uczXd^t^%m5by@tuBjD&9BCVY*akp9 zUS#DE??2(ZKs9G>8`>p%&3v~itA*>4%i6b+GVGnNRRaC-OQoCL(xb{1d~V|hS9w2X zJ1FR#XeHFAi)&u!7%un9Em_GK*D~w2?55pRKV3TK9Pw7Y>hX~PaF*UILQ2!>02S|z zS91PFBdmWh1)7#-rnUNQ(Q{BfyD;mNYJq!*Bt;nrLq!eN&M`N#5$280n|MH94kc!< zdpDK*u>fe7?|rbfyUF7o89cY=Va#?~@=_6&UZq5SM$5zFR^J}Ow4fZiY@L^W7LeKj z>ej#QeCX@kZRbzZ?$=+xAOXH^94+=-JTJp)S*f@^6fClT{T9K!Z&!XH#ei@G!$jVReIOPW zZK$#!g6YC{D)FT5yFFlVJMJioUL)Tr$x9TOVuS^Go`Q%wwxkFF!22kGl zENX|+&$Z=(5(saKGicc{r?!%6fAuYAJygB;L%P0djWT0)q?5iJvuA2WuRDddoz;6YE%=@S%KC`4 ziTIkTL`;}0q)NBvH=u&R5W@o zG}4VROAR!iae5&amQ@&ZJrb=eph#&N3o{AiyxIXlA{)d-8UcOqwB4*AQz@Jn!6GPm zzWHea^DEdujKYS}8T$xdo-aJUXCAKmTN0U&KayjjNE=74Ek=xQ@q7V3PrJ3-ur{P; z_`@t+T6jJ$YdObh+QEJ)isz=(_&<3N+?N@vIfIG$d2$^|6v=K2Mx zZnybIFu&mcbKOxum`z>tuRrmYDAxhub3&OwGY3ps#GjHW*$$}BTy04Rm3n18==u91J7pKJnkd&pU61mkk+VIEU3oevGEcSd$~fGs`Q3=|MCk`41Du zE&Qgl|3z!5jdpQm^((yCu2aUo9cypIH^;-DK6cY~FeSZ(dv2AIgm7vQVN_7ohGGP^ zmaTvglH=KpjWjrO#SOhL!?e%zW_0E$0t3M=TezG}O|#g---tzF&c}SZeG@Yx8=fPc znHtwLLuh_6m3^(o(}Dp68tti|*h9Xza%Ef+1E;Ml%Tqw1t)zkRV7yiKJ-^lD+aq5- zf8vkkXN+rYVpm=BPNSrgnow*1yFQ?_e#mK0lZaw*;A#lVKCJ)nOsC%X5N!J5x+qgp zf%CO}M%bg~choGC4jGY-Z8_{qtXg@q#lfK-qMsg3%9D~l3;et1vG;wL(R_uESi^L~ z{JY}J@y%NJUrkym8&M~_*DIc?$@#42$);u;UA(Mqy#MZ-2h}PHzspiubRm!s%KGcZ zqS^jGuwtm8ex#9bh*-85|5-Rco3-+$Fb}SoU&X<^$=_(dpmk>*43|USpMRaFHC=t6o`Koe@ZyFxg872s?fA^P zuqeEGKp22M9A|rI>DYI(_&H0gJmBO;fft(XeYz}~9}(rqJ%rJXGF3-zvI3(EB|(n~ z)P$i&(=Z#g-%ol#FF}R1(vD4R=sKpBq1V*?NY9Xs_w0_!NB3hq;$7NM^#Oj+n7dfh zsHB?D;%~zq8rL+9HXYxre&i`2nw{SZkyaU$ypOBYWy$`9wtwtjTvDTk-TC+8=yr5> zI!T!4BYkGX4N*HS8&UY7p>M>GHXQ|~hSYx1E!@}2k<4Vl{6wSXM~oE(DWwSG{m3{7 zV}Ee=;Lf4b{ZsQ+Cx8vKJ!^JsQ_%j;YqFnW_Mp>PR8;Z%rN&oqLo*2C`A&W8>&LhT zx4i04XP34==?oP)m+b;VSdFtVuDorychx-AV;1QhDM%U_bJipH)QZ1HbPP^(J7`Le zd?RkdRv$Ib9~c;&$=(5Jq+&BV?Y^c|uG0pgHMcfx?gl{q=*9IVV0KGCU^DK#l>O_+ zwU?D}+1A1Y_S#~Mcl;M4P$Cjo(fG~4&yb4$J@nrEv{P^nGp$aydM2kJ>lZ!a#7c)LWTX6cP`SDr&YHlZZ5s+MFXwvL6 z62y7m03zs>QO3t_KZT6}(1uikK5|3DI9@J%Z4EJ!<)t!LqkHCcQ_ZT{BT<+|GXYz$ z*2TYHT^L?g;D)z9pO21y-XTz4s9(wAfp^-%2EdFkm98`x43(I_vfTr|;>+LFIB`DM zQAyz%rqlj~oK6?&%uyv>aT|y-gc^VKf3xrFPUM6RMDu6w2UUDw7fd32JhV#@^GhtP4t%Q3$KPRG0n!XD4Cse7 z^F6wK#%%qF0G}owr@+T?m^&WvUr2Ij@Ro{HM1TlV(n4*Lo5?%BtGfV zGVY>%JDnp*B{tT-K!IXQ`fGg=EA7g(6nIGLx5qXxv`k(Fu1f@@dy|V)keNCirB~Zn zW%l`QQ9Jw-TO1;C3fU*TUbweO8{5_#T($fm47p7$!;|>}Wb(I~wdR-2&E6ii0523> z*$Y)c=8RFkjTTdjOM_utqEZ1mYls+WPA<-Qur&`m`F?%{6?(~aYNe{i)}#6UpyYma zA`ClR+bkJJ%SeC9!jE-9;LjzWA1+12FG5cuO205|#Yd-|0=V7&UrqKb%RyrOXW?Vw zTPUa6rB0x{VLza1e3Lc72cdh7(TU()xK_mnN=NaqIFQSaOE49B@8(rDK>aN|a%$ZbEPa(XS8M1H4tE zQdc|^xvNsqUYij3UAB0S2fq3X!?xN7{sNGfh~Y91L|g&FcG91dSABwL>KfD}bxj-3 zH@gxKz`dh0M89m)vq)BEA9pOTY*{5A86!{-j6s4W_{{D^fVi}t!f;tRIo!_kTdRnvx?Uf^q;p9QH2|H=}cpTJmpDh3NEaL=!B z(=}e?N}*o2Qv&(=bSW4(?#xm$x+5*~C(HAuc5a4g*-tFdc19GOn>q8$%VFP@$(i8O z={k2FaPOZ=p@Ja_-4a|fd-t^log>bM!cH%N4o|=0wkVEC*!EH-J>eq(+`hUC4N&+Pb#o5-shVx$i{pehnp;Ut8uAWL#k$gl*@si5ydoLW;wX$ru6hnGX19@zWS<1c_Z3m;(&05>NO*QRTe&j(-MBfVMVTjZO>*TTS z(pb6_e#^*ykmpwZ$Hb$+;`PEQpOO05dpRr0yd6esD$Ix39c@ML-9c~M<9~UO6DnIa zHNSuQGT}&h6Cw1`iGeDrVd;)x^&I()?=pxMLp|$_%1DBb}+!`iIoRj$oZ*Pq%n*yH5~7 z7`IpsrI8zlO_OG}&|5`z<`?#UL@f-Pc%QA^;S^>es8zItL+rW6F`kN&--i!8zGeFk z?WQNRypxg~s^#ZNLSR#?FRxLRf@>T*bbT$>1x4!ohD{-`ols?C1*Win zANl=f!momk{&BK4ls*ighkudoRFt>yFiOJbTlgfsiEu6G5QZ87CT6{Dn`qHk@&qAEt@Uchd^$ zH5is_WW~3uNy0}g?uBIQ)92ewe$~RP&%Ftr{>B`pPnr|bL$x)0YKRapt12muD6Z(t z5k8?!a3ppgo1|OFZYa@c#xKT*CSlv6&m17Z6xW1N4~krer5#I8)?A=~y2|h#7VH`{lW$yj19kdw3mXJzSV z&Chk8#5D?!&OCd5LS?RkcvGLYRy7ePZx4)=3G_)Bc5r*Ha{Dxe`FTW$4u2^qpxJrK za=!RbdMbUb&od(2ajQsSwQuefO})ot5;4~W$L1$q9VJ-NA7 z*A?A96-)|{%AvJTnWmIar}I+R1t@7`FH`r08`&`FadW~JvPfzUkxpFaARxWDV%g>Sg__}H166JnSQp&ou@{%{+q%lXwVesK4x>*n& zRSs%jWnBEdQM{O!4-Mt;z-LOwkX}0PVWb`3+$M=ay;R-4hG_t4JdK^XZXsz*Qnb;q z!S~FEXGRw0R3oe47a8}@ZdS}1SP+Q-MLT|>%qyC|GDH?cuUUG{wwPd&lE57gB7W=c$o7Q@?nL+iL#jl>1EI~V5k>u0S z=d7x{gM4){__!M8Nev>7bleB4(uR#v&mgw}rw5PSHYO-}yS;i83i$!s+HSufpauzI zAHzBYTp6$MmD-{XQNcV|?U%uag|9&Mi}yyx4f9DE)`c;-qbYhHq=BQ0i*X`57aumb zFfh)_aW`@^nD~#zXDPpv-wFy^RXU-y81i4nQ4X;}+A8aPGwv6m-M>s7L~e?P-k8{% zJci62t)KZQ%Ui7c`kkGz<20nwWA{EZRTP+F4VaBzHTDdE5j9Geo6nUFR8D;w{hDGv zlJxGS4ytjQ5F`l=|{AB>d7KbmNb3F#&kzq&bkro;(@fu8J zv66Az#TQEzPdgts9B3ftF~H&ibQSJQ^d&m2hLt*z`swo5421Tt!?0ex$4D*epnS(Xxeh zvL4zB0>=)rm|zW5dgfB-h3TCG&A||l+gp<=iZ`6U`149u4pm#l!`M;sPrx&Xm)KxO z3!(eEpp{iz2|T6VpFgd<~Zl*dy)j-=^>+$TstI{}h;gM63vx$GQ$3m*~1 zWl(@=+5ERh&=*>Lc9r&g3;ko`3qw%i?z3^wn<(+RTG}rMhuZD-1=ih=W;uo{iR}ZtF#lH!E zFA!+GWoOWEz=Z)4gUQ+ea6A`|{c62Naf} z>#GS~rt>@9tTzDs>Fo1xKLCttD8xar12~i@c960QfIu;G-znVg+FY|ls}ZE_GbP5=(TFhQRAm0vy=oD|Q`s>V0NKd1Iye@JU14U5V?0OSLOikWad0eC-T~Z*H_yk3Z z$<1Zq3hgi{rwEtY63;mjj(9+MiY59m)bAzf^a2h&X|_$KR^TK)t{d;0j_O+oLpKZrFloOPk@=JgzSTuaRLQUURX}> z%f7B^rpgxTol#g9;Y*~WF35}UnHdlQ_&>_sHH}$7E{KBHz(l`<3xt%)Ht}(*?+&3V zn{mT08I<0FLg!b4^+1o#ED&O4h|(dcn-|+Vrf9)zi{YI3wXUK(EWvDU9&l&BilWy1 zGzB|*K9zx;1D+}dSke{X{)dUe?dLWh5;LRF3(VHGY^u5R=wjsrPiZ?@3Qfm)K!Go- zk^uD|)Y}$P(Xs0BlLVi&-JJZF-{z<5`TsfO#A*K!2LX*XpyW1DeN zf2`XXV-E?XiNE?|T5XEiRA{>I- zA_b}&vxM+T-mD!E9T!EbZ^YBcU3OQHX4fzDu0eg@YGf*jqrec|Y+DPOD0DdevK(;ja7ljDF=NdwCvcqwbH*y4L4FO9B!w z0G?c&>^UlZ*aWhb3mmjlPmkR1UFoZBAnYTMBv2kWVa~UXu1KKxXO6F8$kn8GhVPro zz-Q&K`3oaD%cr3}F@bHSx5}8cupmOpQ^u!fXn(BD%jwzLUJX(t6KpuNPf!!mNG*eP z9lQ5x$twA39HpfuB+67|ss+En*`n5Fs^rndqzCEy2YshA10XZb^8)e}%*AA0HP8!8 zepzqpC}5)e1C794$AjG{=Fq2usz9}@&JdWG5CX-#M zZesQ3*QxMW4@u57@dtM4VtxDiTf45orjN4jZ{N0HZOZ~V8XThz3$J{m(ToWon!Ob+df>hNcGx+$)FIL#_B_{gai?er(Jc44#R3KHuXQ=e zM~qaNE<%z7G8AR3Wt){)(GMgn6KOh6etO34=>kp^=gYbYdie-vxFPoNzI96EJVdG%6#H=7 zV{6b8w2B`j!1;v3ra|1L3dxkP;uj#|mS!IDf-a)~H|o6G1^pI!VJlR-}w9+mP0DNL7mJh1x0?^hh!^{+H*9 zf3XcE$!2<-*KG5hF{3Uq-AuUQGC#{rqp&M!vA3==Zy2y7BkA;dcr|#|-y!o`eksW) z%pdbCQK#(Lhcy16hCmhmmxjqIyJj|H#Cqhs>SUtj6<)SX)?puhn+@3%lc%Uws1+{Q+1)|4u&t-4}>*mtmx?;iSa4RZre?>_bu-vgJ3P+ zE1bUzD?GnCWPN4sQ!P!?Rmbiv5f!;VUIy?akvCEBW^b25gT@nqO{8w7hNt46 zFL>oA27gn(Xf`!5t9Ofc zd_5VI%*mO0;L&FW^n;~o`%5*Hjn23)meg25Au?RSgr5ol{=?7*E4>vY#0g$^h=R8A4ghTQkvLCs+~&E$KR^ORF#d;hL}fA#3`D7N?eb-1qQ z^*r4FOM&mYEy4|>j*r^vb}2`(tUY2s`BSttEryzO3e8UCPKk>&SZ$qj@Xou9!kYZN zKRJTQOum9L#`3KW95FJ`1)P|~GD+Uklg$T{obE+WWTEt2#<7lfY^x@)rEYrg;`C)wF0?8#G~ruEoxQ!={OQmMq!kIISBarT z`>8-VF81y;gTjmaknB!rRD_Rz&xMSUJdh6E=}8}V)ry)b9bzcMiad!NR|8Qt&w9mB z!BDiW9wjdW?+FYl%5#BZu&ym9@wFrgC35dB{k+qPg$edAcH(1qixxv4Q zLIp7vZJQU7wmXm9dr0Jchqg{QdU$TurXg2BvuUaRmlZ?ZCpO8d&%+Y9GdP!FF{(&FAYe%*m49S7u>$7BQFEwJybJ6PrwgtO<)4LK68E4&4zOZYy>c z)R1z~l|1+LpAz`0NTV#B5MMD7c_m$oc26m#R<&APB^L~EZinJ=P!xt`Dh4VVMs#4j!{sWqczl7WPoEB)YZxJAsRsYVxigfXzV*u@AMw14x^w2r&-;mM zNb*9vG$=PN&mj$B2l~PuI})tVf$|z|yTgj#p!gk?*Voo$Vz=U67z%FSW+x6Pylx`{ zp646+Q8{hV<=zK-$I{^OS^Up?Q>jTmcKIC@_29Tw8lHq`u^;Vta|+fpB8u|38C~-* zj)ceK2{)|t*5hhi?-pt0C@(CuY4e&~C{e+4_tuCnJ?TImcBQehzsMzj^kEMYvT#r9;r1Eyo0ti2?!2r!OzMzqEtP*UHQg}d;7|4X)-hL>vKB<86f70GNUUd*B(Zt# zMAM=?02PIE0gb`0gbsyz?k8Luuz^Ba{&i;;S6aIjMg>MP)5YNWaXY@RHvC+8?+kXw z!uX)$QO6_X=}I15WN7r*8RDIcvehw0Yo8_4W{Lsa>vy6KlrS*t9mzLev+h187FC$*y=DX59++&@HxW(Cn*< zHbu$XjB$!Bevc)b48kHzgrY5J-}AS%oQO-rkiw_6)Fqi}YwK%D477Jtme|?QFcE(W z$jJr%_JnuiUtdeQB9E$X-%8?i?HmSA?GjQivsWpt2V({HcGnlF^Yx{HBI(GmEPP}r z*;o4aV>72-1Jdb_B#d#*q`{QXTcidhOQps%jjcB_2fkYSS?^z zs^i_s{9MJ4IH%}s*v#8s@IV~rm~ea8H(xa8PSc;HA>sltw~Rg~?~$7u9akIhjc+CcBijvBKSXUniJq@sQPCj^oWiipyC^iyJCe{Dav7}# z3aS>@mcY~y!KW>E{hu#2Vy=Qb!aEXQ7N8ZiUjOcHEoY4*3qTGRLk=x zjGW}y*9JJs$ku@6x4sOO6K3rek+B#{#}_t%9Zbnr+qyEQZbXK{#O3Uvr+3ls&C-0d zL$+2jT=5D?hoh1{yE?Xbum2+Y?|f3G!RRkV%u8;na&A{JH+VvO zL?`_zIM-O|%Zg>FJ84n`Dx&Il?BCRxHb7WjB+t3ECoyprh1#VE5;>Kv`FLA+Ys}lMPV&~f}6t^mPwDokCJ4zMTiZ{JYxi`14 z9jOlPJAm7=S97zmsWG_a9m=02)eI^t!mtxiq}8v?p=gR%RQ;@}TjuG>O|K5J29QDR z*%6}gFYW%34SzZJ7K=@o(g0d&k+2vO9beFU-_TAPymXkYlYdMkE4U3KxGKrVwq)se ztFcqz@E8N4OL#oCc2{Msd|`6q{H=6QN?^urDJ_kV$X4*{o@}{??iB9CCk|ihdPM%L z7#up`I|Q6J>y?8iR$_pSGV*tNUFCEfg>x6t*G1P&v0jmCpO*?J0_p8&P zfy#^kZ=QNu7N9ML#dUxb3B(Jw!=^`%0yrnU0Flq!uIMC74Qaj=P1hS#|5*+Hbm zJ8vW&cmnOMP*n}i-*UOjp7B{bD0({+`%JeLkIsf|J`6t8JaHio_HKaSu*iId3P7xLHA-8Qr7qn(H1;0||T**^Y zUrfCExskJjJ@OuM+^7|VorhN-O{Eb;aG7S|iaIShYrugfUc2$615z_c%}VGh_Us|^ zTL}TGG1be9^eOp5MC;DYrYYUZ4t5~#(Ba{HfBf|knL~aS1eR?)+0(wk2|>=R9+FSH zU^OY%BIBqXLw7D?pJQx}qWFq=X%IS4LS3w0wydoRn13V0beZ|W`4Iu?@n(iL^k9F9yppf@INkkOnW8MS z_ySFG<^~=bJsco@LN~g$T5Ry4n%Y20Ylu0UyGi0&L%K+?3C8ZS-#NFKR4%F*uk*64 z^-872a$T^8U?McPm1QSN|% zKJL%A0w3OE1%j(2mqw;-A=R?L(_84jPZ5XVCC=z)9xUfv#%?}GJCxWSIz{leHqTf( zz2fGHJ4cJ&DfDT==d`&v>vG5#OygklEPUI~C)>DNXmn8LmIf-8MteJV<}V~jV$%_wj{Lx+z2y(Rk$npPM!C4*r^Y-q4*(bI_Af`84 zYoL;viN>lc@V5$>#6VlWKvDBHsTyBJP5xZv!)FD4_}7fEq3~SG<{n9n8gJD04=lTY z4E*qqD_he1CZ8G^PdZ1pQzlmH8%6CxWM5=xHsw*UWNc|_)phBJ`_6z@^heWGM`g12CIG5?oE)LYe?#=&}^R|YLcdCIsGQ8@u}l5 zcXAdO@p5yLa$!-2X-t#yFG-V$GP{G~vCXT8+&N5=_sF#-Hf?@mvIASIggny;pKMT$ z%k_!ciqHBuG`04Xd80?>#=b?L!XDY;IQjqDw~7^-56l#+^y$3I;Jd^4<9^OL6XAUT zx6k5sD)&){T>Hi)gDV#DaaNYv8};JPF0}AsI4XKx{7-`PL@vFlauRWC>BX#ZMfhen z)&l;+6*E^SvhI_9C_=vnY?wg>SG?l$z1t;TtezP?>!P=)z-4+s2$%WE{YwNG1{(CiOt=HtE4<`p}%w!j#QauBrzYTBXeJUYaKvQ*L@0~L@ z@8fOHWm@To`|s^~zv6 z-;?n0W-CdxFZa)y&9NbX7}#(d4!ZWlBC2q-7BdlP&3lx4m^?qbC3T7#50=YBs&$v* zc$X+^8aNk9h9`39;Y-rsS0V&Tb1`@<#nO~MgFuvbbX&Ut`K~Rb%jUs3Pi^0l73b%@ z(9r!#9g7DKkZUKh1K{{yF_)E23^trvvyJed-jJ#GdvMj zzP;Xh;y%P>vYNi=^%J-4fU=O1&zG?9Wz`=G3X6K3tw9w!mK&;~?{bvZu1y8=-ih^^ zaY${2vY;BAAQr}J?m(}r`^wQ79(U@SzErYESy60rNxALGf|qdLa}~ud0c3N*e|%`* zBx&vcw)-bUjh%nxKp!xPj6tq&ml9?tVbVBb04CCd7+q9$#AU5JMq@-UN0rTMnz67u zl25-z5UH5(w#rc&6c74brDDEiSBhu#g(V+N3L|hERj*}u^k%1gW=9Xh{ z7Z~k=fkS7(@abHRs&tv7QVBhkwKM+^(~Z-mm#-8}=Iy8On59(vL&D=H{wTG<|3^qu zW$S<(#Ym5I<;TabX^ExTEvIOlV>R#uc}>3|vL=c%w}JI*Xs z-9g@>=h)!16vSb0EO8~Lu&(pThGpCQAXZ0v#e<<6O&P;Ctk!fyas&OG4YVBvf=T3I zvueQ6ey|HF%{zn5aZBC<@u2Nyr>FXampP!g>%R&ecSN2 ze?K2;y|9n$rKsJ0W(#eDLUZ-(K;VY2;;_476f#b({XmY{A+oLKE^oY~sJE-&rYSkb zl5%Bm6#?TF%;VnvmawK)S$^2cs^9mCZsnk9{#qjZa}su4JmB%kyc#LfxULqUMx+56#5!6kUWD30~iJ3se?qIv%m|u0VvP_Kiv7+YJⓈ!w+r;vm`U^)}PTca>4W}e`KG&b0EmF+;86`aERj%rfe-41^o z;a-kEM_x`r8H&-RH98GYFtVC7jL zbM)CUpz-*}&V528eM&*2s7a`d&WG^k$gz+`xBL9$k@bIyBIEZdS@yExadai5NA_*~ zJaVl2ghhemAAGO8_7hOE-%juC5GzEmuX_?{#)QO7cnZI;rlHkC_85x&okzCHOO=I% z%NC*!ZuzN7EU`;~^wMILp|GoTzb3oHL6OK4cnFifQASmL_a_D zuR!K!t9U}MAi_nMhdO?#fi+eV2DVqKlosQ=8^@OThqJRonn2cd?B54XHVuJX=zb;} zpz1vyFH0RanymH?a|exCG?jVfM9M9txkLKwfy#l>00?Di>UjuO3+c^=GcmE1cSYlO z()bL;F{;OOqxr30-cSdqF)RaK!T+b=2t>sYiNy=(a{r$y5du-45&OLMI*XaLcCW^Oj0Xn$+prtn; zIB!8t;Ycf6BG0fLvL65aW5i9)d#?J*cfMk&gJ~1?Jh6Wr&zo1k3G*{Tvrht~)8U>m zOn#hqc?Xh|#5Cw?-(bI)g%oNtRA&s2+9}`00+|MNCtMF52d2RX{-a&XbSvpq_Gh_A zk@wII1z|3G=WpQ;HGs?uu*PscWwrnG$@AY;a)0$s2loh_Jg@&h(-i+XGGRdv7EE=ekBj3(nWA1!H(~9lJtZ-Db%u4{g!L0F?NQ|| z2w(M}ynOA6EM@s106+S|tLKUDVL9ENd^Pn_Wj0}74Qc;2hkKDdsmc-EOCP8^Uwr4b9nqb)TH*CIbYoFPl>OJrjOnxlPJr61c8YSlCdB)t2BgUrCc zPk(QDJQqD%Nqsg@b5cOd+`D#rR>^N@_FrG!emQYG2pL*{zg5xCAObqy)V7;}pZ#j? zfXpRVjs0rS%NKCl3V7$Xba*>`>Vq;2yaTGcY)@Od;!(p6qMYEm1-ksY2+5y_oa~KC zf9h=|X4=M$=$xIH5hPXn<_p7ngYaIF%Pts7+3e`gLPsz+{m>F{xU3~Sajj1^Ik{$! zljZxhwCUn#MVJ1M*3Qs#+;%5dGOgv^TVK3DJbER2t4xh zZ`;&r(`*cDjjm}l--EzqBYY3c3)X!pv0I?OMf~>Daj5IRcEKi}agP0v+fm*k4W-cu zg=DnT?t;Hpw%GR6{>TW`yn9ON7XH%2+4KK2xEff)?fYT)mm1K6j|tn3i|+`vv@Q78 zVP$2zfl7yyBqTA)K}2?+9Tq%M>m%18w!20Z`0iICsWZBzznDydf{70@dPVOSi2RXw zui|5lnBh-hcho5JJsAwoJDg4fV%2tqW+$tzX+Ryu9H>v^NU)y&{v6kdT?Y!vj+mQ2 z%Pxt1zJ*sBq5Io5Fy%a{0SB`UuUUc9&g_tu#X39sAR@TUJ|L}zAFMflXaA!AlwVHl z8ifiqlo0(qaUt31zrSjkgReIBL&r4Q!$s0KfwSWII!{dS-1vX(i|%WP2CJRMdPNCt zx6Kn-?^%ITBlTeXBPoh9?ep1Ta#;phdIlb1F!`)hPk;~ zA0Y#Is{rxj0-ehz2hBPSV_7uC+M`b?XnCSs73GPUM-eJ#oWCFeb6t&0{dF_BmUc>l~rJ5C= zB*imxb&2cj@nr{njc#JC%LZ)y@q+r%d-&T5T5k6ql=ULqbGwp)_fy7ti;KJX)F&+m zY^zShR=;wOZ#!Ye{I;VTDNY%em5?Re?nTCDLrEMY}w#3Zy-nQb!53j#@ zZ(-0>*>1LfZShp!f*M#v7*_OK$aeB7A8u9HyOM#~fICv@<{hPHt^6$6GUPys2g`k1 zL^BJSn{K)T&~P$gzxMQaxmHSRDt(BDJ^VqTC6RY7@t^ga`0;xm98f}x#Nd^OHaG6Sq{bxX(NyG1kwR8JSq}KFzx%6}>XN96Xd3chCTH(A z6XsG~y!zDK(lT-X0eC*We2#GjbvxGHj48bM`gjZFX=a99U}N>#^So6t9~EA|KK2pk z`?Aa300$Y)GD5gT?^~Ef`MTRv_ORjPF^^~BqbufJj%2Jtv;Tzy!H*?o-nXS4B5ty} z*Ap2ygA|8PwSC*Y@l!J50c9}bNn%9->@~FlW{fIAMRq#>N{g*lP8_kI;tlt6!EfPSX32= z)Q^VBM`C>w!x&y?Z|@_izis^ot)|CN_liEuIi3Lr5r0r)F_qlV;>*JyArE{w$G}4q zJdWI0PxOkmvr#U_g^?wa!OET(845zi;!mL~Z8TuWZwrH_D<+PYlkuq6ycf&i^QB0` zp#9U}8&ihE{WTfG!@=co91SQZb##3FlkQC>eYDg8 zgl}piZ#U43!P@rlyq1sb&SR6=gQ}F(fyN)jyZf?^MH!bBX+piM)Sn#J5EO+?>4J|k z0CLz9>AceAjxY<$pj2UC!HC+LCN3qK^L>{E3DMytB_1^dTBa`6)U$*< z;X5Xfj#tRg0|f$JdYJDsQE*#!Bu3xefUpm<6=xoN^(0Eg;f!HCj?xr$MbVvLW3_h4 zuVR|>kH)d(c%wlERrbvx{m*Xd;~pc9_il|d2O62eeb8h*gD=Lzx<}O0Sd#}kVr~}{ z^psUdGPrClcLRK4^XWfI#ef<^gDG8 znw6<4dOQ4os!NTj`>&3la}>$z`&b7q6YBgwXWARA^#F%il)BPyq@$TN+TG$zc?rp& zIZ|-=;Q4#`3s~dc6Y?ca82Litr|zy4ynKTywGAAC0}Z;JB;gqtVooXRjLpXR?h|I; z+}8eK?Rz&?iY|FFX)!CHMVop~SJb%RZ3WqjUj7~=jfk@`F++m=lpDWM+4C;ip!`i~ zx6l(37lKE}QK0QL~D{NWG`iMX{%%-z|sV z)38TzR!bmhsu&9Cw|RRuW9r`ioxd#@RD z(@p=m(m?)|JDCz{jPP1FtjzN42|QbQSK_HBw6}D`M>*I%eAau_&9C>c3NgHy72uO? zaXRFiq3^(xpkB#Ie%S2Up0vd31+dJXR_8cy+%Lg<3*#x@sTBIL%S=$J2Uwm?tyh^7 z8-c97ULEymObf^>(XXs8y8Efu<4d#In#;@d=~?|UJN7D$Md*$6{3g--a0bc_Od!6* zdfn4eAI84LAxr{un%%F?q;naT@Xa z1Dz1lv{1tfP{pCLrt*y7V!cyWwNOz5gBPfIld~G>!B}%c>4GdtMi0&l7OD38vrpg- zV2!UI(CKP7AKpS+ALn?Oe`eWS^5TCvF&Ut?j!+;!M1HQ!0G1sfbGN2{zHZl1KV%T4 zx8AcA`}%m>cif+h^kl<`Tn~pQMU7>#12ON)M>O*oZi@XDHu!5gUwRE6RnL_%9c-{h zpd}25G^!wcLHpq02Jrzy!|)sIYc%GK4Dorp&bV$H>l`X=wy<|}hDW3&Har8u)H6x5 z`7aCHqeXXxCtlwZX4gSCP=5itMdh4ZT7}Ng3B{|KOo@98=2UsT z6%&lGk^Yg5FkPJ616ktg%ILIq4LF*kQh%>}10yH}todIEr_r? z%CO^{qg>}Xsat0>4?j+F3tkjdeu^@0wUXKTOeRiR;|f!AFpkUVTyUd!myum9bU~Ks z%Z%7sIZP+xahBUcn#nwzngBLl|KY)t)!t=rg>6%>;3Ycc$Tl5)aPnh@IJK9q{(*t) zr(+BV+j3N#Bpukyn^pSEH4q?WfZD2ds_(_FqN1huia;U`To9e6O})g`)|A+WT-<3! zA5pOgN5rT<=Xq4ya31>TQ+6$dPt8={&#uR9g+fMvLUPeeERp(@K0x8a%l-YVFyIFE zdu(+%kqqP8-^?kDU}@DkOfL3Zc; zr4riY<|h)qN|buCR}+c;=?YTeSy7E~n0FzY`#~r9&J%o)vHe+TTf*%kuHv})qR8GS zN)dxdy7rqM-^1nc$<;~&(Xodo&ru$KTo|DI>g3{M`pvKmC%qv9Qr7O##!IA(qwtUb zkn>yX&0?=|jVx{x5 z|ALz5ejVo~FIontiTQ>4~!R^}jw@5{m- z9=g?7F>6@icZvTJ7qe!e)Dsxlf0qbIleVFg6|5(@fHQMKCmB(c!c08x5>y34>Lqxr z#)JQTM{5)JAG-5ss#!CK_eGgC=5nxb{;8Hl*Jz;u>D=$X47Lh*+8Wy6*>*cr!LJhN zNZH4f617tu$^|^2L~ogYmbjtKF&A6fEjk3(xapl_pJ(yf8XlZvSQT#>mK@_uY#q+o z=k5)ealA0(W^y1&rGEo#`ZwB)y_)3jy1t=W80L)y2~X|G%;Zbx^xT*RaYnDWDuA3D zU_wz<7)S#-fh7x+`50oTxawN`Dm23l_lP%0>NjDoaSMU<^nWm|{2-YT82xF|$gXudS?w^GGNBoBd}4P8S>5%1TkI;!j{C=`e*txL?A#<=;l-I+ZRq zs*m8A8or_X&lnz>JbJS-b4GNMLAI*5ieo^q0GLK-H;#?jNN z{_j@+Al9qLIO$?^lbJ~{{lm75p-ib|MHepxNRfJk`q_3%HCV>fXjy`jBua|#$O@Pm)Ac)HFJ zpyxlK{!E$S&AXuf9L+xaQi1nUbNw7dZ3`x>b{1Zkq>Gr-Ayo_5gTZhW{+O<#aPc+V zd2NlT^``94*flDDdcwOL<>MTp0_C?SU=QjIQj_M4N;1h)%}nk?NBoPS_DrESe?U(b zBguDJHVc2p_|glClW{SV8bB?0N-1PioZH2+Q4SVA1GYh_2Z{?t^&;(nQZf1Q(vWBe z{S7{7IqFzXs7_K}?TnUIG?k$pW$4d2&aRQ&!>y=NGs=`#5xwSoK1CNVvvc3_gQS6W zo=|=zb+mYYKA*;d=x#V*ykFwn$ukYM?`|^mtM?M)byXh4MI_J@X zFD=RF>4=pB%Rdq0_!1>FxoqA-eroNzZ>jRyemC3%+mzU7Mu1~UQ)zBSmRhk)t|^rL zV-z%kS62T)6H~J&s=~dxe}U{?Ut#CHdjpw@xqH4@@u|7pBY5lsHX#4Df&Bn#He3gxe)ZZbX{>VvC$ERI7O7We=Y=p&JP^lMA*7@=u3zhP!;;d6&jORuS^Pp!D~+v{ z%#aSf#JLgExueyVhI(e1?6$@d9`zo_&Bl<-d|KB2+0A0v9jJndI@sbDPOs}Z;_%al)GD6#A4%>np zsxr7`zMUfB|A^$A~6o>&umH?UCCta&DWRL&dkR;vSefom}(sy{e%9U`!KaGAX}fbX?3h{eW^YBYsci zKfS{S4xeAk=CRpHhxFX!AVf&==a` z!t>oT;i4iV7ZsOCq_6kVZpMp{iugz4a(Qn(!mpHMAh=HA`i;+g+T6wWP@&T^FG3ch zQL)6H6519Fx!r<;zC*N&h$A#R{IZ0+ma=0^howo_mwYk1xeG z-@^&L&CHHevmr8#p+sSxF#DCC+^sfZ%~YYwWF^^Du|ts-N^s6qo49S%6!@!T8ueTA z_3bOO6iL2LAF)^6G+NQnWPJ-C$H{!FT`maRJ|YtY&v73^3G(~Pu++U5fYDfxa!U2} zWcTfa_Au^Ta8EeRQ3POQ&om$h)J<%wduYC}a$i?J$>>kh%vjKR6ta4{ss+W1$k&xR z%B&8$=$D&3ZzV(pQ${NWn8pUJ<26eFmv6JsXXOP8psD|nH`w!3LZ6*5(4qvUs@$TR z^fA>KcvLyBnQkI`rejbr;j?6;v`m%(b5plL@lAukRR#UaxUTsCG8ZY&r=t4E5<;^5 zR^m+5Ds^?R{TdoviT^L<^ZA5#{*m4JdzOMr;-?1|lScoeZ5q-HMv6MNX6=AnXVMpO z!+v%UDti@GsbpM$d#s1jP}O0oiCGbxo_h7ab-D8Fjg13y6~h2720aH7FnL#2@+j%HKGH>@6*b8%iI6Y*)g`c zM8c4LiULFGG2Mtyi7b~;tja>T4QM_tvKz9S7zCc@g0zyYKRuVW)JLtyX0$?C7`s*J z%0lf`w_L>rPJ80;7*vF+Dk4q~vB^o29^HQ8Sg4KkJMj68`etFU>7?iTEczz-M5+ zT_$;+@N-y~Zt!OV;*VZH#F*_L-lsP z1nBnP#N*@WQ;K-6v@;Ec)WWiy-*9=iy_y^)Tq$gwud8INNckF~ug!Z>=%tcs&$dE# z%~E)>UwZYoEme+?CTuMXOuN5&#U_isT255F{-cCrD*xEj|JW)O!E!1s^W_VJUL74B zV7~iel$*UJ%nbZRE)~2Xno?23Smm9{AT&T(9h=j%QwpBO9o)x>(gVkh)4L*KYi`>6 z`E_aTNE&mxy12~t&~MDH(qc2P>tZz6jBK`smPadUW3nBU?b+)c0)c*mfOM#M$(sz` zEIY({BIRwZN$dlkU^lE0o^w-<(fhjWLM_s%BD#}D*&618fYTvTtnU)VjZv%>qfftt zYGehzL$%QB<9^VqYndP#WxpbX3)oIlN6_XyI!d%aoltQqb;=M@nRjy71a1>T2Bwm8l!SzvMZhVR|H3$7FMv> zmDR%?hv%PW7F+>~#+5_eKrYS#MjIniNQ3oZQs%PwWXyQ4QnYhrvoEcX6kelUtwIJ0 zmp(vB(ed9LpKLD+@ZN z4qJ=s(mp(x*N8lQzYKw0a7s%~4EFci_Y<4I3+MkbR`@xT60}O`9-4>UFmx`K>L(00 zflRiYx^SGSm&rRRjpu0IK_vPDgnUGBkByG$7Dv%oL3gkRaDIwfw&5&KgQ%@#MY;Pa z4e2#HzDq~54K!m(!#b5tyk4Adq)}bO_S^IkP0@JYG{6vcRC^Z{?KQSlR)nuB&X8Cb zD}YTnQLpnvCl*)2Lxp*dK5PocT^$nILC?1d|@B;-yM<2BsOR!SSN&YbQ{ zEDd+|CDs;9&W!q9iGEosL^=?7%3~*QP!(R+o&WdIVt1*KI@$;*{qX@vF`k>RyuVfa z7baB2KpF0_eHfw>kH{gP!aXP*(K!XxNG0@8VVppIX?nG2sak&5LhL}v0%n`=CkV3I zgMv0E%Bu7Y$rv7x1elmbkyT|1x_t{&d zmU#~Yu2NAtiBa|$scXHrx}A8tc!p8*@%ClZkGAUOUx5n99ZjPp3o^$b4 zGMxG}H#Lq_k3uK&k3R9S=F{IEyR8D@t_ zVaWtOe(fa(_T|>40HS+5xf{h-o$sn~QJ30qi#9vdW@m-B7MX%m^&fA+(M`8dJ{cK_ zAkRu(33twlq1X=`A%&2B1OJGL^#^W2Jr;Tcr)bm7GvI^Wg!>w%w#I6O=HJ@#v8MZK zkz+P^q`F#M_T(Kf03UV0-n=X)`XlTU;0W-sCeJ+g8TFFiqfRS5)977r$=PeJa%}qX za^kB}2>YyVXgY);f@~W5UUh2WyIXMD6LOKP1irxM{u@#jOI2N+U5Lr5A{u2knlY$M zB0tDUa?e?~-^JAijDmq#R0orV2ON8ys-D8Hi~F!$Wesdr zb46D$9gjqEZ6{}cl;0{=*JeG5A=Pf5q-8y>ObgXvTirggX0>nhrL`Si)5Az@@J{6g zk@WNH@CHuL>SM|<`P3n5Xh#8+RK zf}BeqDA#@#2UdvZ?+Sw+M`hS65>wJ+f=e&ZTcXyjQd=C2orB7<*y;AZ@mjJAbWaD8 zrm3*vr@!sqie?;$tDEYT53cG1>57>V^zNk1O=nVS@Lzf0?69V(XA!`$9~zzOWj{`y ziN6=_cWEW6KU3lok?dVv;xc!%ERHW>7?r&_6)ckWywi~>%+cvS*Oi20-+mcDCCMjj z4~JrZC!Oy|BGR03x{7aGOG9;X0vo(LlM}Cp!#w%d01RuQicH;;v$gLqsb8w)){!FD zuSPeJB*Vm-fkn1X!9d*+i35(UC1hfCXnkb<2XxpL;=T#p)Pcp9N}@M|ccZ}aHBohG zwE7YLnXY9o`>)q<;x_PochmI0SJq{uG% z_xTeRYA4QuC;oS0M`nxi${cdCt)B3>y8&v`-I|BGcvs!1d%##104^W(t_JqXb47c~ z)7@Z9r=Wu_qCT5WtgIce-uSTZ96+T>Qn(w=w-MKg4>qE5LxDF>iGM^BdOJY`Y-O*C z%CCXF|II=OWPxI)GttzZ?Cs6(f%Z}`snW<&RJ&pS`dH~q1y0gk!Iu>NKE!%0iLwE< zXVh329m8ZQB#dOW53Z@^wfx9{rhP4kyZ7wlzI3fUS5kK*XS~EhF+Sh zgN+##ua$+AoXj44)SPbSA>Uv_EifFdmkK$*qq7>^{#>oV-^C|CqS+zyOuE?diATlb zl~cP8R3M@*L>N0>9TouYemY#RC|>=i;Nf72y^*wTPgD1kn)b+9qHnBfEieL9LuqD` zCZqALNC=&Ie2)Y^8#rW%W>nLSVEy)zF6^+@R!)7ESq0_#6%*?^Sn(@^9zUt~Xw3{{ z@vv9r-L{bqftN&8HBIq(R-awz9D53y`)ndqS$wQm(iy6?Mx#dXB4ptxGb`hvVV}pr z(a6(u+8HkLJBlx>WS7USf*+ifr5rAqK0phOl`QRrTq!oUW{2QWf{>Er ztxk;z;?o&kc)7qvc``6_)IAh+z4{5)o;!_0s#e*4Ba4yNf~AlQKVjGgrEK^~*&)r8 zh_Z*7YK_@UJh$r%H(1{Bn_GR?k*!1J&53hxE$IhCCoBq2nwyu_JSgS}x^7`xsD7t# ztQL10Ox@8I<9uIC;zP*Fg!3r?D+y(L(~@Kh`q8c#Lod6OMoZwopGca&iNJW~?KxU` zuW(N)TMK5aHkS!JjGM|sP@J<1GO}_PG*=aUs#Vk@9&M!069W#%WrEmzyu{gxAAoox zK630j!p-WV54__tjfNN}$nD23kYADcN`s#ch9ujdLQOrW?Qqlcx6)Y8>U{;Scx;cq zvOj-$ClN%5zc%9{wR=jdvXBW5-hE0?w3V9CwvG_h;Y?ArBPO6k$V?^lS+H9}R$TUbD-Sw&Ug z+c!Tv{f%b7+7Pp=h5q^cKS);J#OCKa)`E8(cUm2#jy?b3G?2#Fb<#$=nsRD<8;oJH zS=sC;JdXZt(UbbPePcke|GBU7lhvv4cy^9K&|Zd3>5)}w;A#w1|C|i*3lD!=r8yr9 zbz#6$Q%ZJpJkXxhGUyQJyMAuJZ|e@c%+~2&$0wCk?*w!T?@T_K)e)&mehs~Y=JxT~ z>nD3JLJHZjvsyFEIx-xy2Z;N^Ee;!T%&s1?dtxXR7smzvN0W}HG&Mag0I?#jx4}0u zJLGQE`aBb|r=&cJFjQuT^{(IE^WV{)rEiJW2WCCr`2V<-9=2_a`;D-ztHi}UK$&2^ z!N%~-AGp25ggxZZU+i8TbE406+}R)H>VPWMN@ zzIYeIop<=C!26@c?L9M8RIeuhMsJwriRvZel-6Dz1rA?cXS* zT68Ji$RIyZfrBx83HhoZhw;ePdZ3)OaesW|FLI$|XgS`Tim@IvVdq)&38=W+XwU1B z{U*t-0dX`7h`1YFlxzBnv}IElk?aWBCTt7&^cmL)hBOY5svkaM0 zH!4}xLZlW5ILD#j4gLFV;JiB4CAg*nEX3NLtHvM;lZS7CnmMR_rxNW8v#9UCX?wM71Dq6_ z`7is=;dv&MGiVPqhMXN*x(dxk^GoQBTOU9PSlV;!4lmWR*l#(vUiolkZDrQyHkqYol!=~jIf2M*!Y7Z3 zZD%axtDaq94fv&HqALXgKM$FR^_6@tm){Uv^Mo%qZ<`T=e6@OOxHfc*0PY-XoLu*r*jcmdYkR#x7o_a77S}c_4!4 zG8)os=H(SRz|1tZdAj~qBVY5^Q40R@A%7D6KM7r}@-Ar1G{q0h7*djBc5R_{|R{k)^ViQkfVL`Ue0-QZ+^+Zlcd>`q<6f1QQLy51}_R?O_#(bJ^X zDKsDr%y%7Pmw^60&6!%B>HBhJQWV?hg8VVCaEJBT$^>Yruzr@WldU!m%mv2uvyW$X zcvnxArLZ4Q6C>+-8mO3BUa}=6Oq-jo7*ykqq6*Yxz?TtYqBb9jV! z&Q2EOI4muR>|#mc3ljWA*);ptG;m_s&4dMxvN2x!Qh^{V`PFf2Yep&$ z6qkw7%3nldo4dP48LoJMB0Cm69TtkK7*Hrjd=;qAn-%1TK-!)4nvN>ckFy5$wusaf zkGrb|`l5qx-+Bee=8f!n+O6@<#s(NDw8Q&KA1O=nz;NKHn90LmeI?v+4=eeF$hl=D@~NX!gy^{p$jRUdlE3JpRx7y;r&5 zg)P74;KPM;<{+}KW@%b}JE2n0J18n>aHoW1Rx@B~N1dvz%Cw)qKEzkU$z*;<_b5H*fI&vG?6kO>Nt|DiB&iml6>| z7il4cUPF)~RYX8~=)Fl3gh+sZA`(Rfr3gxujx<3)5)f1nkfszVa+D&yO3T}L&hgxP ze)o>??i=I2_s@%C;AXQj_nLF9HP_l}uK9g@xdI7O&ngC_4U3(TM7|MVUBmXl8~&lj zWVDa@gRc7aXVw^U!|G?T0VK)d5_uS3;n`e7ULfOlYsjIwY1sogBA;a!1=C{D;ujl@ zt5dfvOcm@oGRWmJ{d=^xRD)L+BiiF?4uE9ca(mc2P7EmRTrcvizZng_fGMiLU2x^W zBOTS8cEO$VP!g5x<^egKg4tsWAd{XVnKZdkohc)D0f${J%mm1r*8r4^G^GF#lLPP` ze(fXMDe4Lwktrk^4TNF)QI`39USalM=tqtCfteXsz3nk3i_IsdQMBqGa{OqSQ7|?? zbH-ci=%XEbyHhSWy!&gr$_fB^W8AV#PDng3N$?+nl6Rdb(@-|nCWL80AUBg>s`8O@ zck`6(>r#3uV3x{usJo?gu0}sIxJEuS>FRYc8Z1WVJFqK_J;<-bQ1TWchve;u*YE>O zqyCxmFyeAkAhpSvT6(@t#esNkyo7S1G!dkt!`xHbPT6@1JuyOgy3pa~@q)dY@>y}H zA@%YUPA6$sfyUn&u0v7UDf)^?tsNE_RXX27quydh_98Ow86Tos?DrgHmx27l^T0Cw zBXGo_i43HPAV9ffGH@iBVx8t6 zS~-4`ASfr3&!W@%Fof04uMpJ!C^;NNNGhy*hA)RvNU$| zba4uTNnH$f4ElLT&j;fM{J{w01N_wUk@bN|S$H@Hxx)}JDP0#2H}{~UtDmI|F;~1` zL4m0OcjDOyd9PRhW=*X^hRveH2HO@TXqX#0xt zn8v?Q{%5hD%KxP};y+eg?q4V_^Z!b5nSWN?;uzEMf2H_QvA-#f{Erov{}+n?KWlN> ze^&h8wfIr7zbP*FA1ki#OL63{uKwR_ao{QczN^dsv*Nxo!LSXHcm3DajhSMM zx3SxYhL^}YjF{h&1bFAM!L(0{Xc0J8tBdF1})2>*>g z_*v|qhlSjK?g$ke|C|A&N8L9@9hVoC8^XwKCu5aMQM=ERGs700e{9=_|G1y3 zZoj|ohjseRy1?ANY${Q!L2c!D-LUG)3W?g&KI}Vv4W2x1c||TX_^=%>uguS=(ARzE zcK4lAehTVe6z*8Y?q8X0(XD z_e9ko$=8010|1Itg2U(XM%LcG7+mK#oJ|JK-@h3qZ}c>;?%Fp8td=)#4o@7Wzq2Si z90yQAyO(Vv_ISy2kIBDfVh`#<%gHMfj^Y{p+J}nM23J2-_=i!CHhhB{}7m$$fYGH>KL?DOS!3(sSbXKfG`AckH%3 zt7wL}abqeoAhakUms{V!nQ$v6C2&K_A~diuR+TLRCQjOU-9OvHa91$WQ9N<>9rH%D z{zQSj(&YV{A1=L4=Wfw{{n5vyvtlGycS42svw0|KL%7>AC?~RU+XI|O`THKw? z<*%pUX<(i^HU;a*rc@i6otcL{l2dMd(}U~aA}+(@vreBTsOifF@_c?mHy{^C(}ZC> zjZc})YV3`Vm_o;K$#=j|U*6bfpJ(iHkIe+Tp%|>vDe$+OB2PA1NP6_9>E*YkeQ8xp zSi0-><+?g2Z*HB7g{na^cj{w5kzTrp{F58)t>w`&F5~QZQ%di~jz?86Ck4DY6sShM z`s50wEqQfNg-?0ZQBs*&3jJK<-7B2VpuDALfxkWTQiY48BA;<>?V3_yI(t{bo3ZF+ z!HBMNbEnM<>4>^&w+u`5w9kX zO+Vy=8qJ{3{a>}#ybEvM-FnZ~sxdr!>AfNKj-5iwjklkj$?NfJx>J4+hH^WS9q(Ns z(#QNzgS#m~p!fU=-3`8Z1~uD!>(LRX;20VGe2YzjQEcbDsQGl=M6`$+>SovBio$fj z+XdGOS}M0<>n6thhTgfJ$YAfVcXmM|YrFIF z7uY5l!}EN#u1F+5Tx56k0l&DibA2^9s(>xQlWmk9hE5Hr~pm!r1Rpp zYd`qgVQ6II$K=Ox#n~t*CRWlWoBGE4f^yU(1ZJKNG~%6 zw#{-jKl}5Y>6^Boy$RZukqNfqOjYjLN?+=%%{o|vXwW~ zI)tOaR(v8jHbjfbEjM~!K~;U9V_>h(MH0j2PZnS*X7l$Oq(i#y6u$}YVK3x!De@U& z3HEDf+m*Pf*C+M#M{s(UUlAwM{LXKiIw|_=^a>9!(ASF;PuD`@+i%5zI1MqQ1qSp4u*)++JS5oVWPxh}J21+8d z4=oGgd;NAIrb%@@@579>9@`o$eC0UL5RS*C81=BI_tUvzK6SZ7)mdnrzBr=q z9%+&qk*s`M#O!7ubDMS66(`$}3j*i{tu6j&v}14PyDgi`o9Q&-S0#BbzM%2FOBnOzJNr2|`2C(Aeh)7R7M!FH;DNzC)vcz3hT z?)ziwU}u(GqPt;OLzk)|?ftxUnAb&`>pO$NYw?p7Z{#roH0xc5)T_AS=e0pyb0TM9 zN_AQ`={xVOL=3wKpnEhqXK2CPHG!JXsn7UWu?h^bK}Fxs3w4_&2Fm9v)rVpHAD?=7 z|LNW3X{2wB%<%w%XA;ch^?~?_De*`KO$=*Xhxq+Z_c1TJeBNlMiFN5{j61riq(_~I z*EC{)3%(81JWVRdldW%e_4w|gtB|o${^Sh&^evexD!od(*r#{}`@6*4YxQBzq!00T zm>45iTl=JPn>Xmzl<$~YIo-8<^P8d}pTc$HJC#?@@-^tD%-oTu6g}MtR<;eOx!Me$9)pTmMA6Uo}jE{vE?bF3&Hi~_cnU3c7ojKVi zW(peUc<%o8!o*!6k3YOnB9uHcnaBV7-R3b% zCqqLDOy}0aPJ>1Cv*+1nn%1QkKR7?b(m!p)5Y-3o-!5W`fGGGd&&|@Df5d27G=HIB z*{)LlPub*9B3lSAL?h{mRZ*Z%D%gbRH+H8FDF_dL_WYwpisB4kc z!Fb{Mv}gzPpu#|%d*H&w=p;IjDD{`X)(67ag*6u^Gq92F(7CE4qg%pn6J*h-xkBWwzNx}D$QmV`La9Cw1%V^oXI{JE9>uq$#ZQTcsXXWl@ z0Vo4-c@qkc6M_z+6K|yki}TzhB!x}OA1iU-lq?3um4w(KH)m>Iad(?oa-A22)jZ{# z9gUAqV*wY18_AXNDf&F5=n&*re-xs6t^b7?A}^mk8;#(KqCG7bn3~1Q6eRS(oNZ^E z-7uf&+Brsxe4_`csR4Rn%wc>^{e}to{3=$O{JwR^oug$m$8iT!2z`1loh+XZEcBIU zRF)2%2A=iZbeXAF%YBrpaMB6+vO~g7&on5pso0`d^Sb~1C){v0DP27PUy#*OVKQGVTk!Z*|b^BP*kiu8y`3nk)oz3AK4T?y6&1T00xZ~P$L#F%e zYA;RgwG>C@rc?)>|KJh|4vnEU|1271Im6rAX|Mb!kn-+roI&3C8{Q@~ZxAoPUbtxg zQtreW?0tD;Zq}sB(_5pc=2=a~V~)4fG=AvSI^=#3YQ22z>sP4ovgCc<-T`TT$GRZg72$-CW*!Ddm3O{+g+r*Lbr#}O_*5Q*B(~= zkGC23UcV8G*g1LetEzFU^!)4WIFER?5dC8hz3XSP-qALTn1nn&PIvCvHeLJd1=|lF zE6LnDG{w_PZJQ)Ew}je|P+Zo5}Mr?{~Q%{;Y{haomfyWi3iF99~aqGVW@3 zN{Sr3%zmM%tLtk1`Z}YFQ{=lRPtMQ`G8P~AJMUalFmY^plp*7?R-w>|t{19;$Ho2Y zBReTn=;rTc*x28=Re0}tr35nEzV}pWDV1|{b&j18ZRIT$=HfTI%&|)uR2SBKOio*0 z6~FfNiH|2?E(h~^MD$#Hov?5=^aVv(m4Sxuj&BT@2!D~;!{aR$_H6w&xB1rc`}}?q z^lp#IRddgeDp;Ra>(+sHt;dRm8ZO%VtQQ~4r8`}sbZE1^A#!ePs?4T&;LMF??mSTi zak-4Frtt2Eoam@%Rt4s|hWBS3#25Q7gdmod@$1=h?;+or8tzR7ruT|nsf2qQos1T( z%IEp~=IxtIGxB>ej)S1@Io~f_KUO#Oc1Yu(^yTB&?f2?3-@ji_Es3^%ZBZ{3d5MCo z0_l=?#pC>lqf_sRw2)ahox$rnn9t0P&v2Bhn{{?U(WaKoarfn>_bxJn4H9W(pqMhz znnUtVPwExNNVqrrj=y^Umy}o)0b^v3LH$hu9<^6iH3Qd*+AO2))tvB5d66AkUGt<3 z9p7=zmIr5-CcByP=H>b!g_wo;kD9ZyDW%Pbcd6X5bdilkks>)HcD=r;oxXR-#O;P3 z7MAC6?;mC~-F(6FK;@p)9v)&f4=t5H;UkCeHpc&VWOdXJp{!{YCqkMvu^A>;gHo#qJJ1gH=l^?wwp_9qRUr$H`r8s{{G}r zUu!7)KuOP&cUHlq;pZ*p5%Tnz%D%u_1V*jezw;Ey_Ih)GgS_>W7s&L2n&T@|v|9yJg$5SV!BapC#b;LM$DSyr1% zGN4x@$3)Zi2TDX|8FE4sY6lfKoT8G&akW{4H^i=)1hKvSaOf#UMb{K|tZA{*nB#kH znhT`+T0p;$MgI`KKtt>D5L&suirq%@xM3{&xx9JZH|2MU)l6TclhmwFI6p#}K-!Fa zlg}CV1&L-nuIox&K5hArKtFwGGrK-%hS(z30z{Svp4f`^9r>o-mUYC2( z_5I_<0mdJ|XHE&sUJYF(G131JoB!_IOWCbh*S|ge#m5%y#Xb3m{rQC8opB_TB_yTq z_)9%hj$q9!=gLK-$^h(vr=n|KNuHsY(?r-)xkOVLz6HC8$6Tl0Pg$Arr4Z_-vSl5!u5LZJmjzx?&m2^l z&F(kD_03l3?rf^r6DV8x*aKPRuJaFG9?w!k?@Xvn>VkWFQd>cfWa@ zn$^MZSY!-Nx%iDf5Go9#X|g=I@$E;f1XL+tT_oTGx=p5K#qqUbYI2>!)h77E)y%LvPqo`AMm;+bDn7x_}%97aW*+Yc2nz@Lj)QK4h=XK_^rni`@MFhf&w|4fl zq@9Kh@$`X-GAb!-!Xq<;bg##Ueahq<6g+JGqW;HmLE_QgMbo zEB^X&2>-%$@r@P1BNL?=d&NZOI5X7Ptc;r8Af1v*QOLS!hAl>AWJ%nQ<&|Vvo~eQD z*o7hsPKLYpG}Ly&rj@8X^o2a6c!%#wU3fBF;NkLh*!r^r=3YwoNRVzytaTVoM6EN+ z?m3&asNy8w>z?o5FOf&?Ut-_WNUy>&e1hip85nl4c1X<)Kfd&`N>SLows@nv`&&FJ zF75TXqU%@j4<+EwG*%k?uMp^cgjHh)?N7#c9h`eG8@B0I`C7Ul*6LN$*{HiA^3dM% zlbUKg2@tQh;Ix`e8j)9(UkfU%F}wZ2KPV-=J1nx~6d&~79llhhuq^=Bd@aXpOPeTC zmtS)l&k#0;UI?V*Q+#{lOhxdijx^Y9Q@&Q`mkbFZ4KOs_PO4Y=jOM&*31!>`IOdIMSNbJ%fh~{Feuqh>q@K^Y~Q;7@ZPlzI0x$=j^C+M2M!UDRlVR?4v6K^onc*x=lY?=Dht>D{LOzEcN4erfQ64wbXB}& zdD2Q+KnI`Kb}TSUX)5!bP?i=ExxN%tDgE|!glnd4E(I(1*O);Pk7oGlb<36&f6=n< zmURLi;RVNiW?K~XPNc;2U!~t@tTL42;Z3)GtBPRNvp?zXPm(;Vcd(`8QOnSIafZpB z;l|VNsX^A`tFO>6s5_edjEB5Mhca3q#fswq?Fy`rBW{UKbTje0`Pv-2HHF_SuRuY0OMj{|6uU zL*8D|3ynfDiS>p{gj%zg-|io3*<>$pHj+9HnQ7rbe$A4w)A`%iRjonY$G>^pU0PHl zG52KTqtx7SvG+sjd^{?=4m&-&CI&1&F%5W5*9r+iOw{uu!)_dE>wlNBuKo~2zjE!0 za!G;YToq?zADQ7KM&DjMER^HrrG}Sfv04T{3T`~IZvT91XLe;qS~*S>WF$H%J(PK& z>W9E?zU0%QDPhlm!8Z~!zTQRD&QvQyDZ~e=Rq+EaK5fksG>-H3=f8Zwh|M`p?RVTF#-|!J!*fvUeLQ z`c2w}esmY;{x?gz{#hC>2}lAyu>W}x==ST$M}Pl^C;wydf2hsxH332`f7ApJ zsUU}t2bBDW3wZ^kj5G|84VOeJ{QhJ>vb3b)kxGDah~Je1E|CcNKlS`wvh+VlmXVcH zI5MiFjEp=&_UI8Za9ITf#P5<3$X}9wJw_U-Ao=rwa2NuP{Ap0Yg1<{f{DWk;j3PoB zXaq?(P#K9a2XOWKh+kg{@rT2EF{T2cmRBB0vxvXVf99ktHyvSt2J_E8mq zpspkwkSzVv=)Ye8vXKgke~S2<@INFT$(ECp0qpckHu4{3%Sg+}A6W$cw~N2q`j-yK zzbqnyP?VGh>iRDj^k;Nk8m{nH+*$5#hYh4mfTJXIz|X+nPlOzKB`H&vD}KR&PA5kw3JbZxHb1E7l0PBTr`v+%okG0^)}-Mfj12`}4rd zPfAS@OQ2-gv?Q--1I2Q4JZo? z`9FUH`~(7dzZ}^8Tf9FkV-Nd6E+Eyr%o;CW?C4t_zL$5^nkg`H*NI9iqM^`8Fso3e+k3*$CtY0PUnnHrZ zNm(h44^BUR;kd~{;Jd}WzYo=fMvaU_>90AiKOle)c^#N$95UX{w00G?y%Ox~6z|+2 z)5NGyPzZ<#aKbf?3$V)2i=9%Y7U7ULX*E9%64rKg6=rueH)m(h_LeZoiatlUq}$hf z$4~)&$F|6%tuSC(lfk7RiTYfOWR!`t(OJ*w85Y)5!adVV7l(TXfZ(}IT}5?ZNI>wF z?UfBW23iPxa>A|iMtX+&J)K==B}FB~$_q<8eLZ}`SBksqr(NtBOg;vojf~rK=>BP8X0}~Vs zZR%HH1yupP)P1>65^WsM3Nv0($Grq?aRu;r@xOEPPv+YXPK=I!$jo`Q3kTi;w~RF) z+2Kjk7gTQQte)X%e;US?{OcD1%5`!J?J+^wji`U+QhuG&Q26Vh#?RjP$FTn2Jg^3g zoj(q&$;iV0>f#8b4E(=(n&s$O|MtL!{NE022xqHN!<>Pmr~m)+|1I#}vcSJNEcdf7 z9*rqE>A$9K`J-v-KO1Pk9QnsUla`lL{56+IE5d=pKL532W4}M^-w(9Eoe&bv4)aK* z5SVag=f*u?62XB}VDAA8LA^By$5qh#D!NpM$3SjeC@hRyjalRDAQ~(JfwsDQI+4g% zeuJRU2xRc0K=z#l2BP4cnqbJYjasx<8E`T<70ld=K%3N`<)LuM5YB!!QX2zv>?AUE zg6Ii@k|ppG1f<^Nn}#_Q9BpUG&&kdKzTPFrb!@~&uB$bzKDD|ga!^I0FtRtv)+C$} z!1Rz9fGuaxw2FK`V)4i>_WURc5$C&Q-itwS9d9H!5>fE%5*{Xxg{Qjf>)0$iIsm`? zQh04?*|U+kJE%_(qroN+J@FhQHuEd#Y^r|~Y+1KoWncxn11q=CAvM0_4I3sw( z2%RGQv8K>hyD0SyGWjU2uDFRheSdoPu{w6)@%Avepghlr`L~c$Wc!`!SrOmj-Eqp^ zkeKcR*tn`cs%g>MSQ`U9+&qYCA(uyxcemhm3+_?r+sjjOsd=&KHO$z}fuJjOU*;ba zPS+)uI?7=1Dfs->#ix&p9>=bK`q~r)z<%a#$8GLfkjZ8(!`ln&nGM?x391LmyEeky z&Zi`(IrVO_RODdLHQsoE!6wtF10UP+P^VRo;R3(S?VZKoouTCqo0l`l`}ko-^1|l9 zRx0fXWh)-)`0$ay+b#EaH|~aWxC^m4@Gpr}KDu7+N(u3+yvyGu`3h|FpPY#fP%xU+ ze%Qo^iNxF%}3yT$#R5aHS%1w{Ja=u zqyQsZ@rY?@bqt;gC3J$87npZ+qtS~A_(~9~1`$MqiX}3nvuV_)IngL6IF$5-;0WFk zTkKmzcO=9V$-4llG}_Zhv%C@kB>S0wS3FM1Ov$~&t#U98F!_`q*_1WawxjDT5)8Xv-3p+NkI+LoiL96Wq_Q^Z`e*D z1a84s!uK7o@v4SKq0==<42)~L3ckbN(b9S9w#M{UX>bcYx#3`97vNi00q8JQME&mv zQ}f+-zGwfTR^wGJJT>?beSU+}&kZM>9UC(Nu_rSc?%0z{n^xRWaYjizoUn(Jqy3Ux z2>|arr->cs(4rr0DD|FkD^r-07x~lP0n;0}{1^Jtoft_`ZpIUl%GKM;74h@6gB7{Z zvuBjAc}M2t`?IKG4$@`d51v6gP6Me@rU>fjmyQ4wTs6Pi0BjLd!h-QAKODAe!W7&> zZqIAHnvtfQdqF{p;3J&!S8_%IXo+?Mo}FhKRk-VYC^=ym!-@lwq(J)mVygm=5We;& zps>x#8AfFRS|D+LI;jXuc<`hJER_J))Sd!POfeSB1DWSsB>(~@k`|+ju;#_rk;kIj z8$NUJ)y3Xp>R!Hesh-%!cMTPCdT4PCc zsHzjAKmwSnA{Z~M@kCs$-?*PJ1h8$ZlfSbM#wK{C0*Ta#h7biyV>!lrZ(aqe)t9Rn zVWF2Mmzt`e9aN#@gSprB#{F8nEZS}sHMF~&_$mTux}{HLLL0&tSm>=QMzs zL>vdda;uAy8eC#~2$2 zjj5tbv&XZa(wxn9MrgFR#-_dV-PG&!Oh^Zp zjjPMBcSlEP@zJX==Jv+~XJZZ)EHT(At8eTF7!}xeDFEOOdhL-faZB|U%SlM2Qm$m` zAr8cQiytI>k#IYcP7Uzs^dq1oDuM#9PwY;VY>RCWKw+5y?qlAZpk2zqYj6}aL#wD` z4A8gOH|}CrYLXz0W<^BEh+!g}v2LO1CQ*O4Zg@G2JSG*nLWDiDuT6uW4_68EDmmxb z=*%Pk_z`WVXKI||fINvdB5a|i$$qIcq-k^2XANq^0;GaMF{)~47zgMz4r2w-IRGew zVQ6nW3{9z&H@O%(*>AsEGllJ^Q#u6rqwC{4CDnjmEDqs&nE6xN(BGFQk zz%jn|_=Rt+#Lt-|x`Mv#=2+e@d-#*K)*m8kNi@1HH)XobKN7^Q6Jz_shu#N!t+WaG zSVnCxo*>|;q z?B8pb!xRy^OCG%dV!BY!iz4D&yKJXVX-hnfQwzQM!3kh`tj02L~F;aF2dWxs0RQu|ePFpEi z$+BKUKxRIaN8JXV(@LY3VXDW}3E?9HDW7E(k9>!52GI(KXqcQ0d=*1g-BLUp1%(-H z?|Y5!`p+eU5|B5XAi_+SS2l_Gd$^}}D`J+`xQ?NM?sU)%vB`0Yd=v_`IZHdtm!FeG zV`pg@HBnaHC`bEU*_yV_)fYR5Zm|T}34-mHf`F#E zVMZj6QBE+K97mAU)|i%n6Pd5sJ>rWVr5j`NNdS209B_I%dR0^u$qZNTMGXSrkG8>}B>r<=qGKobL@vR_Y2DM3VYYG5hd--daNIFG4WU#@%*WD`ibSw*iF4##~&5@5b znp*e)dQU|%ET)G9uisH93MYMOX6L{XhJQQc?8iXbaM?3b+AA4&>pQOO59Ttcd!(k% zRZ*rUNl+i47~{J-miyAZwgZxbo3PcWOWTkzaygJVJ>}ZE3(+B7rPzVJ>fN@TN1H@Y za-VXBPfHoiiGq98nmn^u$P2><&%*H=hnr+b9gs=MPS7LIAa`!u+#4@}TVvt?(wb~0 z&re`90rRnvt>X;zoy*+Oz1IEQ@`7d#w|}Vl~wy697B1(DTYJ0Hgg8 z?a;e@=?AEz(P}C-o@5{!XM3$jYS;k)240MVoGaw3%pMj9 z%Qdve78~+)%{*^8Uuh60DsH!Weez+~ZQ+4vp$7=$azYNOJi*Z;9eL z;pb76i}`SXug>EZ)&`(KOu)9u;=B%rSSt@2r>;^!sYtrgUf$7Dr8`>JM@9<0Sz#r7 za-5F9@VbVd;oz;;D@2nhTMA-%4`a6X`ggyrKW+!1&E-}!K3xxY@0THrBeHGoJCUZ*_)8p`T)gf^MLHk61uTaTYdW75Ib zKhQ`N#?~uskc?7FBwljb-46}VBMeAwoIW%nGn%hLz46(}sE4iRZm}F5h}i0KTh~t( zVkJo%;H4|O`#PtuQcc(u1smo+vReh8F45M=nX?#BZy?cHSyjwYFawU&mw)EeH4!|3 zz*>&4tt*c^U<986v-H2%U6lpp*5ZJm#Yao)2|_C9WjkYvSEy>8)HT*xra$JXkeaAx@P@ zE~+sB98|8x`}hQDh2O>&OCLYm!F!|b_Wv+tili!+Oa1y9#CO486*f1JYhW1UQH|U01OgefjJWj$QM6% z*PH>!ptcHm4$nM@_>s*+H_GlUO$B0uU!Z4LadpTK12_~-T)_Y^(~Jn=QU+`<8>jM# zie39Dd2ka%r=nVq3+)=EQ7Yg)gia_>$4f#e*egxSk3dTXuEAnxc%(d6wgeVFHy!e9 z0$yzQU<-$7=bhoA2H>>v=)Hx#d00`iLWWhG5w=1juHkE7(%FIx{219g6lSt@lkrR! z))rV_uTnvnMpZGb6pv~72wY<#ifhyq_m<{Ln0(T}4Z7>@Id#?W52&Jw5HM`Gfx4-H zMghIg$4Km^j^!oe7|4Z^D@H?$b;O@|ew0R{6v>|<%xNE0R@~wt;yDmasv!2q zhY$gTHTFz9PJIX=3?)*Zyck27lHh$q-$^5#*<0ua_v>)2SMGpGNX*4B`U2fO?D z(}!Rwv!j>o?^WD*4bSni5_l?m;p({VQ~ba^WsevYdSQ~~*41Kw3>4-><4C_UT)>ph z7f(wvlM`DQzX*G#z8<}fxB=3iBl(Q#Y7f=!Mts1tvw!HPD?}RM`(LBYPcSh0IeCHT z2RKn+G54*jB?uTRnR(X7bXuby8{XMa8*;&p?ZXvOn`i)O3Mtm>TkJ;}utW<}kX_)HG@7e;Np$j42JiF6;@#N*3HH~C4!8B@(GnyGfZzN!@v3nn2Jq|FXVu}IP zVdidH6wAzsfzZ%1jq)#IMd*1g~s3h2FJ_H_FXDdE-O6-19e{H4SJ6UtQ7r0~5p-s6 zP+#qYZ9}NYJIUL_S^yK3k#b%63ox+8RtET#8Nf%i8357rhAr> zKM_KYiJ{~urzU3;m$m3VZwErlWgsp&JgE~SW1LcDZBdm87!z@obJPi|dVX~uy@7Xr zFaT6?8cRKnB|BBryXAhapQ^(z>oL8Nr;VLPren1D>7|w@9{}7Lfn2cOgPz3r9dYeh zaZZs{@R^$c06i3hW0a&{b|E2JsXQL;7@=V_u$W6RG?IKHj3ah+JE0Iznw^3}0`e0Z z4=SHTqn$n9Ke@`x)I&`v@GPk;x*|bF5mGe3yZ?DBeogFHSS{DmM|f&s zcMN$D4F7(1d4jjN`82#LFrtDOKPF05d9?uoCpss1Y}>Ieux;@!7vAy$AbA{SenTl2 zdK6*?&iFy7c`r|16J9}Gw3p%dK{W=if~GbQ8I3fuxcq?~0&yl(zCR;HSeTYH#yWz9 ziw{giCX?EPncVHYdNG%K$=xTsuSLh(PBB>quu$&z0$|50FVmNS)wov`7yo6j{R(QL zU+`%>mB;)9xzXMXSW$VtR8U-zSAYW;SpZ2n042r-KM3SHe%(T#{`ud57BsHZ{t*1RM9ii)}w0pN-e%$$_^4l98ae`g9X4)+%G&?u47zyL`f>OS_WQfD_RzsoKH5; zNPo1jFV3Kv!h*8h85-%OH)_671iZpwZ?gP!@9SWtJ}nY&boO3Kz;QmHkK8GgXMH$N;vHXW&%Eo z0Vu}#6D!Z7WBm41tnG*kiOWrP;FHt6@ov|rC}XWW1z^7GQ6Dfg>cv_-pPrt_lc<0> ze9V7ogO_(qjXkI<+{WF*hQM5KeYg0Q;kX0d*{AxxUe zJ}3(O>E1}P0xb(Q<0lX)Nok?yTQ15Xt%mR3-rkuw`+Sbga0XzYCof9kIhJQnWezmsU(Vy^#=vp*&fVAm_6;87AA+5Mf4vFIlz$CI6Gg0Y>>tc0yl`-h1K9kw6y0H`XS zDFi(F6EQo+zm=cf;mOKwLN^CMwd{4Usl_w*NoNgO51>F6`vY&s1OS?Y4le*yjXf*f zF+qZ$s>=g#ysbn}J=+6ULn3jds=(4}DjU^Wi6)G2i}9!$#-q)0@^%N;xcHu|QME0M z_yd`uq=YyKo*7JV(uD5xC{=Iz&W*%S$+H&d1|pcYx`j(YzIh z+F0Tg&cq}TB!x+ov0wTx1Dkn|red3SFkbs`ldPRHnD?P9<4Vlw;KEWS6(pUY4&*iP z>XQhpvyE?;Z)vQ!N3teY?|F?^$5ij*OT>Z;E#bFFwVjUbi=V6L8>@4GQT<#z+6L6A zx?4xi-L@jHe_}x8Rb-M$hYvQ=HDC9K4zVgQLNF_&YED~hbtAA-ug-J^dSJEE3IGA= z<;)-{bd)P&0qEja#9fAb3duI7TDS@E#fcp~d-7E9X5{Yn-oDrz9zMIh0}r3*l>N;s zqQ$;?FhW7Cx0<~Fjr`3p9G@V*jyi)mqa~fP?iTG$<5TWsy9ei7zo))gQ*S?>O(rkO zIFJ{7R|pO`NIICnCp`zs(B(PVDXs{k zM`XHVE|Ta2cSs@8sy(H}cCv*6F0_My8C|wjTQtFxMa0l|I^$Dz63x?3veRPOpAl^o zA!Ws;&^Ag}dT!a%Iy+7kt_}m<{zMk8W+nvJ@h7yF1TPBM5P)rEG$Sh}0h{!Pg7VUN$nkM9w5wn7iAU1ad^YA7OzrQU2L3j49$_(3>oef9t#Fza^ zLD(*quhi{PD;PGh8_~GGg2hP3&O&n++|S_&Fw#a9lnjv$fQ5N{k?SJzc>qhsF61tD z_h#eXp&bwF(7SZhX8#Ii!$f@zyt)i--+$-^6+&M_BmbK?5G`wqJCQXgqTZ;S6^8}@VKMR z=nRemAKf#gPt^V`qj4p&9n7nujwBp0AXSy!Fj5$(_PGg$ldGGx{}yU~GH&JI?jS32B*7*o1)9WPyVJswE~7QOzC z+@rU!?F6&!%%KWdSzTyZ^ocqJTOCJ^P(BVJqJSBg{X;_q$p+{eRdtM{c6Qo{(E#|KmM+PD3v>&wbPj*j5c9@p>DIy zk9@F$(bPF))l>(-n4iwKrrpRtIP1-oCQJFAdRn9E%|wY}qf_oY>N(K)E>L3Fq9+3q zR2|cjf8@u_%}S85b1E6O+uH}qY&rP}+#1yYR+u?&F4|1QQzJ|Afj>v=sWPMjUa)nk=DBmlt)<8rl={7CLt97kb@whztE)Ye0{pvBA1s+zkwbe@> zJ{YY>56!J+?=tQkG}PqRB$p>qPrxdjX(w@{gRb^ozrhszU{jn5XF|?SQ+93h!NrL* zFh+g{69pxAI^W-FnF7aP0-k_L`GI-Ub`?tQ zPbov&=Ok`5Zvd7oIb<;f!EOR8q*tya!IO{Maijzyo{K6zvZljblp+!KaY}X5xC!8k zB?C?~c;g7*SFKg5l*sVo>A|d%GvZjxePgiMDO4T=Al(h&RlI8TEwoLLEbneak{HUWbYR@Y7)n zWy!Q_(B2u2P+0xa_j)M+p%0lXwTPHVzo-b7RjRxNp=WyjsrJcZy8NY{kC z)bhD`rJ=EPUnGEC{LC$GcFtm2g7*g-j=AKqFLLHlUXX|#WdHVUzaZWXUScO}%QM^Y z#k?Ns=br+fOZ#_vL6&`JoUad2LcxN_P8N+Z!9i>@7pl?@iep+o*6af!Us2=qf8=Fo z{jC|zcV}X6lQ{n<00fC04SNBgiW45ba-%8&jm4M|P@QK^n~Ylcel!F%`R8N(OzJR-wZU z6MH-YFTf~B35px{6ED$JlUfHYTae%FWQrd{zmHl1>4Uwu9SQE%yiwRl#p z!gv_d8UnS{?{UkJ!PNTW+r!aygnr2;?7oE6!rX-Y(YuMod@dt3HfQrxEI^-0;T8?- zgQq$!TRTnVi?i_EWq0X~aYzJU$Am;k#D~Ao-fJtj$dxR0Rsyv<&a$3_N>1TI(tW$28|9RgdzC-VrqTBkNE#586mvk%H+Q z&i7K>1s3WUfoH}l-S;@f0|Mz=Y8pz7Xm+h1oo&+wAobkHWgTDq1&PU?xlYd*L%&3r9nAp4%qb<_rG;%^Oa21zE@ee*UL17>z#XBHU|d&3#L04k z$koYq5VwTk-u#HK?mX#mkB(H)GGJk0qn-iS%e8g>prQSYnnryN=nm1N8*c{vUld)3 zUy@rNzd0BRf*WyxTDBuovvMI;HXJQ8H)iEb?dC{R5)gfxRJW-)%G6wFiJGP)XmM{t zb75}GTe+!34Ta}D_aETH=XuUK&-s04n8l564}UcIkRebZM)Rm}Trrl7>sG`vWB5zQ zI2YgVhUQI@oF3|i(X#k6U<97Aqq?Xx|FHeE&64V8Zp$^{y*=hP^#$xS6qIh(=t89#d#RH z4-sD0N=p;PEGgtcD`YxT`s;Jt={BBHJo>u*ayf?XPg>-nA-2` zngoLZVGA?|QKpc^c<@dNgxB}NbFTiQ>~ll&O_Cw1+sAclWG1!Ti%RM_Y9+o?7lRB% z@Sr+(#F&x4!{W>*VpEwK#q8W#$6Q|E0HLuiW44P}oH(fs@?R?{3m826a01E#dqG)= zQZ(qZdZC)x2+0IK-4aKI-rLENp97{I*+$!?!#WnNfsd+IMmOSgZ1tt;0(Cw*&`k8Z zs%>@CNU{$VYQDp{mj6i=w{P!}U|GhKw*-&LF-ip8B-WvDn#53xGKE+?s=MAwP^aiv z$3;usC{o4{^!A*(0F=VnG`ivva&P%gr(fK-?FgZfj?o|Vy>RIr+g|-%43fsu_FR-c zRWM_U0Yiizp-Jk-HQ*h!Q9B7$V}y6CGh9vV9i|rBYn=eucUbK#KuB&_x+1HGIzv7t zrBYwf1y_!=EL1(&cix^=Wj#AJ^(6=o(EqI{!jDiZy8AUqkw zHy1d?zEsLwA@Dmze6*};g7)(J7w*zCrLj_*{EnmA3BgthUDb9g&frt{i+*b(FE`n$L}z zDepv_Zu^hKIUTuQIgnA6nKc_P!yOhasiE?7tp zW-t!JH8YHtI#FxS(MJCLDm!UUt~i7=$v@qqLFtj)i1au)fWjL zw6VpYcc40)#O<5d0Tcbn@DWx(8s$Vc>!@al2TBWa&-l`)c(oJVkkxye3S055#n$}9 z|J(N%KkRXB5=SN%2t3TXWE@W6U_msE7dUGZ{#Y&Ux``;WkD~YNljIt#p>D~G-&N(d z2jG{WDs0|}<+^TX`8WB5c!L3oec<<~9cp#E_CUPsY>{9?5QtRHrxGd(N4x2FSlEyT z2$DhBDir^(w97~SBHeiorl#@Z!1H%QG~IUrloax7Yo^!B!@oONo6yMuKepwi9I73O z4}Aw@kMkprJgBBmI&QP&JH1>xb$O9Wn>TjT*X_Bd+~RUl&2FYH;TCv5HAvn9NYe~$ z$@uX_Ug=yQLef$zAIZQiZWWE?z^qPqL~IOsj?QdKDy*FpZhua?=BZLB`*8t;R4ed{ zCFHkri;6C132)>?)jwPvz?9_W^VJyF?>qAruekH;E#%3`v_aN$rs|d+*!Zc!2dxXZz758`-aP`Lg&P z4C^e?VX}_A1f38j#{jR;Zx>Gqw6 z@hx3d{bF0^{FI<@7&!_NpR`7R0MZ`Gy@m;!{5-k$BgOMXt&z@Q!7=8PiPpYF+3|k& zO$6t0o4wm^Jpk@=VMHFo9?r1XdrSZKw0sYTcEjf|Oa;pS?hC#-O}|ks#c#ic@G=qB zhPh!o<05A%2l1a(=crxDR9l=ohj{Y@&P5f|qP!xLi`p;u0UEQcY>*MWU7or|nlG2v zvJ;7aO(kAu{N&+Hp~YdGtVP4~wy{jOrbQ8QprgWmk|- z*INaS`z}|}eHXHYM$TaY!*dc4^nM_%46MzQ%gf7@H$13DG}3aj1GHx187d^EO~ig- zsFBKPgR5&nRs38i%4;wB?7hmN0Tpvw+<+f0Y)wam+@LPSmviN4GcW0sfMB4`dOX*U zbwybHQGZ=4wwtjL*3Bxh#cv5J`<_G#yIuCH4vUJvbYnh)Jw;D4i^z8v3hqw5I85we5qUXv8`ybjAG)Um* zD-=nEYkS)HcK@))v#XYxh1GCrzMsgSK4-!=C~#UZ50}OD6K@T&oE>f< z@HNI6%T-G|5e`&Rw#zsMZC7<~92f72w$WU^*#5<{9n5;zh%oMOW8$oNZ3$H{u3?sq z<--MJfXXBRb&WTudEr2jtg?j_yBdlGX`#0B2k7}#^9JX}7uJa%X7+uj&gO(!TUfcQ zkP2$)L9a$vC)g*dtoZoJRabn|!c6$7kMXaiXa10!F=sj3-a{XV=!^UbPj8g6g{p&@ zIivco#EBz>zp39C1RLA_X-kRov_Fed#p+@pALFjN)ZR40ZYBM%CF(;4`fzG%Lhx2k z<7Fz%TTdbV-S#8pw6Yb2px&Qqm(@>3h}Znrgj03SKnLWD@Ho)qw-<90#mV2lL2usN zDb^%-jH{U#JMnuBM}nr<|Gbnh}&U>8pLa%?s+b-IE!|OlOjd;2k2VtLBbnQQbfv~ZL3`(ngvwqVGOEL zlH9hcdp0h)4}H)+9(myFx0)Z3zqV2iGFG#rHakl`j&BR>5^wk4W7Y;r&)9ZXw_d3x zgVE=dX>s0A>BUg=NM)4vj{9BK<2ntcyf~o2w%v)@SBJ@{dI)Nq$ricVUd$_(#7v4` z3w(FGhI4%`I8aYJlbqXEiEHPI`nAw5>!F4^8fuI8t5g62>z`de;z4+Zwa6MepF_P8 zvQ{?>Y%Ng{G*UtMi(w;~ib{8)aHMl@?yNo!a)k zt+6Z=Iot!u`E@+IGyE+-4gM&RaZwvoooD4zMPV$tcFpInwR16=5qW%ex6%43_NgjX zx24`4(Dg*NQpJo^l1n>Mcq7kUw(2{%|3gBr}^Kky@i)}k3`va!cckz}>%BTWRUnZ|_dXcDtT zbv<$WHUM2#ef)ApKq^fx8Cl@YmYM{cEF7Up@;*UJ{9vVv@t&V`ws5XV!52dbe%?KQ;8zYOe5R8PH@obBZw z^UASVx@uj}m~_0jG#6eCt$d=Wivpt7ULV^=<1RJ73e2rWr{Oi6*!@pmY!B{EAAP2r z0(SZC+qHHPZJ2ptd8GG4Nk#WDx~tCYbUH<$*Pp4#?Ntz3up2M6lJft4E$I*(JcJGh z2Td^x&zTfN4BCtYx6p3rViI_eR0E$gj0<|HI+*fbk?e_B5#TpZA*}_d^|kr8`hrVv z5eE08t%2A%Hc2(w(MGJ=pK;*Cf2rLOYXS6FY93JiMXx)345`39##fT<)>2ipv#5ui zY8Z+6OHYMHyEB2b+e4tvw8Gc#Rpi*?$SUnmat!jV)=z=*Y`<6E0J4}0tEW;l3T^gk zzb51}SsRZLB$cuS-RL1kF*c9M=&yTdwnMXfO+Ns{VNal@o}|d1_yv7oXb5%OCFTG_ zMR4JDz_bMS{lukr|}W6xezrDl+^78!*`Kr@uvu9HrA0ZY=Vy(NEg zpT<*&FuCnblLhq?%cZlBdqOXsAt0_htA^5UJ8}Xx%%b>s^azeFH0=!4Fk81VeJp)C zt~;Kd#r5c4I$9s}1-x!h{hcwiB|jb%y-ODYm0a2Hf`yaj4=|BaLh6aootOP`_n zEP08hAZkYRBGj2=LmjkX)s;h_x|$q>aHN!(hWuJLt&)d z>DOY=BT&S&*l0wJHd3+MQVbZsqM2QN`-=zn4v)|XUgDEEiaERYd?;w(oB79UjEe2( zMo@DicVV5GnB{~sCn^ha6SPUVs+RBpDqL9k*vQ&xX|L}Ljn~y&XtjzyZkrjF=JZCw za?Wk_XH{(#p1NM3)K-z!ni7Ltn^l8z)fq_K_r7%pWt!S4jl`L zJ^hZA!N%VJfN2c5PmLx&8;uXqM!#^R95h23#SBp!Qtgg|rTIH^R&HCqo|noAO3p}* zanTF5%Fhb!bL=~K1mJk!7O1NG9P|~`lr8aS;zUARgsB(M!yJ~!MKt_Gdu@vniyH&z z*Gq8JTXa+)N4Ce6A-$a&M_z%J^nk-%7`XP?MkxNRs)Q z(&46L&tY@H=uMb&Y>q>%j!6aS@um`Al(zcsowLpbL&nKVv^FtEPpdW4)LX!fsdvsk zFywcfASh@D-4X@?m(QUbeqD+0OA9s)q#Q<^p?%W_EF901-5=ZY5>3^S+%3mC;eWqw zO?UNeIt*H7F;YFw;_vaaMMdNAe$fcf)sFHtG;~}^OXPf6EFYR={uy$w*8+o*?8#@j zX@W^&|0#1tONP(cdoS%O`0TZ`AH=^_gLu`q6tIKaLx^tCFE^k}M>L}Q3S~&UK*qR! zY_rzf(b+KJH;+Exui^ceu~PE{`(*1-0_nhWRZSJbXgTTZfIFUTAa zhRtAws6|wI^Fig#K6xsIaWfzbqHZwesDrg|#DzcSaKGRjI)_pGD2e;p;JHwzqRA2z zL894=LHb<%F0$BhT_H+afu;6T@3S@18lDk@LHfgrkxx)R!@MQrx9ZxN_<%EatPBGb z7Ka3Z0#y#&IG&8SbrBR&5k4n4KiAAJ_`sNWjz2V42x#B@dNZ8uu7Dl)ZIhtX3lBNA zIQG%eqhiUU(j4d`t>W0jHBBrmD|o9P7tZyF^6J=kg&oyHC}8ERXF>-DRxx-9I;K3}xzIf$@#LZu+3&7SM!Pd z?6QWm6#H47>$+EQ2ak}CHSUuo67P>6p%3t(iX5eRvEzt*m!M~TGMaW?euQc##OVN+ z33X#%ih6$enwyk43{)JA_-+kwrwA1@0avb&{_u_C{gyQJU4s>oaK;_LmY{hLzzLM&{*BDRgDvF~5P z-r9XN!#{&9?b~|*?MlkAu{H4H9>!nSVL6At(n68xmraamV#NGCh^6K{n%qA+chq_% zvg(lLc;?a68U!QQdN@{n#)oO4>xTN3)f%e)zaoH}Vd;p)F#7wbW7~qI%r=@wUm&*T zZ&6hf9KD%O@R_id9n@lJ+hY2ITU3C&VwP;byEx$db9g%AuN|`Wa|=b|G0u{S@dg$IwkSms z#_+jMEaq}OmMQ2T*~<8Df-m#4)!ZN7nZ^a+vR~+e_G528s2$!WcD!X76D<##{Hb;u z)QdSCyBMk`a7~>RQ(?0pW zS@7rgs)uuzM9l*U&qA$RN2}`C@6M?mvQT>Y-Z9u}au_=lE%_n}c*EoJ*ins{b+VDS zOJV7*Av1NHW>JDRQgDxO9u@64uKsy7wm$i9C3*l2>d#)W+K@4Tb25pebrMRa#!rII zGh|oRaFSz^p(t|c>V{BIx!#x7}#O4Q3Uzjw|XGEHK4h#@Fp5gLxN zT2+?+aUz2vGO%;c4tzutCTtvC8Ybc9eTTA|Owm)o> zw`1wBvp~>mPD`Z*jH6DhHfHJVrmgJ73vG5ar2GV3(k0Z`)4?LWjjPpg0Adt_E);$@ z5eUn*7B*A7EFsh;w3r$LuzrHo<9p8;E3A(UL-iO{>SZ9Cn*$BaR57`}M(((a9XPn_ zM`w^m4e^>_@SXpE1Q zl}6_a_q~LPBF_R)zBAfsaWd8|A_|q7@nvFGc0lt3qngG$?h$32w(h7UJ~?j5p2ZWG zSZ9T$U3S^LCSu?IUJ_0WI42cP~#)7;eLgwn(}plb@BcB2I5)cMfvL0fqgA)5ja4(m;>e@L z>5_eYV7BT9%B?d-tRpvF;OJgzvUX zFIa!v8qtLWXPGMoz4gu%;GaqSH+QAwG}#R*9UF!-Q|t9;31fLZoV9SfeoKc3_WOD1 zGo{RoKVK&mI7RNr14s2?mFtSivb5e_^Kv^f#hb4tm?&;h2jj_5Hl`;(s2jKO#HAp} z!9RUhAIWdIx!GDndm^YajBz02r`pM%>7lln)*xQ<>Mm^dpReVO=L@!zZCopBP0pcunH0v2l`wW$GGs9SO|a%DM(X_~To>ytg2(kRF870iB)efQL>HCmMp zhA6z(Sa!-(8u_qP1)XNXbG%`!qL>N<1?urUoyS_wV6RE8!O=$hX3dAO2@^oY8rwec z1{)bV+qmc(*f{$ITG6&Q73aTLbthnv(D?E6*(tGdg{{zjn*-LuC_>g$nnGxN^81^X zf%0?mIN)bZj<}%8SWR{pP#~@=CAcAsOJZ~`cHP zd>vx^wWSB~%4!ofB>?nHs4wn-h#cy6F-u}3USX#baFs%wHBN{nle;~2)T{> z9}1MGyYxi}sRErFDi=_1shi1E;i;XV3r}}sjv!nptJ&n|aPvJM0p94JnRqiGjwzub(0%CQzHruPBSQHE{*!G;5H?J3DoDtQ@-MU z&4Lav`wo-q^ZoHBGg(CM3Dv_YVuX={`5;U5-SiiQMuTQWP$}DP#$|roChPR7iT>|| zI78LsC=10VvN$7J@Ra<@49_Rse&S;S+x&=wa41=v9S0R|s11U;a+y=SR37QU4*>Ii z5jMgW$S>ONqhj9+qQ#F^9i{lJd1Q*oWCw^hH=w*vZ(^*C4NB=wr_^eNIepn~PkOSP zzx?Y`%wdWXs>8>7i?nEIXLSHtI~L^;|u7Z=c|%o2FfkYmt*+~L*23< z_SV)SKjw-rga2ij!{Ju(4^P`>2GePp#*8bPp#PoSJ?7L(YT)}`7rT=Bd~BfR;e}jt z=m$$f=R*r!0NnY0=>Oc}2EX@^_y5~+J)0d&3rL3bYN}KdK5VU69GYgF8tI+-Rmma5 zE=3q9&6a=~Q0&^-4=-BAz%SA>s9A;D-2XOd--$Qagq95x@jqdf^0T1cth`?A4_v4@ zn=rCjl)k{3UIjjs=3Y52V6dVdV<9Hdf29Ho(B?qg>JeaSFXDrGvz>=5d4R*V1l_ws z3dYpZ!osT%H3sT-Ejf{%ty7=o0C1@Y=YrXbrmU``b!zzL{-tBH+$^WX(u~qTNR}z) z5X*Ncr;EujYkZdnwNV!e&#I@`KB8!j#~M`W)sF`GNiBW6*f{t&Fp3$Q719Hj3`89nCV4K9#A7B64~fhNQ@$ zAH(8v>aQe$v(Kh_d&%56g4dX{_-$QX#n)A7r2_9L^T9PO)DxJ}axIo-kyM+eqqRAcL+URk@Zz(%=2>NFpyVW@-*kEN(E5gFY+rohBtPU`TgHMs-A6 zD%*Zr>uVFE`pDf}gqgvrLMSV|Uxl|qs#w3oi9g1F(|k_`wTPJpd!ky7#P$R7JX@vW zHvg>AW{L&w`wX|0q8_8tC@^o?iW}~_zT)~G1N%TY3k+(p4JFhAlQwh23Z>Qcq41Q+yR8E z;PTmznimH`ZHf^19$ReyQzt%8SW6HZom3x@ociMgIGpD~f!0NV&Dvhnc=6HRp>D<* zmHq{rYC=hYTZF$AoOKFeHE8>Y(()%sKET}l?e@P*qz4J?STRdoz>e_HUs|}vc+g#D3~(E7@47R$obTr(M$~ijO4PrI$GuW*+&fSzUOephASR?XNCr1jdMP2 zg>j5&l$_AyFlmb87DFRkiTD}8x{v(b;O)_#>O@h3!*e)}a?tsIN{Kq!`8Oxh=4=hq zgr`?MaiIly{^>cPka@h?euhENRSKqKM$`HAvZLc${}6M?8J;ygLVfK;w8MG)@P%`# z8k7iHW%2qz$Jc4z5`kHr-;R!Yc6J+>Lc4uE$+QcVFu|>Qr;@O@UKmkgRxO z{Zyi(358JM*CzrWZ?9XmHo@=GM?ceqYuBhT1R3Y>H#H7j%S|G;C?9vbg0jeKD2AYG zN&6Px^rQ*_T9c!+!YT~B_oXc90BAHlW#`4G?)-C6Gw$W<0HP70oY3ohDb$t~P8(9t z(ZyLG=!tUEJTW8*Y!427x^Lcu_4mmqWmMf z8`eR^$bg6`%5^>P4*#OwX`J|Gywtm)=-zi=M?UILs^f4WkGq2kV+*`G$b6adu`r<= zR*&`R`p?U$R^szNR6bJ&u>&_ZJez$iNYr`(eNHATSact=FfgX81{c5R*8&PEca~lf zdbZwzLfCdyHSfQS7*DtL3OTb-*Q#U(*!10uQ#o0oH`F07A5W;v=`0SgB87P@ivLTr zbCgTLX=RfrlL9we)Q~MT`+SQ1erf%Wved-L3sncm-vX#{{* zyriBUeaz*?##ZxU%3rh2k3K(4(9c$G@$^`HFBL6b>{v6$SO2QKUeCNaYvW7Q?jv=$ z*iO5T__wdp?IhITPZMn!=hUxb{kqs)@R1?WvifpN+BE%k2JhsoKJSGC_IPYPd#?6+ z!(1zqHb|fSpnZ^ae@BPzZbE16nf!k4m@OKX6{!#9gl$*sqP3=w@@D5EhCk2~802$? zMC;jRG^l<*{Svij5w*d3pDWJJ?GsN=3m3eQ%ZyZI5DUMPnx^*_)52&hQ?*^NzeuzX znesZ#xfol6z`h%3xBzYk6YsiAy?U5wY;^i>+Q^@~Ia7MI;^XZ8i%!r4OmMJ0Da>&( zGwwdzPvP9u(w@ogAshCAl^K=XxR}%gAqU%+BX%yIpHc86+2C^Z2<2Ai;XI76Ud6&j zM413$Ej$(=`Yb3p3}+1{#e?bMd{FXSzH3bSrE39UrgkGKXc0wClXeOYuF+I(;T#jQ znEvUlVJ@nfcy>F2l%16v@L+C*;(>6m33HL$>p@6QpneT8F#r6o#e_Z5y>WN{wW+CAo2uZdy8~WV zTk0KC>^nSSoq`Me4vvPx9+ftN^>Et$*cJ&RHHIIdKW}Oxlk`{?l@R0(?4Ged_t0yz znU3Vctzqeus*y56lC{%5Pp{^Cif8#b7pjElW`v<+8BA!gJCh=AoioV)^R*29AuHB0 zVVSw?ouAI$aN$yNlz}f+2-{>scxTc=>*`7~T?%mWsGDuvS4%$C67!~0@Wm5ZiRO|w z`Ccnzu*?ej)c{X!$RK>a+uZ1LqcNeuK~60lx7y#^#8Vpz)!AX(rt-kf*~6}?uhVV& zxOEHLu9gV2O=vHsm9p(B9aWdzRh7>5Ic5e4x~y@Wsfx_&L%Kaf!?^(Jlm>_$!9E|v zmq5ev36>$57`5q{Y9?yKvOg=IL?xux&{4JwHRs_q%ZZ!SxGCzDJyq@06n*~D{$9mh zIkqRQF?IQdmjG6h?oeQ$jNMbvc3G{3e)uZZ>kK3M&(B}!wKr_FYVj+hI}&Q~+i5@a zk3j%pNs`Aboz<7|wJg--vWbdu)K0b=Ar70q{@Ez4tF}&!xQW-ua{ArQwzpA9l+6Nf z5*T+j1j#?rQlOupuNji|ow%PSkq5zm>Jz+!gM@@e=`f#I4o{|lNA-J$;=MA&=O;@K z0}(E>hc?WEf+n}46?uwhf+Oiy_vnDp^3;3!>m|t@mio4SnxvHU*Xpx;3Z&SS#wV1I zUKJcaTJ_P$s+uO5KA-zrI`kp?9PM1l46xbVWrfOb+nt6Hzt zbOdt~G+~`9$D7M!8Qj9uRLd~m>jZvQXsi(RfyzWuD;g0O`%Z;LQ&8ZHcUg_tF4$*= zx=YM3KyN2zY3a^buxfRun$jvCPQ6sMykW|7;i1-;_ZKG*cyuMaMQJuhJm+c?^67r)s#soNv3dLT-!MYp_no}9SOz_zQcuk8 zdV`w+S_J*DP1eiS2Y97`<`@ckx_r*yk4AQDcxk7693RI7*Q{>hwXT7KeU9`iexaxn z_)DD&;@NM1G!pNtvhMN-lt&%ZktC?6bU5?;k5i(V<>G+ikq%%SMFc@_6Ex2dJk>_B z!Wdq75Xcd>lihvJBxJjW%>8YOELG|UHA5IjQw(nuprqDs8)^$&T`#oDF50u4FNF{@ z5Dx4EA&$j&0b`UF8=6e<+UI!Ab54`5=(0mriOMCR%AUMVH^;scu|zT=spK)f zZky7%QGZfq;6_W}RTW&n{=a;X@K7Pl<=$-qk4~t=Ph#GY#QxQ89&D|BWb#1<#%|s>{G8&1gzo z*o6R8@}c~ST;(hI)9HeW89O?HKo!HG zV;(5r_~R%PLm2@ycIJqf$3kAS7=dWVdAy#1{c^6izg6$3Sj+{}qyB!wx#a|{0Z6p0 z%Hxx10(VMe*V9GQF9D*d`9F`FfV={f3~P^9I%{{;HcEHXGo_^bszpM*tUTP7LVT&S zdi3@)U8wJvg>O>##dOMha%MKFJjLIsv8lv2;!Sg&F9UJ9C5>3(+sCj362o|6$v305 z*B125BWi}OA)tlktY}c%g6U3ALG0bpjM|I~nxTDCJ!?vMEv6%ORN3!S7QEC$WD;xa zybY9+@Lj@<80R;c`Q)1>t!pt7V)%Q+U4!Hgs)V*5jSGn?jt28Z2ePM07|He?8y@bZc2|}w8 zUsoFfVL$mf)!qjv27qMsP>e0+KB`iHRCl!@=J!rENYaIoykBi&e-XdBz)BSKD{sJru(chRBxsa3)35!N|tvKoO^EY`Lb@%36QN1C@dASKRA# z0>)sJldoD*M%Mu&^;u>1@KsEXJFM3!T9Ehdwzts4VEUSiweF`Z z^ovu)0yW3y@bvmiUDVWAG2iG>l8Z_1l4XV@YYUABsFBYovQnnnM(;wM6F66oK9*i< z)SCQ9=d+8-Xx_0Jc2gC;#VxRr@DW8`q^Sf#-5)J2)YwQWU^WrPZ88%dhVA8XA_tCU z+*AFH_Cf67&Tv2&6D?8EJU4Qj5Gdq(o_Scs4{0dsLfQJ85QRE>9>1e6%NDlf1T9Yt zvOY~4R2_HU4~GOS-6>hhlmUpf6I#WNb2p?1$`WwItS}H9#61+|A~*o~r(ax?55$G4 zD_ARZ`VUj6(D_k6ZCb}|;|_Fi@)dU`Z@3BOES6@&yB41^R5njwKLG3`{DaGj16BNh zDfZuRqLMp|a^hQyJl+l|k28&R7G3tRfpU~v%F1^QQq_@Pv;O=f$Nr-YQp;R|zgwZ7 zcEa?L@}i_CNTZ1&4D_}m+6wikGqM+YWrm61Y||Zi4-0G)@FUOR)38aWE7rVd$vOvT z#CaDy=sLvN*I`+wcyk7lfBQocS`np(cLBhk^XU`H*F}PnD}bCY#Gv=0{WONPqG4Gk zx}WZI;*0y6FYVD2S4ajQmie_#L|P z_5ITMzZUPKE(w?>SjNK41Z*N~wFIi&RN_7l0FhVmT`yHffKiYl8{8u0sbNEH#04uB zW0Z{-=~sdM<18QGMBsKPhFujlM?Z$a*9mW1_jI^@i6S()x5#}#Bdz;!1s}vGx+HD1 zBWi6b&`c|<4~TvHaohE4stL}O{nC9$!9Ac?9|BqgwmstC$${ce|HkYCts<;?+K|c; ztJ%rJX+ioUU}TEd>O)PO7+?;m&!_;G%yZBc^&;KQhkC5IFk5qCvePYn^b;xpT9$RP z57$6cFM9_JbPjbpPk6zYb^~EdU2Yr@ZdFk;>7|DnS}hjq<^FA+hxytf>2POn!S)@L zq)s8p%4m{cVZ?7aLN)?b2iWdni!=jxjl+|V(mrUbSCm!-=kp&Mc)jy*XDsjt{$AFPGBog~S4m5#+o-c< z8y3DyQz-{6S`*H6L`ryX6Dz)hOKWcP$Qbi87!HZS*RPd})3%Gmz^ zNS?9|$U3fTXJ2GJUbU9IJtEs{Xu8i)r|;Cp1Pn|oykl=Jo&m_7-er%lElc7gC`IIu zS1Eq5;>bT1Fu1|z=V@e&FPpOOmZn zLszn*zpz1}KXz}V20tTDrc!;+cu;)sXuo}|+k$AHO#z&h6IH1*^;tJfQ8sAGzV#-$ z?hHOBoYyAKh`-`1-$`S4KUeXYj?n@3kj$^2zvE|~bU6{P#*XvW$rUe*1uS`9u` z6&I?Lx18I~`<2&?r+wjmF24Og;$2Lu^(SD{A}~(LYisbydpakUsIIu9YLrbvfrBv% ziCxRY#!A8#W>`k9>suDbky~aV1@2I)UH`RMe%Z)z;F7yeAsGIwm;t8>%>7~?W$7LzdGuSPK=ku;XXB*Bpzn5=7&)USO^(@tJ z>x|n~*neA|Ga#x^5Ia6SjY)g?cy73W6Wck{?-=+{KPZQ@%(~^C_1PS~#*DEa%wIc- zqD*jG(jL`&tf%<9SW^pU%Eo%k6_4a}Lie}>2(4)C!oTHlMvgMT2&D6@=#0<7VG>`* zfbdY`MM}O&D5Ij;*UBbe$PghNk?o;yIXLQc+NDH(30;&LD@Ya;8^RbH7V-r+g zz)uZY;7v>6P3H#^Ul9R)GnX3kGd{+jb#6u#9QlzbdP`h*p}a-;94;NDorV-7rz|k4 zgBzxj<df&uTMSH1+g5dwynk_ValQrq8$fY;vG%%Kez#4uI)oY> zWEHEJd`9gZ;-5nS@FbE)EDeBP>m#%6tyUV6yS`l8Dex4Dh?yCohNdbQAkM6iK|!6# zk>fUS6^6jy5^YSbp2A#Tj^P~Aoa%DS3)`@=x%uvA)sgyrZ}b)VZ>b?VY4^_>psM#H z8%B_RYLpn+VS_(*-+FFP-wxmWop2*2Wl9=-q31MX>IgKJ4w8mOng0W!K%qBObmd(U z(M%JBEmn5^<~Otocskk)=ZSeOVAv&q8hkH=>8*_q?ji*tdzU!$e-C3gQM70oh|-@*Xs7__j}xXcz)V*q)Kb@0fE#oI`BAQlMRaPorChQ|WZYJ-C z2>W!%hdU%Kq`z$3x)!$&>e}`Sw`c}j0C5ADVW>Yol8%8y==ck;pyjq~I6G=76iF0r z(FgHI(ZEGWIHWX=yL>Xf$IQTEH&O@uqs<2A;H)!AN0G^kL~h~;!260{v`-z0l!=o^ z_!ww{Uz=mS^9%!U)M!r>Zmq{>G*t!pmerK9^2>I=F{}18N|L_hLpB)^mCd-M=GQA~ zGdWAXb3<<`9b%U**Xq9Vjl(in9P05ZRyB1!uSk!$YaL!)Gyw4=K@~S-0#!Tkw6@ON z2qKpLN{&y~%>S$`*nDlcP)9Awmzzj^R@Lg`s{h>J4~6FaP-VS}$N;JIypiwU2tKs1 zumyTTu6^9R-2C}c0K4m-Sn`{Xu+8t4B>xb)N%yY}vfPdnvos5huu-^;oArOU_f|D2 zpsO~PK_E5hfCqny@Y-qrtGyXvwr9TkV#WFIYBqWO56rL3zEGQ&%H;JP#QY#B6nOf0 zWcIcekeuP_3zovT)v7~cDA^uD#!IDy{^TaGiYp(UL)S9GSOE-VNvM^wUv`(;L~5vY zKB=s>hlX(KjIv=1co|dJZbzZbPD}mF2}LV26|Yhqd}mTSEFBZ+LG03v0twT#E(fF5 zMk9LX8-1cBj5ot|z)!OwetBwejf0|MvSG2R^nR6_C2B3X_ReWf^^YEp*j_tt$) z<0$ZuWmPYOrfBG>imVGo8bt~ab`kstMjpKComrEq#+Uq-g~#ML2-^ZnX9NPROr479 z2Nw7|A$s+7Wr5>}6e+K*XzH3Zik{uvl{MIoo+$2Rq(oqYy!xsRs|TOBxNw2fZBFVP z`|n9FUZfnaNPPxGVd50G!yJCg3ACrj&kdj&@?d;|_Gi_%DBZrGYz!jK5~PBX3U%$Y z|4Y#nS~9IDFG&*vvSnIOSODdaqQmJw1y3b#hvarbxu@Q_eL4Rq!;e@#wGE9(L19C< zsbXs_6rp_A<>3`dsiekSh>LZ-VGG-yF+^jUh6iOp>YW`2GLTH$M<^_*=Zk-im9pDv z7!stRtDtVJ^4TTl^q5>^QO>RVc*E2NM^18UX^Y?ntvB=X_r&#J@3O-#LyXRgeHkFyZ%7Eg#nV|x%~K&+tMWG(oK zzOCxx4xib6pcl&yvA01u?UWMB^n*md(H^V25{V@kW>12?%HvxA!n3{3Kcn1M>>W(H znP1@(-03~`Xt)4A{MYDCrg=eU!z3wB7^?MWri8KVD<6R>A%<#=n(YkAVrvqa2TQX3 z*Z}w#6rUZ<6cbjq)Gu9Ihq{!a?klIlp4f?n*61Ueg}nARBU-AM`r!IjY+y5m1ag8? z(t6Bi->4%fwG>R6IlJoy1hTn2n_etdb}q`Z;Q>`beXY~4+u|`%yHa2eo^R{eH4$|T zcnH=WHIza19+ZQ|We~^3rH`erc*x!oXL@Y$x2nM;-|uvaz+OYwuJ0IX1BKfPa!Vne zcanzj;CUxqfbr4TA$U0PLB9XqKGJPhgFWT>0?N_b$i`IZ(#RJ~GsM!<=T#SdK4)*z zElCOsD8fEd&0?xi2-+${afYqBynivgIKLx&hQfIfe3SMRR`0RNF3g(1C&WwuCAO7p zfzgx3H(e-xEjhJ~3dTjO&fYlDPj!A&pH`eE8f(AyJ0ZX9U|&+vt|eM{WY2#l&h@ki za+Ks&dL!}HW^`vHyX$8lc)3;A(>WcGe4X^!ZhrEWpoMV_f~}U&L&`+m)(Y<&L z)2D*sS6+md=;$NoP&?sMNBB2o)dxPQ(xnRC;__feUFCb_a(WJeim>y}GSRSe&ty}3 zkxrN0SdXs_Jy*Zc?x^jY-smAqgJK^xCNBoiWD}_(*gjDk(B-KjB3HIPMQs>xKW0H= z6|b7tU6Yd9YkXw%QSC`N_xX-JvU5SF^Mp=GP z@_r=YtGaE)8#Ajh_Qo^KzhR4^YNo@F`~sIOr{st1FMPf7=1JW$h0ZcSzaoqM23gHF zw#QFYtk%+r)6(oIM#Jvj0uyOF5)ARz8>ahMpVE(yWt%D%Y8X0A85ODsCvI`Kt!}0Z z@vCBD{>4&M{g$|sNNn#rLWT98Ls{bd0;gzVWDsWgx9ZS#IrOyAS=5+^iR5uFMf z?lV3vTSB*@Rn<|1&eJsJ*jlH{T554KWn6hVn=`hlB1JF;Xj<#Ma2?0Wh8+q~CuI-S zKv_U-aWlc6ISDS7BV>N~*8CrYcd^bx8B`FQwL(h0I5H<<8rQJx_vD2?_ztkJ_;ad> z{OFoTF%Z-R(p!X1*9r{r$BR!4WwYdGJQn~F${UM>2zkT{>k(3|HkMHst znO?mp`Uy88H{C1=DW*rHGs#B^iaGo^m_Kk7!F)zbP3laRMZHE2Vt5aev&}FUqp0q< zv0_vAMoWsE?aFL~`RkNhYx9BjFPv`Y2{h?3ma-u+JsZl9$}@tlFVP4|XKKuO;&!Ff zIzf>5atLn!3eIH{lU|3+yf(urUo#vdyXg;9*Et?(JR_(g1L&cAD?t==F=mCtINJB( zk5_IcD(ts)&E)jOsym#J519&PsLdBod4>lRza0{N>3!t{9T3N!dYzBW0$6Drd(*2?y?JMfy`15pktYw0eFw(c4#A`Y)FYR?C{WYpzCYMg{A|$aZH-Q?8D=K)( z^wxs}NjA3&oxG^H$0q&z`RqRaOzK=$@==PrFwu)I^!lf*cbc(rH{H)}BT*A)hO<(Q zL6Df?eT7;2HRgm$ndZ2jipQ3yRBTEIl@39#SdVUW_)fEO{bsDiyFXtNoKw-j?XS@r zUW9u4QLKr0&bYD6UCfmPbALaO7O*PzA)l}qNQueX zlpfcS1*Hm&95UP;xW#*)WDIJe(5sHDwAU{JI>}@g>uyiVk>GigcPvL7Ss49mK*w3~ zuEwid2tLAjn$e;(7Nu476VBM^&dBcy-D=#&Kge?X zVUT$vL7Nwkk&0@EE=w5ffu{2vbug^~5{8hZ3H$k#R*bfaf4Jra=|(bOE+&>qa7I9b z26K-s{<`^pA^_w{4Cq_fxBMdNy~VLNS+<{d4W?5rb7OOJ2(lcFy9nmT`<`|Uav(YePn-T(jpQ;cC_&TCE^6(uYo-~H^o{z`h}v@d=x-FvUE0*6t2BVJ_IZKKm%)`d9pInvO<9$MoiDVQK6+5zoi+JKZP_X1Wy;a^WAA2gQg!JezFM&twVaca~Teos{?p_4y)D~(!hp{M14=6w#ZBn_XxvCSEJ2e;l z?Dy(4)SFIn^CX;(XmG`E_bV%o{yCNu?=`^C*s1rEG13X7V|l?_*L~xQ-kNJkFmkYeSNjCJQ<*c|9<*go1IX z#xDuL6O$5)(@G3i0{6c9sA5F;+%b1%ncYc7M?_U)eJ5PIQ^? z?ey~rZN)y!F#tZbhO8wPQY#{Q?%-l8^^enw_7D?G?H}jjnuq{CNFSW6KZyI=njNg) z)PMlSbp>Lpfr4d0Z>dLz1xUH&Nz-Q+&1J(;tEq2tJB$J5B-!ob@BcFXK%QOk-9AuE zLT(-BDWK3+@G?R3?~y^L2;qriKT;(V?^Sxt0i4Di0UznJZ#!eC7N^Oby~7}ss6Bfg z_jOxoE^*Qj9spvgMyXmehnt?Vf1^j)q|GZlG;Fmbz5V?(Qy^=A;l=RAr5yc)Z> zH({R@&Zh|Z)e47!Y>S)!-%_dZnHhX(*Dfk4%b!C3nSQ&;svZ^Z!h>OhTzyZj<5yeT zql3W8t$1feCG?Ydl=EQ-(1c*GxNagEZ}Ddg6rLv7yr07U<5m_wJVBUJ3*YYgx`&zK zJ=Py0gjTRgaJtoZoVi?DSC!iv>yj&raFwVvW~zHxwm7STtre2mqJHCIYEe7!`pUF zzW@(fp`w?MA0-|VKia?ND(wDi>^vbo-ih!;dpF9afc;t;EY8Mg?On%Tn1kl~-tjUQ zf_@>rZydeY6g?Hm!Do)Xi9&by@a1%KIF;O%M{`_;3o$oZ0WM6%CbOWDkj8&;@XtR|-qR1u3tchUjr!=uPV)AbE5GBqJl*_Zv2V6;}MSIKkk~ z7~Uulqy~}TE=bZ^GGV_~{CC@agk`%ifGZ-3s!Am^EVazvjlO~>vUBFa{qK>qR14jc z$G@kZpC5WcWq(4VX_{pZ4WtPP>@=P_$N;La^Re$^4QZZU=1<<=!!IA=Iw3P#2<(&0 z6aV+a#1U=B>|wF*74OGs|BFGQ!Wh`pcmKTio;KZRNem#Vc}xBk;LmC~G#W+ghGkpnkG3K3$ zS18oyWU=(}Wy;djDZRR5U7v>Cl@j1WqsN&G!j1UXg5%3u0ddbLxiB<|o zDqVaXKwEnz1`b9-|lwpo}BJC&vCbxA252%0P z3nX2Vx0sdpVw0V*8QV>5g7`Eu?8L&dOe3Tv`?}Z6jDH9(pkD_%Be}7RiGHmXt+dkB zRYUn-4%WZQba$E8hto&w4nNj`e;u*DkPZo4_UE3s+J72^Sm(`qv7cek6q$8eL+xbw z-XOr5-$UaEF+;(+CvFHh1+KBf)X?R0?>mZk`wE;nzUywR5lPhqc>$n1Q~1@%mYA67SQ*@JR--fPtfaH<)})R-cpXY}IT(b$Vc z*2PI!lAv5+ts(ch(vzSL8#b91H&l5`KJeBZFW+UYMH>JdxQ9qpvA9;d9Yll=r$PPC za>Y-WNdaIuFH5$_GGns=4K!bKW`O6HzT+$=Z7)oF&yHs{fwYf+rom5G8VVw9(1SwKlwdFc(l_-G!czTDl!z`=y*B>!GAdDTF7+M?hhyrbrWJb&PW%DQt?rb@ zbTFpMXXJ_RRkU}*iT*;l*Jkp-do?ro;E6kQg7_i(+_COlw}%K09aA->PC9&9jd{t% z?9-mB8pAVVFIwfykh7R$S^j?)R<7H(23Zn}X zlV68n^B`>P&lqWh!uqS%O0-c+DoD~Ttq!{H4hPy}x}{2hC^L%{@UgVP*T6L&i8_M( z@NFG?Yjsp5?>=GpH%^jrqSJSaoBKN4ZS5bnD>C5u`7p8R87j>tj;knoh~SnB5gYR2 ztrMyfi2)v=OA1IdYfori>NuQoy7eFoe5hNghec!`EB(R{%}@!GYJ^sBIE>AzrZw{Be~9^mytbU1w&3J^hX z&pz{q%#;oqb`lY8;-BNfkKqx@&IsKspl=7h!}JQ`Z1?1$p=7>1o|k+6CJU^E!6r11|O zeeZ?=rO5^zPhz@jsFRJF^|ab9lkz_R_`DMr)uTj56?7p<@Hy60Bb>wsBI+ z93Ax-{d+=lpS^sh3bQfb)h9m&)4d`IQJcRQ5$vCsNfjCMTvC|1}2hyLy7Cy z{~*c*U%VykJtzZuB{o`Vv}7PLM_iUxZohi?*ker5%n<1rk-L#{P;JY281uPUm>T8) zHMg9(^%txEKQC@!^EjK$svqodnL#)S^KQ|TbJu#+}{qb)TP)p~j;oe1=?!29=pE&VDl-KlF!r`T#Yi{WZ^q&XSZ$7y^7HuU2H>*jg-v*G)q4Ni=}}QY0-g1>geA< zc?pl7$~>d$(!ukuH<~o2qqf{FC+%st_$9e61IvXmy^0K{uGq1Li~UsQW0)6F;=96nVC0yxeqwiaSxrFQ>qVqmaTeZ zwGe|XJ_4jR0qKkVn?T}k`|B0eTo0M9OCuiU5pGRe-ma%ts2&(9QHSP+qg^zVLK;$s>&4$HlaWQ{%#G2@8v3t{J)G!5IZ`Fl;(Mn?YNl(t9e>qcc z*KmxA_qQFNy&$13?7vV|Ohnj&$+8mV&ld&d@@5Hn;%Ap#U5lU{=9!@R11z5rTc{~{ zV`Ea$lCdJcdrw{RHV>@;!`)nDb@OueAw5JnkefrBgC?DRYIOi0ulu}K+Y+|^Dh$+^o&--O+uY*Y9t&Z<(g98#0~!7z zd9a3%(B$1ydRM*@forie1;R@mLZX*?-*@I7@(z+_ICSjcV|5haNih)h-FZj~Jaf1+ z%|uI=X>%4?NKL$rJ@${PK_+2fHEK$!C`C3Bn7?-%HE?0YP?IAMfw^d1V4?|WE%RV* zOdTN)$0e*b-ny;$VPbLl+|kP`fbUtEzfE_kB`E0K!x7{U9loPuz7Lzg6IQ)S62|f|4auX zBqOFtKlS~6z@-aF=uD<2OFkm^)9YG=tr2Iy_ic0WwwR0rGGyL~tQ9hzzN49?%^WIF zmH_xH6!1~acS>MeSav7=?&%fp56D@(pT#@pXwn8;Z6q1xPluK>b?WJl(#L4KzQo^L zmYU_PCOF9DQ*P$|In*0Zul(mtWZYYmDW6h zx+sXJbWSRJH$wK$=Ci3+@JGIhch?H=%AP!$$s*Es^Ep)C)&kAj8F;^~w9>D#q>Q8} zCC4ye4E>V0V8QyOHmPAjVg!#=jjSBR$kghR^&|r$^uJV_8@U7zGM~*}99nTseLbT! z*H~Sxw4yg~j=2cF72~4~nSIp4yyBC_{g>C>flT63jyY=LZ|5gq^z}@$`1{)&XvIv?8T;>>9kn_i><@ET=~@t`4K;J> zy5y_}^%mPcjEiZ^oop_)_p*i~Ol25(Yr2=@T1hqVzV`!TI6-Jao_{wI*YB0^)bigKXHeCx9bM1!HBcvV4Y3*Mf*&@&U;%t&#AQEhB1mky@5&W7 zs|e{6cI=zw3x~_puO^B5oU+$Vmr`w60dgB{jiAKgr1Mj1%kf)Q($^Wludeo_IU+x8QsLJ4j8qwa_-f1(9@g#vR9Ds&jWy9?*?>rzPzWIIX!{2x>9Xq%xDSj;SBsoxD5UM}L^5u*EUJ4Pf-j9(~~L zKTJ^D7IQrM=asH=TPJ_zaO9a|tK-HiVg8!h)Pd0^%k``Svos@oUm36xEtaN!box4MKc=O&pPuAap56Au)q}4M*3IT zO$s;Ip__MgZWZJ$KU8_%Ik-}2Le=iknn2+Eh)@34rC&~Xigon9{D_7MSO-39o=sme z>PQrr=r-}X6*pG=e7ZKH#H5a0sr>;Ke?mb5{HizPW?~DKo91|9+Yh$;6 z?WzxwTSjts20U7CRI3ow_#h|wW~nwYnk9ZyJ{j~I+ZJ`SLTAGJ$JIsC&zbMn7GPg) zJrKPg7c`ite}-MfeN|u~*tBD9et4z`idHQ3jFb=HlqcEL#~`b&F{M8H6Icl;&IUx+ zC2Ax7K&WoKb0nw_9+HPZtGVNSl3iQV+m|p6zOgD{%HEi}*xH4aLPFSi9$g`DfdBFw zD5W>%W*zaaZo!myTdw?8#Nh889PJXbN!NxkMLDI<*lt zbOufRP|s5%Zb`>}xHf`xsz3SrlF`q*7a)2^rgZeqNWj{xP#W460uRgljN}$90~-iObg@$bSYtvWtydI}Zl5d4z$%rExV zB+Fd+o#X|&-U8i-=$$3s){Flu7#MQV z>Od03@|kbY=jgut@c(S7pqmwC_Yiqjjj_d5?DfnI{J=KiV0d`8>r_!DZAd4GDoAYq zw*AA2|8)}twnx++`GV#x({OY=z5hw(cL^0cteV5uzzY36veRSoqA%HttMq54;ZCOb zy3B9egQ=%Xb0b}yw~^q?KJ_L`;bf?MV3VUdJxD;hSpL0+w7dWmjGHH4MD~Y6PMf8Lj7zh$!543osS-bJAYr}7YmKAI`5^R? zrb@cd`)G4&+K*v9ICyF=C`Hgy|2uWSkaitpS@0+M2`-c(iI6mfkawIz18d3NcE9;t?>H3XX?h~Ma;rIkPes5t%p+(W$g=DN!zca1U zxt#hDmg)8o8EEYYPOUvSVshIFOU)K!c+ciGZ>bzttwA1EGmmjxuHwv>935yFgUr#f zesgn9Gv$H=0>Y;SEK_y4z=>}|+E{R>&7Z0fk&fUm8Ta3!kKr0Ejng49g>Lk;N3Nxw zWIglZHU*CMVQ$tiS6+oxyv?Cqo+Uk~Zn|W3{Fp1DUG>%nx#>UL>PNF}|^0lDM-suYCXX^Z8fhD5F7TH{>p7AmyxgL{P6>ca6CZ z`aF_;bn{+5P(1P6Npe)D)Z3mmcGoe|MaEo+W+v;-%yHV!5jqx@$z!~w>;5gpBsI{P z-~iv!{oI`%jC!3%0lLwLti4^pv-ii^45TgdsIxN5>P3$2YE(*k^AvC7bb9*-NXFB} zeGZAgeHkpuy-zLGytb+)umuKDiTUoYd%A!%bn&(4$yo;%HCtJC;>wcuWuMdi(2_pf z0@vJaZ8}@1$=zv^BYe$Y#plM#un#mx!1~Vgc(qdCSjd^b@ll;|B|#2bra^V#NmC=_ zrywhLU!)YxT<%#m#fLdza1Fikd+ND``A~VObxXyT#NO4kM`yZsH*|jCZsN#zr$2{q zM#iwCr^mo5^FLci`;~3em_I#EM3eR37VS z{?{i)8><-=(=2sJ$Bfyx*je!1O)X7ZfdTyE$aL#@XoO74I#7!Ye?wimiEBE;i;rE0 zYPkX3FCbBY?IWO>4yUx6Xc4-z;9g3ICmkO?(A+pdWwSU4>hW*AWrRbAqktu#-}J9M z1>H_1i08B3ITAa8`a|vGQxz^DwK$Mw0e%a-`m8(7LY(i9+LCd}LtuM0bF7@&7S(^b zLBgfe2k&WS7^)#GHFIg&$>w!Xo?_;iOMeqFnwcra-$}4MyJ}s6Aei}qg4TMwW(`zu zIY175qSW2=?hT?oEUpKK{cYsd+P(aCMZMlAI;hWv*RqK@U@u(7O7>lvN@!i(wc*M0 zAe>r;Au@M-5Fb09;mF0F%njaXeTog$R69$0+_t0U3n^@vXK-_jh z$HbUEwu2CI}4$T2PXU}5#^6tqSJCQp+JY>3bO8dR4rcuZ|fHY0AloE5aV`{Fn8$FkyD)PT}Nu+6Ix6|oP= zspbvz9O96VVlsK-yuCLOu^M)2#y7wM;g#v5e`N5yOBZ)0GX3~)yOhI2Q^Td zD8T5ud0FeHIF(9*b5-v?vQT;?)#_TzLsu^%k6(+V2it1LS-^L~U4tlTf@8RC9^n(a zpE=Klj@qSn&U*H_Tgz7Vr3t(Kc8h+tol6-O#Pu}QJI%RfeI_(F@3*09Oi;Xw@gYpo z+4Dkh2`a8$o<^jxpzkkmd6PP7k0*xYx->J&B>Gi~4|T+?fZ3f`q#`pytvBKlHHs0H zuNSG6#bS<|`USiG$3Ga$NQKW6T7sp9DxpU03CpWIB8 z`IDhvbG7cxPvbtow_{sO)KR=$g@glr&Xt3BYG7hM|8NEl1+r-Yh=D8u3~Ox!~tXOJNNj&{M-+ z-F*}UUDln$wGIF;{nNGSe$Sj&3Rs+^=hB_i99a#|%pYOku)Bjba8v0~E81g6D$CkM_33edft<%dz>zkY3lEI&@pbLo~ zNp-M==Mra*6;XUx`D9j7Qkq;rKfePeR`K<<{bV+~GxZ5~kP$--6dfDfMrvxZ0t#q{ zVP@hT7Khn4_lT4Q9d^|iRtPn3Q^3Hy`x6a${X1pNLqE`b;qfgU^|p% zn`K?4ogwAZkUj@7;PVskRQ>T6 z<3>*O>IUJXeajtkU{FV9@Ag5S)5N|cWxi8K2Jgx6SljF0>`oLr>L!SO>Y-*W0+LOJbXS(?DX2(huBA<3oh6zB1fv@*~-gTYH9 zqExM}hR-D}>3si@^Osm0k3FlNo9cXdb$vb&NfhHr*9(G%d{@$arR?dVFhV|4pn8C? z=|$?K!Dl6U!yC_`ql6oke>7|tE#8>7NaZkxnk;n6*8VUF2}IvR`fXG(h__YSeh_mA zj^`b(4!XhDsT;slp~73Z#7qhM>DrgvGQk806r{_a7;%&{qYo9*9|+^P9}D>*7^+5v zx=9tkMUO_+C1uxA^Dl#>0#es!`w(4PfwOCfB2V$h_N|6EJYc!3!~JPiD-$y65Fpyl zn~^N(J)B2}H!+)TTtuC@r(U|%B9r*rO3ZBwKAW_;+9VPLi3g

Tb#s4j->eqWa^ z@vg(Evj=H+`e_FA2e0`D)@B5E+kl;c%O|%(&iAoG7BZ-bm8jD`F zM$$0xU!RsR!&2$fc1b;IhGDMEMp~>6q7KL}2AU>dBLuCab9R{q0t4@22KMu)05tWaTg*omsu>JV6W`t zT1{_RNo@d@cFyrY2=U-~O^lX~|BY*2SfMOUNr=hfNz$535)~H!;-IzQHy%`ssPUGU zMi1csMqgd4qg4P)rY!K{Z8d>=>6tmv=B!no5k>tzzL3+52o*)JR+5m%SNwIlSlLyn zUXpj2=d0zYV$w-)8{Xv{S82jUhU#8y^EAh0>U_9@eGht^)X+yoeU^G7+ElRrtlHGT z2P$!qxCl~Ydp=Urn%0lu1y`)ynJaGDt5(hn;-&~3(An?HD;Ae)8YT@l6>?H<0wwIk zPMr~0m2qaTwc=`$VACE##oU=QBPwkE{`FiMBUVc_=-Qwwpur9;;Vz7|a3;}ar|*tz zuT?HY1XP9!6aI7Pld-$Y2T7N5-QKAT2Lz0PC%f1YVQwPf&mAhr&OZQJ)7`hYDm)f& zt*KPmexDeDkCzmR0>F5J!`P_N&33e>2nT#0Im7WwK`P z)PfW=Ul>c9aHa&5&~f1BuZUgO35~H&|dFkjg>I@(3@MP!5mLh zV`kxc4L3o`&ebH(qtp6%tiD_;KIk43BP%YB&-LN+f!N{v&48e;MvUrztkrEFxy^7c zjS4M?%QCaZ2FyW+Xb?*Am1ix8-+47D=@;@6f&C%<3?_x`>+9A{@*tKk;z>U0dI(pV z*B(kftCml1ehLeagWdV|tR^X>1g=IlPZ6?&*?LCE# zj+*p(ug)Mx{oI-}_8IuB12*jBoD#)w*OwgAOK~Mke;C11PZ1b2vx^p&MmX{h-O@Pdy$avebTB@6Dv#9|3C~NW z^bF-8iK<;KT?Vn5=DzF?2xI0}!S;^^p~1hJKO8S?FTcb0*~vTs%9J+N@{e{P3~3@6SV7n< z8OZRjrh(&N3>_`aZm8K-Gnh!+PdiiQoSmYEVlao(gF;N7AM3d^l6|Yo$%ahRA(N3F z{KM(#xWDc}@A6-(j4h#q2=WWRVEb^@)MTHKmx%Q)ojWtZh_qeumx!EE3jnEOA^j{Y z9|~}&TMY^rUP?9}30NcGV9XKJ1{J?#rPiSc2VS-6`VF%r zz?kJ+CbWQPMs13#UtO$Wv~+6Zaw==eZF)fXW_$SOnFu-Gp0^-mkW|smJ~p}<{Vry6 zGC$PTSP~4>8SnW0g)DUdy-{ExdWByOzV(J^KR`+Dh<)nc|?|iK9 z>UKyWZ;j*P4n;5YfyTYF$7#a{F*j7T$LGj>wi=zg%coQUPd2gJdD4-%74ora7S547 zw>X=7cR*k`_^i4KNirt}k=gmx9wn*#!50wBk#<1O%m8j)eQ2z#{aGlR zlMc~jis<5lyhAZl9|$|M=%wos)`nnfsC%l+6#Mfz&{Q%UN8Fb#F36|8sF(n}eAoeK zdPYd{r;42>br2Orv*-=}!kJ}yMWqW7)J(9LN9{UBX_jYWwJp%mDHPCq_WQqzn$JDi z;=DRYlFs6)Eu?Xxv-yT#a< zdvJ4;NK@yQPx-fIQK@oJlK5b7A1_GcuA}?#UySkC*L78=!wzIMmmq3eYpiPG^m9pN zAbJsD((A|UFL8m*IT1(_W@aiW868rL)8U_ZF8NnI%ilKV*`R3>pv636YE5Tdu^ttz z=DIt3GCmK6SAS>B1pP|gQ;roMzNo@?ncwLrNJ<;9r5RZggVByF8zYS$!LzR6-HC&- zI;MVopIfVITONYHww7^F^EiWU z+-D7U;Z`-6&dJLIqgmOt)niS@y96s2X+iJT+`apvlW>1d23?Wu@R=!+%>YyF;x9xSu4dy) zUK)jHHR)`70o zv>uwmePZ#>=4izLU#n8wvvKOvE^5;CH{0Xw>`rU=I(idO?k0as8+9P;17$yuhp-t2 z9v7Y>ed(Vz)YF^qNTG%qsIw85{RhC{D3^+DwGA2GdGI>L!M&x_*o_*gG1rGbKO47^ zkw->Zhe~h{j2e`jYNTEBEgGB5uJKCXFAYaU1TnMdb9vGasTK6xXH4)ld`>l!@Q)EbJH|9%xLXGZZ6vkZ8n(1Y z0PUO+=WFju*8@-7Cb?GUQL_a_;eB&T(`*2QTTLt2U6PgR@ZxSgs< z#??H;){p^=pBr5-jO<9@*tkea3L$$xG+IamBxxSZOqNYUmrH@@sDGc4!NkQY|Nb~#F} zgU|joSUz1(u(@+o?@VE;aa&NVxLI;48KE0nv?j&34|r`vWdbbiHF;}!1!Jb9fU37On+XG3YUzNpC5m__TWL-?DfK% z%T>UrIOnFS(S1tO`yTL)9W!JV5<_tvKEiiU!Ur^CkpqEdlxi}V)X(nTTeU)w@3%ETY-~7wlu$5J|tA_+W~JxWA4~2;Le|RpmX*eh0r;lT(OO^BQ~?vk0HMmDg$~E1;<; zH|*ftGLbGY^~692)lo!8iz9&aW)-uVdXG?^;h%>rA7rzG$|T$!Ykzv=iDVCp+gZ}O zdDB$RONJmNutZ(Ust7~DKGQ&O1t&nMU(!jZP7;w{c~J} zO+wKwb5O&(XyO&0>sD<#ZnKJ&Wzglgf~)R81suvC+{0Yvx_x;13{4Hz52OJrfq|hT z=0Ue}xg6qv(|Axq{@zt&o#Mhvf{MWEbMURMDVo(Pd#a|6tHJ6M?Ai*Q2K}nL*SXpi zEYSgKMC)7cGp_=N{q(2V$7;cAft+16#vTC_X43rUDdD?62Hb5E7AYxke$}LL4tk%2 z1aiPek;cr>1^P}^lYXgxO8O)4&_;`Yie)V3^F5WbPmRB=_Y}#4Q%!;QVwxERd?en_ z29vqw+DvPWe$R?8d|T-QFbX#>E6CBHL~NB_jrtYn`p)fs?mHcWKZU`lg6dS>e~R+i z%!3G3YV~jv;Qi4( z&hSTJFXehqZT!3ppcTXFFx7_!jgX$es zKl5I~W=J(517}Lt^ZLEW&@;-7!Ki`O&`vD` z@8ZYf&*;9MOoly_e^>p{GBfz@gR9P=T0b-kU4{>pK^oBP=9$wcdbijmsFnYHAnyTl zz_Jt+TsbuHs;{o#Z=;0UZZlRVFaCh3EZ%f6xgee=_>x&zEH&O$w90JRAtx;h~uod4FDm!@$E;plN$}jpPYP0&_F& zU04KbU^ulE8+ovy&Td)zv_F5eDEbZ*vvKXswH*;^J}MtET=boENO(S$QB$^>6&!;bsNW~_{01< z{8&i8e`LyADm)M7Q8%bGj)bzdU`J&(uUaePtbZVM(%KQdnx>vSlDcY=8t+29!AUAW z1v9tdhZEP03)I+w7Y!~-rh(!&o=pnzv`VZC4eEC)=jHIIS|JZxh1IgG4qW}@AtjE2 zD_$aC6NRXF-^QIU)*l#5bdqonTbIu{4c##FvG|-RO9r-NT|t~@y)C_qcFHcadr#M- z^S%C@I9(9C4l$aw!BZYZw=tOa85e%B|LV^v0h)1{ ze~IB>tlKW6Av-tPtYf>oRNT`7X7^VQ^3p{6K`>H)|M`4{0Lf>tK?VDs?X*Wo~KE;)|;@AuL>XqL3AD|4}1{rGdw<%SE8d=eI4PAXnO^?nTC$A#&8cT zP~bv+R$ZkogM>=qdETNiZBoPiuSAW57OG>sM5vC;j9Ttbx#UC*K#~0{773yGp#e|= zH2jq9fY|u0KuhNmrTN>=3*puA^np@qO_PxBNXqvFF*%(Bz0i}1+A_#R8BpH3?+-Lbt9q6@xOZ(N9|5?8|af}BZd+`rwRDK2^AjDg+@>JxWo5{$qVvYNlg+R+u4B-HAKS#!~b0v2xs#hX=qDzdW`EgH;p6gjMVqYNB@NTPq+M;hyb38ay$q4_IszAV_5M5kid`r@o(Gt zrLUB96t6a`)IjnZuAw$Ck(b(yizw6nLUKFw#S`Vsz;mXX@VCHk7bw+fmh+G)1dn;s zq*F86rn%!EP;~Dzew<`HlXOJ=_jtgF0q%E!`8u-3)KWHUFq9efiK+w1AigHrzab;= z`yjE)qb{NQII+A$its_ld4nJMfXBf5Fk^g>HwYy|pVi{j>35(@sUQu~mPkj9#iuGaE zj{s00$sf_w~YVPu_i7huemg29EeP5Q`97-Rjnb)C0OwEgEF}#H2 z&78y`UWcZoS5e*Q4LY^XfIxzpy+viqE1-+@Wpl_ENs>PU+@(J>8M261*BkL+xSB~P z0xU`1N4Y;Q%ywnkT$JpKI1~f*AH{_2r#~2D##~780kd~N1S(d18)VTyYCDD?r0z0V zQ;;90Vk{l3gX8`4+a=sBC@;iLMOY3``7D>HxG=2%CcBst!}2d*=7hgg_#SzuXu)R^ zeW%~5^Zkg22r9$Eh((KK*-Cm)F8oPMnf{}>$gc|pd`(dwmX?x|@)&)E?(Lw#JiK%# zYRDJ89=VanQF3SubIj_{5a-?6jCc>QtYqZZx||?yk1% zyIa)+8zrbT*l|c2V%wQc;GfnTshwzHi{9-uzvEg&?+~ldAF9u>K_y4nFBL{Y&&ygq zbBJ;=@RG#iYFO0t&DNMYawixm`p2T_?21AIugfWq)u~C$FbhAzb(KUS>J1fWx4U-6 z-Ye=kryh1~{ zLd|=0%Z6>Qnh3{K@f;oCn9{6WIeELJ)c_@MDfMZUF@w*~5#hU|mXp2~M88#cO;1{4 zQ~9#jJqT2?i_H!gWm4M?>`KZvrs}f-+N7*T;C)!yX$ zi3Y<@w=hiiz;l$~RIp${{j1LV0yvL?#i?9WXg6j;*VK8yTP)UhS}87PL#XLo%LrP z5w<7MyUtB&nneRY-}(P5&n;a4e@{;tYD+l&Udw7!uXn9m0C}AeL9$tnW#z~#2U8fJSr~p zp9mPU2MjS1<~~30NjziTbH;4dxl}%!&X2}E$i9&OESEoKxI92~T;^3nq_gM?pW{F| z?4U6-`BmvjBqQ-L!W9T6iA5t1G{wXtYF3{$Y@ygv+fOT&YPYApxke~h`yIzKT*x03 z_0~Ga9)+%rd3xU&m^qKJ1~;!17ePL1i3#vd$E zy|;IEi^~dUIkgh=Pv@}kotpR7mp?GSe=FotrasRRlkq|AE9^hF#XPehH2Z@WCDKjP zTMW&RBK)&iNU}sUPw-nrE6sG~P7Cm#k3Uu6uleg>3p#Jqut!fz=&ogrFfBdG=1)4? z>wJK~DeZdrb99-;X)PPrq_g=Yb4!d?>Q@K8VhIxgym;gJ;Eq0z-j5X;>2Z1Id#g<7 zt;=0^lU((yDxIx$R-Jc92EMnB)#b>>lrO!7x?-n4Q~T4v47#1frDk{2eVugz%7&4o z*)|gMjmmWEYHrs0`>X|;35&BQUCe@->{>OP5SU#SVTB+r=POPcS5LIQs8iEYfB3bQ{6gBSmya-ElK4o+b+}i;PEX9BK%Ymd z56%_edSUv<2swx7OHmYQJVb71kCxtLRma@FT&QXQ{f*SR=t6% z(RGbA+=TSOh^8X6)N!>(2XT5@Tbb_eB4_~_x*F0oWX_4E!lw0gGJI~=!8hDKFHDLA z9NQhK8uV+$dq?QL$epiwDWGAbSNxwAie?_UH8t^P5~ij}rh5sy*g6Au4+~p4^-$Hb zwfseKhpV|J`ta*POXTr=?^NpD42FiMP{N*R$&=lK!BhBFis4x1FUv&n*IZ?1B(|cw zUpF#5k=~9(rM$lhlegf?XO9ctoxH6~)={B|L2I63l#_G2G^D*20^7>TX%X7Y)qEu_8Z9`HHMCX^iUIhOI-D;kXxF$$=jXH+1gfHDT z10U4@3K1KWR{Ab!r!}-6D~Akg{9-3MJwPng^b}ENIS||+&VYidq@W-W9m7WMcm-(u z@e5a=fiu-`-vX+n1IAj6G9KWm|o@Rwj1^BVG z`ljFR{BL~F309yauy?~|IIx0<)jbI!4QB8HotR_~A~N}4%aEa~deT0dLXC(;o2EJA z{ygd|u1UCu$>U9$IIUV=sBU<{>+?MzWrZ#Wi7vg4^wi=f1ZuT7_l6eKKHh`*?VTv? z0RUG>*F z@a>TNbD(mdSxT>%hlH7jy1%;Re1uJ8mdI>Fvim3E1B?OQnD5wZX3qe#mNLNaPgn$Kz6)7Sp0!kHW(jo~0zA7RJ zK@dWKSLp$yhh*-!&$)ZwbAM-lI3Lazh{HIUwPvj~&w8GJ@nBU03}dptK{Hm)n-!e( z6yT5E-vIhl@u#jxh(ZiXWE4P;UN>&A`W9T|;1qj6fj=OO+^{`S%5hzm_oLJLLkypr%k{PqGkqv~ z=tT*m-(_VGdenEF(h$s#?2P%swN?LGWd8S!_+#DDj4giw1z@43W(ycst94X{HH!Hb zr}f9Zi25V?5dK?kU2ht0Q$gSYC3Llmpk zJRt`$*KR~Zkc%Dy+;RqTmpM7*t%UqC5m4I?!_)owtiM!e`aPe2O}R@R_grUk+f9JMwj-C|fhjpav`8-(vdeVpGDTD^^~Z)?>*Et_~; zuJu4w`H|6EsF8qjI@C16{)-?KURygs27Xd&vIq0IYtk{;!Ki*GpW{P7M*T3Yy$Mx( znPX3_kEj*Xm|{5UeHWdrTobC#N@8@pT9;$8)ehgImy$2=-mdNBviHu|L(D+wfc>cN z+%C{6R2FIpT*%WHB!0W&>Z=Vn0V5Wz(qJJ1KH~`Eo%*?Ng_Z8I>z)?cmSO^;98{^p zl5{VSDgiLJF0#dN?dE%0Z*TY_{1;tEP;9uUQ=Jf|kXKoab2^o~%l$}bwMq$A{_3elFb(SUPcWS*5UpS`hBv6oktrEC3H$9z);QX#X5X{eJ zfnFY34*iz;W@(NL7an{gz|I?c^a1QVifCqj^FB+ru~h1zRw zL19qMMiu^;I5I!lvvHs()eGm!1ClWnv&2sMSb?tKMlwWGhXbPdS8%1$KvFqdyrqV? zNgCKlha5w+JJZX-Co2`UF5^687_cq!^KYUN;WA%}^2%6d`}r)f_G<{!gUj{E5-1QY z&I0(wr35gy>{96U-k`EA&Y`so#5$eXEEiF658ex&%Px`9o3EvT2Zh?%D66~CJTK~G z6khgrCXlVAV{^OF#7m7LD4w#y5JZN7u=~u7#Z=qfV&XmWq1^Yl{UGh@m8b z@cu9{_*I~zL)S8(08J`#*GlKX@k)qt^4U@r&-zJ+d`*hgY9$)hi-c)QkuN}cy;Hv# z&@H3oKrNjCK}!2eNQyP*h{JF`fUvQ0>1sl}9!emzHE3e@;XhIg~?xruzB6w%Y%HA6;_ znBWCsu;><`DzUawrD5>ca)9CU2fN#+hB@=4$J1Y1B$~#bo>d2rU0l)SK47r1x`K%T z07hIwIBjmws->Z!B8nsD*ApIeMkoHho2P)b1CINEzz@lm{qNpFEM|YPS=fG{_Njen za9ZyXB=IbkwJN*#n+EDS+uqy~UEohaiGbu>ZvjiOm{t`9JA)sJz~Z!?s2jlUS#K32 zd%(MVo2Z#0qjm9QU)*5h_Q`@2lQz|resIp-gxv7Y&?3I&r0YWgqWe`L7;T%pyEnQW z%!X*7)MGkU?h&=Qbrn$Unh9C$IXpDB3e?U&jZc%nzc7v!wwS^K)n?!w(SU_teK_+R z6e0EJ_p5e0aRB2Y4}vN*Pa{&h=p8Q)L2k0g+K`IL-T~*(;5){Ba^Q)*-@az)!DAYN2nBU z=~d*RbWr&9>)EW5YITvbvzgc{#K zKbgZ?KII1VwvkJA^2d#T5K1_m{HOssvd852^QAs2_Lk=(h#=z8Q|VOb0f< z#Z$Ot82L05@HbcBDST<+3_MKuB?9ZzeOWU%t%mSw&k(FoAG2luY7eJXeW@)^t+;E6 z1O&F7Urd@=rY&18}h%g2Cp3Qp3yk)Ogau|% zSU<2#x=`FM``OrpAb}0~#OqBVRq8h^Lo|cIEsLsOjW;*65|PBo`=0&vI3ptx%;z^s zk1)$zn+F!?iH8<0bNo&~nS~wDo4U-0OBN$kYTbp5W=&S!CER}jwN5?NiC70|xmv2@ z2(WVejNc5$GuRp^R5>Cu*4=WZD{LXiN^K}GOA-sjZZ|g)zo~-KiJjB+Nuwi<69#a+ zN?>*%&TBbsKCp&1Sf2t6e2OyxHmj#6IebtCmE3j3YL9E?1$v4Li6?QcenIng5pQ$@0; zj$aHslRw*E0Ivcw9`c*cGvk;aW1y^^baO1Z9^C3VH)~lQ?#G=5SFZC5-|IA%bl;Vq z=H?UNE5cos-@HZ^6{S?M6*h~lxQWL}<9o_5CxLd*!%vFEltE1l6!of2S#dKl-19(l zfU^Z94a?m7$=bSYlKK*qo`VlEz*1pLLk|>DSf(jJilyYOOp4=)}nI@M?U zBnR@6uQnYDzCwHiczi%`(s6!+VpRa3!P=9q!{%CQurURkD=As`Yk=c?O$i#ZdGQ#! zc`CG1)!>U9w-TE{j8hmXN0E6^7&-wrhwbCIBZp;d&}MfTMH{>A7=W$=Uxggbe6`u| zT9z|?v^HV{yHFyMG$t^*5T4-o8Aq{Hs{->AIez!X1TCIyUA~v(|`G)aw8{Z{@fWaGUcv<}<*&@Sn$xfVN(U#=91JaN2h>xMh zylx%U!)#~=?&_R%Y={1Q4wIko^{VLp=2LdT>oWDI#>p{{C3pO5IBFCpDEkx0ChasKt)IrPjJ-=xq43@Abv~4CEMdi38kI$ zqZRd5$5Le)ajwc~r-{MZc_Jqp(}PY#EZV;D1(=}my?2^B21^V8 z!{(z=^UpJp#Nb;Um4}Cd+Wwvw0F81bM}sJ!Nwj_v@2rglh1i9p0wgRj2dt$OqKB+J zV!r?zp{*6U_^k@kWL8nXcy;ZpVNmUTP+_?FN*)OPM2`4AKB<9<@0&sVVYUJzVAh>l z2by|Ah{6c z+lhs&yja1V^JRgyy3R~d$iYa6)zn}%Xlx6#3XEu-Y%dm#%hW^*OM^}`)k9iuFb|cR z^m+4GC&n|5=6jbuDM_mXnZ&vnI+&i*)y*tV2TBYh1+9odF=>Fg5b6Op}5qTc}>F2`$=;Snh-%T=0VgAFac67DF10FlbSGbs7;7h*)& z9mQNUvnL<&)h4%^szuxru#@Ywq`kktN_PXaNKcVJ+E(8~;#^Y=q z9iYi{-gRNL3PuNkxLmsf*ck~><<96T+2Uz>F+nWozTYGIEtj%t>ggH%Ln0BS@xcat zieeaWb@9AcKS!eO4qtsL1UeVY1#5{J z@&_CEO%KdvhRrFC(G6rF#UBpTujrLZK4ZG7VtZEHrK zWBW?VL(@QCd`xx>2&4*VtXQU!#x_>g6|k)Y$;6b&3EsTGV}y%oMGjsEW?)<#H$|sp zyfvt9Bf*RT(0cS96A^FQowIqLxi0s5{$9}0U1lk0hZbQT*nX?RMR>fZF|S^dbx?pf z91YUkO28^=XPKe;W0lTDH_RrPP;LS#8hsK|1?oBJkA5P*uW&D^GN}RBh0T~P9;qgZ z-SnwiaEaXf;c$un4-g?A~ZiBMM_2NTbdJc3KX z#V><8BNI>UOr^Z!x!aZc5iIIjbP~^lHZp$`-I$R=9Wu%aYuwXBcf}{Iu4>&_QzfgdT8<79r(Z&gOl zv!;3-BEBi}vXe%Ej$3qm&w{;1Av}gGpgy|6TFJW(4%qblxZ7S@=V$wIVsh8T8}?AC z;N;-9))&vXRr}T0)Eh5byK*an8bQ8=U-`89g*zjtUVLa{WaaJqNnEm?!Z{4Sm4yfS zxM533fpnolK0<}iVQ8crJB6>&SG(-=W%XN#+SzSvIz&0TGc{6%6bT=;jH42jDUKs% zn1fW-GK-uPxFEe4zLopQu)m0W8x$#y!KWnDe0aCw&HtQ!7)<*__Y=Idx>6tBk>&bJ zWcRwG7RwUQ6-Tp@Q(yMPbIq5K@Dt* zYZ|5Jbfxq?O_WllwXbXZk7bHPY@#6+OhMOcZaTI?~Trg{qy9X*LUXVFRwz&mHD z^EL2(u+Vypw>o?SwIl>Ns(bLXL`t$sYV!Tz@@+Fqv}A{hsKV6_@B9s(|BF2j%%_r37cX) zn;~+PR2(^?KnoKljC$0Wi*r7yp@89XcKS%TRR63>e^&9~uAtnWF*LEe^vd3!Oh_D! z@%ST2ozgzv;Nhe1{r-nrG@^JCX9DM&6LUJZMpCZO1`gv|=0B^a{deFPp*1;nRAtU~TbfRzE%6m&IzD^xJ@fh|K zbWQ8kaa)h7O3{AFlr4iDsL>0>UPb7Mm8K?8$QSY@_N6<<^84gaX)b4TofvjJxgBdN zF=YsxN@7*<;#`o!Au!43PpDO~{MMkd5UO$|f(X`X_zuY~O6I0a_7}vU0gzT4WER`> z6*4ZYlt!=$G}Y|S=t|O~ZmbD$ifNkkQ)Tw3tr(xxFU-gU17<5zQ)J)U~Q&?8Fi!Mup0Cr+-Y&r`aW?;K5aoNLyd%H zT}_KYe8k4v$L2BZ*(p1xKbPBtAeAdRWeJ}R1?nM3EoDmJ#KFfFUq5}Z*5T}z(z*-v z4O17%fQ%@LbB~&XVn3rXfde^^zFe-ZI<1=&C*U;UIU|rk-o}LQsjkX)M*u=YfyiCw zyU<{WI{t|XhF1*ohYNDc(kkR!o29=#r^vvq)pC1Ka7DpclX_u#7(Rezr?!NQNJ&FT zGcf40g#^YIEW+o*;hN!HP{iw|)rMI^I2g1X50XJVoU#gHG{oRZe)mwLmy@pB$}zCF z26xBU*y!KZNhPwXLK7f*3EkSO$SePF;kWP8^P8PVc~F+mxHmx|YP$o93{(*g&o4VU zj2g@6l}?qdp+OFet~8F3g_Ya~YbsXA6rP3ULbeL%25O|PorwjEAktnrgL_<_~4uqp@-s26W2Bf}>Ne_IpZySKdXI2PQ zj|zZW4Np&$ItB1QFl2fZRnqKmHhK@4QQuL-XyH}B#%@@aK$e!EDYRY0s2afBw!={I%B6HWooR<3Lq2V2S zDJt2X8%jjgm^En5GO&y~Gj~xa-D-%0a2F2IDUpjn(3dEV#=Hv5pMEV71#shWUa1g8 zA`0{md~YQoVHj520m5}yLme~_vQVsf`8r!9lm%o?fUrDZN~++fznoBQY%w3*8eYJ; zp@oPnG`H+z!$=bzXaHfICa)$C^F>+FW)%kZCm zGm{rW-7oQNw-N^hpvRY7Y2HPtr@@VLu5oKfvqnXJ{uZcK*Ti4}tQt_R>gZkpSd7m? zG8@>GvaK?TKmjb059f1gUsd?;qTDV9`Hk-bI_Gox*e&*c{RKeqYBcT?6bY;8I39O# zYdjcW?3rs-{K}?=((;HI0Cwr`6S zNRqMGU9|U@a(YQabyplrP`phafQpzxdk89C`cHK65&9rA6x#vH%YjUoPpdHI2&1UUl`9MU= z^lyskA+2JtP&mFb`BQ+#tWlh`O}ovVTdD(Z=o}5V(}CBbvUrR26t$1VC_gY3repZ8 zhsIlwSI8Ti!^~Ev-b)=X8$8>~9Yw~;bd3%2yL^!y@r8$$dW~!Bx33>tkAdN~ zI{juYc=Y%8*ekt3pg*!`YeeBj5YCQSMby^9^@=&RfHHuGo+gzc{5ZhUfAD0H8a0fIbdv%*vyU7uXln^FsiCJTXA#Oz&Zd29=Do7oWa<4M4IV zY}MwKWG@Cgf!OVqGV2H;O80VvX$sv|`SEsG$es7-*`!zG(D-cH!ravH3ZP|v`+T}* zO)8AlS}ac#e9n{urCaPb?15m9HK7*M&w1P#+FWkexkrMY(y%owEU?=i9}MiG0oHF{ z?{=I}{2{mx#XkEK)*Yzac;M{dcMm66n8ygQZHgs{hA%8LsO@^6cix?8e_#cS z3AIJzgqjlLHc9=r>7yX4t^am^ydl&C1y0|7S7b}(h{=`@b5-OM$C}bS334RAUV5Qs zAwHW*^0Kxz`i5BI70Kj#1w&amg1O%KV@mWFBS(X8Tke-R#VbwUBs{&cZ)F_!<^;Va z(8}vXv8bqs?~|XME9J7a6pX%stn>R*1Q%^bS41bw=?zDA`t|vjG*MB}tD3-RZZzP7fG&c6=p{pVp*Q%#p^5tk5} z?oNI|mo7#lG|$EOMgQZ7eJJJ%_=nS&Q1GXHs7@$C(=E_HIsl=qWrWbQyA*gOAR3`< zUsX%Mi@PVEZWTe_Y($Tu{^TQrH#n zK6DKA!B(6FkNjt&4;7(qc)e4ct9}VAh3aR3_JP^oj-^OR&b)>KG}g=7WDTYh`@rGp z2`g*FN`d*<)8{5uo2Gx&sOPu`kS~ zuDRQ(vPfv3-@bc+TdP$(L_acYYzuHyCE`5MxTTO4MJT;8kxQ{*~|A`Rzka@J&12R(8su8#r%0CdoWYZ2AyeY768(!Z%*)Q_h2X{ zwWn8f1{fT0N=rOAq}Wlj0ZvKDU2pEj9MHIv-~|Iy&j5fJlm#y`)b0u1HqG;w^c;AM z+UlJds~le2SnFFSi<>%;gk7`50<0*^!_n2P9snd$VDFzE}|?k-kwYfUC=@9|Rx^S3X$e)~_?@9>uO8rI^- z9hGKiw;{HY5y=x^gG>#2>@h_17DWi64&Hx|?Vk=4+AC;VL8kezvX`wyY4=vriy6S) z$feje+g`oyx12gk$;Ih4^bX0Dr=WEon&~s}-Mo2)OoJTLdJVQ_4Ju9i+P?vvpQvG1 zv3_I0Lo6(_Q#~{GXs~bX_Kdy~>4R|i5kWGxSX7A(fO_kDNtKcCtF$@L<+L+~o}8si zAceG zPfK4TDA~Zw;vH4+TkAjLRs(BRw|ey~wumaqo7=8RPJ=7$hp_;g20%o7n*F5dX&qKa zRzcIP1tP+xWnpjfB9P4hLAMP{5$R08Y*Q3s!^oy$cX;`P?nRp-z~t`x0vO$#LCu)q zPm~7J+c?wuQh5QoA<)2H0D^3lXrkbF`o!zD0R9G< zBUh_Y5m;bv#%~3m1#|;|ccc)WO-k$In0zh-Vn(yKy;3;!spwxEY&Mca^k(`{!BM|c zn>;w}zQdc*8_-IQV6GIC!tDX(FX@F1fC?mOXtgR150g97KpDR2HQDWgbyH0`wvca~ znZ2~b(=(3rF^i$@Ok$ljra{FlBn=wB!2YlU}11Jz~_MxwmSXe|el3M+CaZ{RGWgEQ64v%yy zA0F0*0Qf)I4kq!@vCLgy#D`UFf8OLKDe!94eYo$hYBx1mSvH*jX%-@j3N+_yS1A=(aLPY$SnFx>fVEy(#cLxd2Q^XVp@8 zrbp?%WQvdy;_Ee@ZN!EEKo)wDq_VZJ{uH22rFtJ6pcl2re@>xK&**9@KUJOwM^1+% zDLfx+CU}i)hdUZFH58#>ULkoL4dgq4e1Ro17yw-6U@O6UlA2aCv3oKwwAz&vVaEIyVE@5u}4?P z3lJ$|s4B}eg-gpDyE7R>?FYM<7MkEK1hA?@kO4}2w&4Hg)M2(A4j&_vfW%P}dY1_R zX$+zFFJ3RIuV6x?%u&_IwI8=&{73)<+s7lN#Fts|StwdMg}$<#I10lmXC;g{G8$VHNu=L8;EHdQ+y;g{ zJCH4yd%QDk+Z7lBzW&`oDg&4UH1FF&^!TbxAs8`yKz>PE`BL*jcE{8cXx~VQVWY z->1oMZLPHD(W6`#k4Q9&R&3FgTxW45C1JsrObs-SyAd1{G+rr-)@kC$JE^Pjr#CP_ zd3a4K_!8OxNVTmH1o*mE4#x=vDU)K++X80=A15=M!Gt%`dR@Agzqc6xoAeq)m14PJ+3A(@q zPI>(duxoYMe+Ks;HTTvwYCWGh2he~9m8A7`um+(7FzVhTY<}%H?|Oh z9aUmP$|ThTWN^6$_2`}|nSdP()j$Hk&vzue5&+!b-*@A`i`4!EGyjO$bhM5B6{s2f zj{`N`e+Ftg{|wW>PycH%8hGu0AE6ok*9h(1zll=+oc5>fdjD#;(f_#NdjD*=E*SRy z-w0LD0E{R9*BbwiZ~FJmH~z29KU92=8-K$TO6S8X&CM3JP4VDv{``cDMWv~h=Tz1DgcZUR zg#-g?@U91x5NPrwRD1dDhZBL%cVeH~4dnuF8dlP+R4#4@q zogmkUFKIEl_WEwTU&+<^>P#vhuMqe zydXeURoz33Cn6Y$ng|NGU1|y%+?aV1%5u&ISAsF1Ch&NGIhBM-{g}FWTKE3+gyIA_ z&S`5L*@?&5_{In5#)LD|6lUZ$^8{$JMo-sdukvP}s$q@+2$nFLx*fNTcKy0FmKhT* z;@5-@YxU2pabljC75_H6#2bzV2;xpuj9`}st35c>y)7x6UhcnyZ;#)E%z$v4(J6e= zh(Lybw;TOq^%Wo zvjJDe5HR+FZaQ*6Q-E#^sy^7F*^hsKCLTX2`vEV#R4NFWKCH5+mE*6tz0v-vakFA2 zDBLWSfpN~BwubS(L+%k&_Vi0BP^_xMqAuocIH+bKo|3%`%2Kz3>22Bg04c>UkKMx& z!*u)+Fdyr-1g6QMw%=SkxmfjUY5a=N9OLzAFhA;be1jY}pUD#$^a>!eJXzdweZ0v4 z3vZ<}H0E3n_-H-68Wc7r32Y4`$)xST9Z2N{D0x&Tw6R-ToHo=-w(J2*>p^mUc~tQF zZ2(9;mAx-OkZzq3aQoKvvQK0-|8ZS@0ME=sGQLAl5Mto_SoRY{6yhzU($SnF%~gAuZ34?S;F=z!tf7-7WR_k_T$|!FBI8QO0B%oqXmjb zyG0?M=a;|brONP3DW~qiC(@;RRZ^`Xo$-UDMS|Vs7Ungs&Zq*Vm3|iXke<~6g}>hA zZ(Aby1i%IdReXOOZL#92pqi*fSg17ByKZzw{yGQ=m&++#<4Y~^hZksWjk^BOXOTc4 zmB);Hi$DfhTIe|Kw?8g2@c?O2|HU&ow(_qxpQGLZBQA`=rBb~`M1^Rv5G%T4JR?862ig?hSN<+@Ib(6)=9(rmu8= zJveE4fi)0XFrja$i~)8VQSqM3Afcf@X}!M_{%++{6EOnIz3pZ(N22w6n2XmuhMa}1 zUn?+a_sKz{)ETV$-9^nN#uzj%VJyy18oSl;StKYgG%firND95^SM{cp0$G-;le;r* zFug}gB$y%qdQOcf!qX@SZP<({{}zQ#G!@jufz^7)KbXb%N#O612s<5+@OsBe7*qjV z2bsJz*q5Lm%cf!~c%xy6^@d;F=o;d(K$0`A0@m*xQ=Y7Rg6Fj-J$jzTZ{*fAS*`(+ zbJ3{~$>W0)c+5TI_5t&Ivni9|Na`~XGgq;YSzUpbUop$TXik{H#mjPMU^-Kvp9-5FhyD#r@1@ zEJvvNX|*rz7ow?p0F_#Kg|PHkmDc`PllQ4*exPm6RUG)-h&;P47%i`k_W^=>`?OfYn~ zCTm<@pe;+kTe?~R{ep;DQ|NbM)QA)iG?}|COtu{el!}$i*kx=Vg=pCs4pMlwRsBS{ zI$KVcc4|Pju`Zxb2W+qbosrS{?*frOFYG^oh_qW`a0MDL%Wh>rgM zW+VcB&%X^sjI{s1K%}TBORNn!IC!()aE7YOf7!dzV3=0RVx==CDL$s=+sGNYwtW`d z|4p3wk4ya{R@3@tux6z5Kkgude|3=Izj{c|_J(LcxYBYRoc>P}B^}a;rlOyHQ&`DqW!?!b(Hq%i zJL)EdqBJy^<=Ah9C6YFrSRVEVHl>C6)$~ufKHX)hYfu>k(&%_K1@U%+UTOP*!z?W4 zwcvoOooMoi4>DFSZ}&wFM3S?CK5pd$Qs11(E1kR#U)Fy76KS={9Cpfh^Dh1}P2QJt zAL74zw*NOgjKRF}f98xmHElvL(U<<2F}8B~kB{E}HGAwC784SJ`18a2UpZo<|1U=zU$EpY z;O;UshSa@rSSqZ!SiocU&PmIuJpJDmmZGGK*&`_~0Rl_@BV-ce%r)%+Z67gGh=h5KfcWO#~ z!jE;Lc5QDP+9pXz$(bizUZ4BX(j zf7U#A$Nn!}K(q{%KKwJcsyf$xcV8gi%?o@2t17iz7Jkagz&@7h-Mn1S`nr(u?pNxj zlMxAshmUjZKYu=U?9*p@nFIaTyEfd3o{7Hao-u2=m%gih`k7f?)7X?8^Qlwm_|e~g zq2=V)=hh5dy<1`z!WR0Qo;ps@F7@_fD7JUxnOS4atILXo9m(e=o*Z8?17Mf2Mpwcj zdTr^VcZHmdb=bZ{&so#c+f8E=sEAU%&+e=|G z3T(yaPwHQz>`QLFQo)9&X*xDEoV86Njw77E@c-7S&&N&DGl{ zO6_X7MYfIkg+axTohUO|rZ3^w5pK;~`=XUk$M^A+%So#^++&-tk#{6+=4TqppCrnw zPzYX2)0eqsuX;R^pAMRy=AEVcA9Qd9O!AVtJVv&g$=Y;uCkuez@g3JrDgDZMiJ+{yiz;Sa4W@9#6QDuD$s4oSs$^*{2g;YL3Ks?cYPtdw8Ln)K|RMT!QtV~UtWqYY^=EI2|N3QvxKwu zw-#k>CEx>*DPU;N_Y4x3BIop+IGgcoXR3dW^7#$qK#};$lS=oPjJS~b?hTQq- zu(RXJ-ggUs{iC?g6Eh7B^V6Y!J1HK;{PK=!3KxFKz+tmbQ_2QFmzC~FkfdA>E3DJi+7XrJQO6R%w&fBPdb zFTo$Tg4CoM*xAZZ&K&veH5T6)$AjXZ$8}DHur7&R?u^}p^v(bcXSU!s4D-v+^&w9lx7*q?8McLIq6k-V?eI zu=(=l<^ZEpa)y1z4=2Rt3;r@T zP5fzR+i&rfZ=dqDjvJj$DfjB?7&1!IB)+7R7!{SP{NDE7&BDVuF0-5K7#przT?A`K z4SNftlTku&XZ?vg;Tv6&NbcXwmFG%mgw*wzQ(IR<)nQi+>IM>R&fYn)qM)=OEow;Y zvM>xOytgd3Jo@N&!__{Y5y}XEtuo9|4(HGx(Efsaj*hXe&bxM)M|5NmJ%NUPJtTFC zU-)%kz;b-OVc0oO%3I%la;_81aMCXKbTMov^iY=dcBX9g>!&4&-(i{{} z>ECR!%6;ImTms_ac38@%CX+9Oq=Rdd4U$3VO9g*7^* z;bX)!jpoTU-0haxVmKqkNvFr&ae@_ zYJ;HB6tB~CMa`SL$L`o;ubcl4BfN@tT>Km-(ezblW8tr(v9@)yR*DKXHOGr_$jp!K z35(B83w@bo#)?MGC$R3Ht~|PAG+QM)=P+pVnbo%55u8J0-@B{OI8oDH@^K(%;ja=l z>j^XDXw{FjTv+M#Cn1%*t#G~jGwE=DvP9%S*r55t0~$GE`cf>*e(BZ-ot4kAhW0Mb$il{{fxOb>O-gicSV1({4y|Ws2dsX`R5ww_; z(eg9#aN*P5r_UFja@VP3<(K^cnv0mR?>{ptvo3jvB0O6|J>vr}ovfHI6VINXFP_YC zSL=X!*O=IM9J6yZ5ufz5{R!+ir7sF$;qui$QaHhM{$mEPEjGDfdj56vxvuDSot%B| z2UITEYeIJyK7VL%a$5)v5vzHx>S`W9bvB>;Ewh4KIy%>~`+C-e(K7} znvM!m5SSeBpgleR!@TGVdq$|8Dfj1#_Mckv(u@~k091`o(Y=jh{p~GUMQbVERxkKC zJZRez3Ym%0Z=IEEGRuMFSG9PTmy@EV zqH<;RMju8c4{MGWj}3(ly_?(3HHntGn>_I-DaVNSWf+$l-hjIiN7N%MM533dS=_t{Ole~%|!TW-G|9S-kIHBvhJCflQ4e9|Juyz z>`dRWOZdfrp%#S7n`hOZ?A0g39PYc7<|(WL6#pm7f!B4Y|{;(tVODbQoY`TLcAh;hL}b)kDw4 zwIBf}OY6Qrb4*;fJ(GS^QE8N7@rHE$-RK(5IDL5@`K9tl4))lasv}Gh4#CoSm2a?F z6JZI#D(flIIxV&iqB7xsSFF3S=2Lf7Uzk%+_S9J=h<;%S=6LWE&;?u zRma8m=`VyH{P4GA90+_Mmnoh|wCw)38vRH1Klsr@h8ySSs?drr;iG3>G?6!+`?w*T z?#>!d^$W6AS=qYt%kxrSoFyScPS+B+IIgRMAHOo~H+9db7o5Diw|b$$G(2#lV}E(wfqmtEf8wpjZQe#T%VO`} zu;-3f5laq5oB^ff4PHH|k!K<|z9}B$(9gar>>~X3H0$ElY`}}#yERXYECcE%yeua3 zZup*5l2v^&25WojeuneO;2rgbmXjVx1DyJxts2JWKax?%e#-P_DhN>>f1UW zeI}H1yW$fbw6=^9mM{HAo6ClH z*!My~uA36?@5>L_tlU*T_5LB$&=B=qcHPbqikr$QtWy}*7QAbfhrGNBuaaq5TkqD2 zsL@Y<9+Y~rWag(ceM^mX?s|Hgv%l6WmA7(diaF4alzMk}Pl|GML*Bafw;o9RSd^#8 zeORtc@j`lBzb}v6$-71ksLmhy-G;}~$LIe(OX^teYg-jh!1vWLgZrn=vPZ=y_w0HV z#MU%lo~#)?AD7mJd9G}$rg;8-{OK1K2*e(i4WtbDO$J1(;d zVtzX?`?JH-b6NaTcV9;Zb{BVBf3fCP3lO~g_PgT+tGjk#`Q$(VY16J~p0n@rE5a}j z2hqa+5xbz&-SI|?u-YS~$*R)%BAHp|uFzZB3d4#k(fWJVYr&Zw8`A>!beEHMKC2Jv zVBfSx%svQqKYjp;i)rm~!p}tJ%{Sc`t8Pp_#b0vxiB&{MVKwB|l?1~B0b+a5)5L`~ zdf6Fr8gHeY`q^S-+DO5Vc!T`=vSCl3_Vn~gpPTSKC3B=x;$jT=v|El7Lf@pEt!*~a zRb7>qxuS84y=Y;vA(bHt@|&Zc;Nx}odGbW&tlnO8{2t$RLW*0%`*^Z{CEc` zHk#YqNQ$aIFBy!xd%fHnzv!A}8)0(?YZd1bCiI(pp`>_9Ut2-w8aJ8aGGzP+9vL5V zqA1MQYhLPD{w=qje8UHJ^kBXtmlm}J&5LoLiJzEtiKMXAqFs4Au@E3{>%`7x!Qb3Q z=kNH^t*nl6+7Qxsn~L5^G0za~J$o~{glTyBCEhu5feKfT@gp(clvh~Sv^)C%=;vy5 z%uLOvkJB5Mw6fe~dP+}aK1=G<(e>u#u{VqQyHl)c_=xR5^|WJLeSLmkQv0irA2$k zh(12-gV{;@=4Q`AL}jJYt%|HhK4-lUA1?1uVNsT2{zfmjz7x?Dd}X_M)bnMk*o%u2 zKYHNLcu%vxF24EZ&kHkXcIODV6e4q)FLCz$(mC&y1FW7?Kx^{J^{TE> z*ZUjUg^Ra8KrmTAdO zb;v6?u6Q&I8aOT&tM9#W^jDI}tD*#Z&79x4BtFEj2>BB9@CC7>BPOAnxJ<*sG%vLOyzzFTJ0B+*+2%?~8r1&u~5c_bnIM`xWEw685WS7dFpP z$`J<@qWE3B--g@O;!E;YEoqi;Ih8^{-0@(ic3wU$tmJQdu2k;V@1pas-!74P{A2aB zBKCk>&>_2Q?~v!mk&6xm9X$HCI_7`OPc9jwG< zI6KsEDK$#fn(;|bvYHeoJV?u$eb%zY!Li?krKZ1qEoq}oAzh%zF;Rv~IO=-;2)*y< z8guZ3*75cg)j^-h8+Y!pjt}Scbcvq*wE8=Mvk8uwJZ}^p@x8!5aJ21Ou6nZd>VB@9 zVJkMtCBb4PPc2;Dji=5%JHA=|xrK;(HJE$8rVo-WbFY2m;XdT@n~&9}_Q4|3El)g{ z*za=lGu?T}#E}D1)vF?5@alK?0|SXiX3pNH&pnYLI%`7-qHhGWJaQzj>W{rOHHfC7re@aQi-<8)p&Y z_q|(4f3QioVXXS8IP>J;m>KRosW*N1ODl||{2X-zWBUIOb8i9;W&1sjmwg#~cG*j* z%ouxOY@w1=#48M0T9BneS;kHqQz{gpjVMbC$}+Y>DWpP{F-*!jLxwTi^Sej&_I}>) z`+lzPdtJZx|NlJ~&pgj_-_NWjbJxui5l8lu zun|zLd7M&mD)h?PFxT6g#`%3buiFfFUO8rwP`v;3*U6^^E)$+(oBHz(jyT*Z3eZ!wAmz?<;VO zGGixA_m78*UaijGYWoEtTrc5>lvtfHhw4PQZoMUXUQ_g>WptaD-8q7UgGDGs`WbiK zt{hwhr%L15n&#+|#nZXV>(#@K*6h^$;K`>=PsUcKuj^Iek(WX3U$edk^UBup`Pt*g z&8~FO-aPnFsbkwK`rG)Eu};~kUQO25(l#ffPR7Zj9+E9wDg)a$c&BedZ#dd=#|1X! zul(?;YI#HbTVL2{m|bOSYlQyz*{kt*}OW)os1{%|dsnvyai7YMpMCIlz|GrH#pV-sq%*k) zxjt{F&Bexc7jsp;hp$F_E`+9EOpL#nh>^?t(y`9CHtW+GkGw-XyVnYp-aI)LGoGt? zW^=Sr)In#CmY9Gg>?#(<;zLAL#Po&HuP3y6*BC6gQtFU7mYPXtI;jf&Me8p_CCzc& zH@M~4c6LxsQs&xq%T@fVLvKsp+$|J2!0!=l9rDcGa_YWP`kKdznUp+E%yIlB)U|X! zYH`YpN+Q7XTP;L zek~h~L%g8B=ru+)i`to0F~Zisc>26gu3p_!V>@fNn5c3^csN(7QedCc9$0U9VZ+EV zOOL$+Erk-C{^JuiN^#HgbqCrbz}(XEX?=T3Rrl5&m-YxD*aNKvm`jc8m(LDWXk2Im zA)5JU_S4(9ITrEpw%1VC&Br9;JVwR4UiLfd^^Ulq#lw|K_AU;5E}tC#rUv&^^sCdXf|YCP5{K9nNLm$u)G z-1RQQ&RJe<%cmm^0;C`{_kn9WHtrbLU+Vju{Z)#mX2*wQHTfZv)u_*?Rj-;p6iGW= zCnl`EEq}<9+&*`NV^Bui{ZS|PtHIF>dC5Tj;6olYkBeJB+VGc~9liFJo0C;+Yo8B) z-~D2JZg=>WfvdvBPd$HYWDefX-T6>0Mh+9d%GcXI*_U4<@`Ciiv4#dBb$8h-Jv|Tm zr+f9&t%w#IAC0fw$T<0K*TNeG-SOn(`uo*0H06hPL}f)peyUXLQc3jt2uD4_Q0Ln} zWGIocA>^?w8;?^Phqg8b$LWqm)ud#~!|li_nTNH9uXzh1tX%NBPq8k>!uEaLt8}J?ix+}R+!r1^6n43k1ft)h z-%bA7@McF?#;X28tZ;2_iN-Olq^-f!?#why!`Dlzm>5lr&-vkf=?iz>?y1tb{`FgI zj9Ineo44otpPy?$S6%g3zP`$PD;oYaYE#gz68d3Yr!cL6oR^O;oY~ zqklZD`HWLsWM{^sqfK%5=Hx8L+@wCHi});E=~w!C5EQ0ixh7#KCA zmOu5@OpMwvkA9^zabS8~Ls0Ji@R%{^TjiD+MbgH~WKVKf%`rjIg2qf+y`;HAg7+sV z`z}~Mojv*X(fM0ZsiyPR<~QDj>3u$DL*KMy;us#+s6G>m*b$RGE_kr? z&=xLn5rfwC$JZtua7Yvw&oDaou8k-kRBN#*b#rUQ_9;6U3}GKPBwQ-#GagfypxrNX zM*2(CLSvT@ab5!MT=QtL*c;wiYZv>Dv3x509Ngy$x8oIu5{0XNd1G|t(-CtU^>0?( z-OpopXf?huPWaBQYaOa)WqXV77C$_HFULLVn0;k^u*2ti<3oNaW5+%@BYX+obKJcllco3V?22@pFR3msPKc-_Vd<(J52{KUbP#K zohz8m?ltUPGbn4lTzjV)@(Shj5JBK>7eO3O67e^*scb06@cNx0$dgp0ho9klaeRWy&usl4sc!rNtT%PHC zReV@gj1Yyzuox}Vc){pMcI10_AZxfauy5Cv68$TAT+ao$In9<|$GbWlsTEv(c*Bi- zejQ%|e)Fz*8TPi~CYZpQ|JP%c#D83WN!IOn}hjT0A}Z&yY5T=xIeT2bO$H9KL5 zFfne>KH-h0h$`0Jzk4pFXj9fFjXtbedA{=M&*@y@3lm1Bv-aH`|I#A7e z7ZW|y>L6k&&i^cH?Tw<=sa*BDG=fjS_KoS+{WAim-1e;h{4M+CXwv!x$sJq2u(kP$-CS!>~88GQoMRiIry=Ozq-@OG`k1)%>-cWD+>rP2QHm1u3Z;_V+Ot~slFmi*g&uf6N*kxNF>YbwGw zK9Z1k{p@qhiPz-Qw{x0T#uu*|e`%{J^pSOQd99xA;ukA!GfuvC#%6y}c}-nG(A6Et z4TZM#pM>h3B(K^NiZl?G@10Rzw~*`Xba#!yopIl5H~nowwoA*IaUrGF8x-izcdsjC zGUvik6KlZT4tMAM6JFAea-Sj>8rcbIX6g74zq zpyTA&lU~*FgHtW1JQNFy%uMf$nDz&374kpy#m|x9>(?!rF=hX@tRy=MGk%qOfQAq2 zs72rEtJyFrJ2@ddIJEDkbQHwer~7C}BQO4@V4!Vy8UN`*sk1Vj4zAbZ%toAuDw`4a zpvz;DeFrCiaL6cD%3Y-C$)v6b&pA=fW0Lqxby@~yxf3XU%-H4g?q zMlWfd-J_`CVUq0-dhF2RsTa%v2bPwqzrbk|W4U{>-3aK@7irHixe-sDs`9xHfjR3G zU-DnMCJ$3PnaBO+vB~(u_77bhH|(DI3b1S_un8JVm{qf zyfIWmio_w_e;fDD5UG3YU_woMw^?U7Ym#ijH`pKPv0pMmOH&S6RC(;72Psf?QcAz{ zQ_8Bdvs@7;YnGNWox(9r?|b)@Yh8Hubo!+|ha1D%$^C9Xn^kMAb!-Q*&v|ic;>Pi2 zPRzb-nggegx4v!p#J!qwYQ9MRw2qInYGdlI6h2Y88AYSI_*M4lC#RlF@)|EuN|wrc zZG5NrNBT$;gh`&q?LucT6Xvj+z~@gJRj~ul5B# zR@BQ1jLXXpTFB|ud;IE*X)Uoj;k;A$8S(t*g9D-U2eaI}%Ud-4ouV%?rXw}@u}{=1 zXs(w}#W1w-(K-+JSos|Ia&Pjy1ZD5lGZ%?fwX)5EvkeK?{2&$Qt9?P;@YC+y1C*ClD%dPibvwGO$ zic56fD!K+w-*kND(pKBpbV9p&!pEE&S$*Z|%=Y@G{q0<2`O}k=Z>jP6HmV83z*Fu0 zkZMcr+GPe(?uO+xy@#FggRehX*JP7U%g->6?5s1$#)NMn6}z7f+Zhj2x@WMZRb2T< zNdgR)whC`l53?$WKJQI|eo(yX)Y(dIjw5tA)Pp);M~CMKG_% znGf|1ikI&M3b^K87<9UPgL>~4akzPZDC^S5%nvo*b<=|JeavwPF|r8ou}k;{&&pI+HZ&+9?i6j<$;+Z|Ax+-!YuCpQBxjyU-| z_0ImAzJ`6&EPHc7I8y>nldblDJuC2vP+I-OMJ$wm%QN)(0?l_WAFn6wCsq2OB%L;D z>uxv~knFoqlac&v&M%V?^6{|V>Jwb&I*Oj(uj}0$bvwy8`@*8Db;9s(<~y_^f>D-V zRJt_26zw6`y$&>-ivKO&w^8!B;-bOz4X=|*-&XJZa7Fw2;{b}RmF>fhFZ)G5=UA7* zF>gICUr~@a7_ZmkIjF*!oZ72A5dfPM_wMe$u$bi2SWuU4R<`k3{#lPV=k{z~XAmIh z`Y18qZ?V4IQ|d{V)Q;_}V~Q4n$|(gYeZxCV`=*{@9+>*VW~4=MB{m5NpR6$v4`KC%{Kj_w<`nNoIrctvK*eot#R*s?eLy+~n&B(Gac z{Q^ger@~s7bS*=4 zT_44CUe$UgA*=cM`D0R7M9nMfJ93_L(L>P>|+6gvhH*=Axe4w3m zfDR& z_gQuOraHxzh@1DfHXrayH9-p6TL@|x7OP!)4X^TXzHqYwf4ZYLoX|xS4Kj32ZxZ=R=W%(1%o^dR>M}|a4eoER zoho;crbNh1Ex!HX_RU##W5<{v1_s$L&$`F8Wp{rTCL_*HzeZ!}EH z44;p6t>4Q1^sa*^aCl6V73udGtUIYfe9+R(sv{Ccrwxyl*;@@^(2z#B}dY~>o3`kbU0--6ZWvkn;@L&(`naa{UIiFA90rOLN25#xtXMIEw# zdnbbWSW_Lpe$zJlMR`u>-lwORo+HCwuX)sVFHdLCPT@C)VS7wN(-jkub)no^CdonT zX132>l5p5Mw?0|hqd3srEHEqO$i?;xTsM^!0&*N02Ke3(cq=U|u^af3s_gW2z3tT6 zHZPpdWkl={McGN(W<7|zwEELGZ1u(~v)N*DZ(3{aMQk~5XP9MZz~kNd%KQ4(4Q`YL z1I=%G*5=TxS(D58DQzWW%Z8!z3f9!tL8^5l*NgLtnxiym)l(j+s=xAV{JFYH8-djR zwGQ6!=fWef^8Dwjaw+V5p1GGSWvNkZeC!gtDHYjusMuKxluz^$rvO z3ygrzqg9Z=UV;B*Zoi+o5n2EeIF$eAMd;z*OJ=A4q2xbi{{uGPF+l|W0k)1ddx;W& zt*2{*0QhPl^pOU-dddK{E>c%Z4^Z_3wyvImmJypQgtCsdzLw7SO9MkK18@aM{SI9F zkH8H9EWlVTZG^TFSY`!)8yO;WjM%`DdOv}&@zzD?X=(oesH>&RE(D=$h}1$D{sAjnL8sul>OK_ZuBU11(Tb?C07B1}mlgjx<;j_d8_7e?i*N zNK5ZKmTaW8wGmqSKOpM<4jiek`=1;E%g+9Hz_ql0Fv*8X{?4Y1bvo`6Ie{S?{n^$7g0S785@uKZkjXP~3=%M18V3lZTC zAUcEqIEQ|Z@4!|W_&(ngL8n5!eNQMO4Of=F3BY&z`W*GL2#Pq!=BS~rw$=~z0WP|h zLB~&mmroo-uBhQY@MvGqN#N+KY=mH|-_IBpE1=jO=RipvLW8{b`<^@qcd)YlzN*gx z?&uqF61@8(f(6I~l+jNaslTGfY=3f~5J&@d`TN*+K!TA5Y|UM{140M%;pZI?6r=&0 z(v>t&vq*#Ap@7;zuB-%DNdx>tuDHFg+yUiB8m>TLBmM{6jo8Kh!2N$2XhR#}_tSe? z55W9=9U}txPOrq+KpOqP{{LG~YNJ1`ApoA#&e4t%&Qf{pym40BgE^ecq$G0_(2LguBqTVW(iUUj>>TIJD6<_;5@iNIW<_g^7fUx zsU@j=k6yr!cy%j=z3d5uT#kxW)R?q}zl~lpz=eOYkI7ydj@CgTFl$}bj!cmRV|^a; z&aC!i36yhsR`ibtNC=+L`9`@Wg-eK@_B?n{=G4;Qq=c4{sO)hX2+m9=UeD^1d9%N2`9MR9=;k}i-Hk%4 z-f-Qy5&Laztlp|vJ8}qT%n{{_Z$0J8VHf)pkojJEW}Fiz>%}`*ZP!p=zpk*BX@6{-@L*bvwDyI7se_~O6JwCh2+C(20pqyfYJ{L_HHsecAS=`=Iw&mBuV8>pE+( zCc7W=X76*eSYcM7$=*!<`{!SJcFAtuDQ&x}fWuD4PF{m^f6$eu@Z)cI4&PNFW}sbr z{hrMEcALzyBH{xp+kN{MP1ns-JbJir6lGZe^D26-u6rzgVn569m|KxuP-*m zWcWRJ@AUOmopWfF`N-q&TA9Kqv5F$q+oHUT-ub*g-zZW5IfY=DOxJy zjKZZ2rKrBe#5-`oK(o6!(IF1@QrlIYgFCyzYa0`G&a4BruZr1ZK6Tflr{q&DXL`Wa zJ7@6Yef=|ZJ$S`7#R%)V@RRv3FHT+fIySK9%LjqOHu=wWdf)JopZ zC*zDOayVrLw!V5Zo8)z2M|%DYca-am@FdM!<~iB^PmbQ{@AT^w%alBox~B7Wocevl z!wk9{k3;XoVv&#Ao8LSx+a%r_)W8k>|gheD(ONUffY{ zz9E0^VPw*#heBQD;e3tI$GzVwn2_h;2(Mig{i2&gzj_&cKI-l4erJX_`tA9}sw~39 zMV+|8NFmX0=htr!lzL{}qou~XRun<7uNVr}?O5J$iEj)$^=*fNhVW4bb?=GgsAofR zfe~YNa!Vdk>L=`Kk`P*l_b%&&&>50{zq4y-mUZ|Wr z=TcX0>R_9h zACsyO+*4(pqpd77dD{A1j}7ZuGm){1BSJvWTif&e`65y0eLd~u!xklWAJ0U67`*;u zcf`!`(L0#@p0l?)tTGSa4f!8jJTbE7)R{Yu7NgeCSiyuus&~`fog5QkT^p99%B>lS zju8RTx_gD%MTp;QX64Y1l&CQBprdb{E$(HMN$I1G&yT&Ba=NKzuIDQPIxclr4RBu^ zx{x_)^eS-hDt6GeaQ{dz=cTo&Mq94qX89Y1ed<2SIBdV6*}KZA$h`PEv{~|$Non5Z z#Z^w@6OM7{9XB~v^+NYrQkvmscWpV#&3Vl(Y1@aATMb%{MawVpA7xnvJ(VV^+pXJ8 zgAa(`Ta|UeNv%P#RzNaqqb5B*>ji^{FDhEw$G4$XqtCnH4Qb}WxbQQ?iSreL4-)i^ zEl=J@AFmn>t=T2eo3_dDz;AQ~H3mcSe=&G8#+`7YiFL(5% zcdXQ<{ThxNANav}fBX1Fo=AiXJ>hPN5Ymi&S>kAJ7tY%78)q9YTxo}z`c}Qx?czc` zXP&9Zkom>!Zq{dBRM)+lSrs&=6=T|uQC-`m{J!&u8*fQwT}{_(y>l~jHBN=m5hvx8 zuDUlv!XKTfH`Pp+vE*d))pce$uwVUg%DT3RdjDqb+JoaX zb)5qa7jr3^s+)x+g>7Ja+@H<3m!!NtRQDi1#$eY3R^TG#QNzLGqo+S^I$Iv$NWPH9 zaF`#kiFup=pEfxBV7Uwu`minf@L#n0RzC0SmWnoL!~fp)T4`$hv-S&UZT$!orlW`W z)qX+h>LC8j#eFMf{q5>Lqd%|i%Qxj!_5qPO{x2O^&18q{#$Aux6IGSzb? z&&a@}IJ%Kj>&n2>Ip`e;?YQ6a-G>u|Hxi%~%`ZfbdxOZN5 zMi(iP$GcseWk6<=^nf69@k@U zT&hbNfs~yDXkjvSisp?zYaXP;Pv0O4Tx`=rJyA5g+p|DEE7sPZ5yw3yrlQ9s@bS@s z?9F;N+Br92&t~xniE|_TjvGK&P2PC<&Bsqi}0?9Effj&xSvZ(Ee8bf|U5SE&W`uwo5>Tx=nX^CDo;`YbAsh2Fn zdo}ZQrccGgN4-vGiBVhh&T^$QsxG}7gLbR*IYhoGn~9{C_CiWcl(x$Il9EG##a#7* zkC8ILnGfq_;JK@V2a!;%lGwmfMm!ajBa{rnPcfH8PvZF0*ZY0o@KBQ8Ro*{xsD$yH z^@h;2w(@ydGE2w8kQjsu5mRdBgG0?a!nQtOhxEkk3Y37VYMUsE$>dJ|;&vfz*St*8 zY$qbi3bB|CVnO<5#UZHIhoTELi3e8ke3@!gBqS4~S$XE-oH}z4(GQ++ zOdiRd+!BSkoRU;|gw7q*#ZpyO!^a$e3^KXRQr(n4L<{!z@A(h{LWt_uFh!tVb`&xQ zPl1?hqw?bbL7Q+tug8FaSppV^IY;^U>cS-jp+km3qpGN%$^Y&zQMe{62FX=!7`0wB zO6)ch!(_?eFkqn!c_)rVB9U9-HcKkuSrALq6vsd{%D6+5xCK#G4UJ&{kyzpo3lgHx zp^=M%&cu8om)gYb(QJtVNybuT>)#Xf?UjWv62Cd z{1RqwZ!!%>XTTveoz4W%sqQiIPz}^Xp?UOHk(Qt&XljZCV#h&*m^={hMb5bywo5bE zD9(lj5$wM8PcKI5x64kywp*gXl$*Q|Iml%tvo0N3C4?XBnY0d6^!Xfj9idlG#rYe*N)?x7J7E4y!7L@iIBZ#Ki2Z_Jgyi-zx0L78#xd z9?#baM)6v<8J9!t`BS%dyo}dE8n%tWGq=CAIZuqJM{AwGkS?t{^0_-AJu{UMZ!-NSIGOCwoIP3}0$$ zikO%X-x0$QVFklrLUW3+O7nS35C!7L0b~Y4A>eR;k2ofrY5>BVB|*hx2t*qr#NdDw zEU{~eEe$k+NBtBl0Rx1SoinIzr3!k%cY^};p-XW>5DA)!*TwkbzG?$eV+$SzgM%m( zss{^?ho~MvVo-QAOL_|OAE1-iZ#O~BBHyJqA_xSY1oh@26CDj?m4zci6b$>9L?(R# zdLfCKY6e zkWL$lz_9S_7iY9scvii1*;F$c!(~&SH#M!n>~#Q9LS#bu74h}W3HZo1@i_bpZ|m#X zKwerMj}lbhHgRU;C4HxbDe0mj2r0c3qq5k~;hzGn zCWN#pYJ-6MrFl;Q73`x78X77sVGd0e7Z*#^Ih}f{PRvD4*JyC%@Q>_wG!o!#F;otyrz$8sAL3s2BCnwB@s0|JUyVxi+8f)=4hPh{4v#H15UER;07pw3|Wc{-Z;%|e5g7*s`P5n2!PbL28q zq)9Vh^QeCPl@R78=LWxM%D@KjU0aMim=3YdHoW47rpIeBkkyDU2edqbOg;<|$Phat z24dOsIKY=@A|Oa41!`P_vV@um(6yqJx5RsSs5)FaJ&46AZU^T+G>jf*Ki zz-kJl2@U77D3N4YK0ieOTL3)LDIM|14 z4{apPbPOt6dAPzMMh+|=4Qs5{ z7tQy5SGy}K3b~?zxooYq=Q9Wu0oo{Pt8#<=^Cmvn>@wf6MxjeU193nFF%UGwDoHJ= zpCt?p8rJ}mQ&R&$^q{Z#uP;T&YN!))k{@3V7bePt$K*a-QNiGznptp=W*I-!$gXQ6 zQNkow=N?;bW4Joi$m{uY{Q8vN>I6ub$a`kL3~1yD0UxYGS7Gv3h$(8J_HS)gzFfMWgmaIsr2!RKP3l88vOLKLdO*y)&*aac3>#w8%$MuA&fIi3=1 zxbp0d6-dC`JQ@Th=fJ_sq0~i}ggI)x$#?S=@$-AL@|#=%i2uKLjQn*#mz8cWyZf$V zV5I-6Q~%E+x`0WOAD#MNvA;o##eXfXA}HnW`|^JZ>9W2yc=amLzZ%l`IqCZc()IuG ze9W)F=f4Isz}3G-I)MH6r2i?N=KA_ti@%8{YV>nz`&Teg_Jry`oQ(PvOjKJ3OsM^w zjMCQD1vmd13%-~2_gwgMSk3$+vWdY)t3Bozart_vG3-HZ6|mu!KFTs3Tza+nXw0=L zACUw*#QPg@wzq9cv*d)Zh8La1q!Miu;<6;3af6nDV44}ZVVM)D^i+AaIyE)@r*48! z;;pYkd6Uao)!&~Hr5f^M<{+p|epNMdj0kIo<^?_5#?rAmyrHmk$PxtOtWxe8t2ZML zMA@`LDp*NcOS0`zVM}$vI_x&toCHhk5e(RJk_)RY{|pvuBPXWx)hfOw%@XX-=}kmR zV7(y8IdMU+$^|1>taFHRgnTuWx(quDiOZ^7AtE`qKrNx%tv$*|WD{m0(y{tH)l`UO z_FgZgCApOm3Q%E+Zqun(H6wq(v;rJ+AtmKwa-Gy=PJL7agw+uep+eY$92I~9M)D48 zD$A@xKuJm24>oJ5j9BI_H&lqP=?}tghzeEf_DJkG7HD)&Gk`gkRA+1o_q zsvJxOh?_jmPFu=C$D7`8V=r3hjgj-rS{v4b%nz+pc|Ma5+WDA*cc=0E^CZK&{ARb?|$pehC-zIDutnh0{+tF4RtUl*1@P=TT$rw?p(FfEIBd*+> z5g#|F)CM-71Oq#9MLI@2B$gV>tdDEfJf%&ZbIZ%x5I{nMKW0if09McnI0AtHkp8A@ zlK$gZ<}`bSX$27F04{Mg>0?A8bKB?;ge~sNH2n6zjLewcX0;y?6oSsLbFl}-?MbKGJzhw@W&Fo}Mn-7ma%P`F> zD+i;bY{zZDh}$gII;V13Kq(4Dj+N)a0FXyUwT*L?ccUP`UEBRO~hcmB=3#Hwc*7#}6pr#fZ&w)y?yGPyegM@ zMTS_aYDE45w*-GEl?WREJY8c7nAp|I-g$DF6X6&YmwyUHK1j!^E_RjfL!M*2pe&l1%xPnBnqw0$B;r{!fI^M5yH>�zf)6)LoaURcmW1~he z>@kJ7k@qO9MpPUCn{b4P0M9lnfgF$ch-=$TwbatF>*KsZ`(~)kn9?<>MilmZPehvA zVie*w>*ZkD{7v=JS!P*sw;@1;FaR}16ebk0&t8M^!$vS+YZR243OM8SOz1F(5~>7= zDJk#fu>tUW;y`doiF7D}ZEUd{Iciqk2Z)zTi3|hkSZ0&5_GWW7_!f-vKB3lDKyTZc zI7`+~!m-BZsKE5(2Y~JgogIh!*P*-*R)}XSJwnok5f#@Z6CG9owJ9_{0TFSJ&`QVH zmK-ozpm`}BYs4|Ov|`zb!VPVvD0TvoI|0O$W!trU1%L|3DOYNZDusjX7KBIMv57Kt zNljTPZl2dNhTW8#=T&|WF6Md74B} zEgPK`f2e;pQ}Zie_W$oK8y&=-yi3*>Z~M#mlixG4>HGufY=4-4M*6S#oPUTusr_$G zQ2n>ylRAk1F+CMg=M4=t{AIZG@44CZYHR;&(*xhJf6RklAvl3M)X$b3Xg2sqW*Q8|2q^mZ*+c-V5x)n=Z$`Y-}^hi zSOc#9wHEJxWz3%%{eLGFKO6kN2d>ot8#Vn?#W4JTiSR$Q^Naq{9_k=|+QVOj15N&? zgd6=oM7Z{!jNyS*${hdmL!h&gjVocJJ&rP8AuW=0>;M@7r2o3+`ycC~qd^KXl#{mQ2{`b?D`l%Rll^5bVn8&=~g~(0-W4twV9F!tLC*Y;SkG?1j zKjJ=U38oE&_!4b+xm3T!5N?Rcwj1WQ%xZ)fpB>CNJ7_-|E>WEe9yRN4Z>vH0wbkga zOsWW7o1`!-lAHvJiwi7@lbVviB-&}-iRP0||Hd&85IJ>rGg@7L?8_1ds z*J@UJnX_oKOOn8v8u!|D5G3QK%U36?U_5nh= zjs$R&|HfG)(J$F<2+;6BT55lq-rxp@VKA72;M4_U*1^jm6#%-ePu%IrHS7ti^rabs3ZU2&J+T{Q4@!*VTmaqYy`P%N(jh3J~{hE-8XM=DBcYw$)Et^YE_c} zOKNUQk-S8$Jk?2HjWIA8NVCiB&x|#=y1viHO;>C9jDYF0>AE>`jaGYOc`Zt=z2EoV zqv_mCuIcLa#F49+Tq8F!R%Xl$)^V{1%I(4AnTE#q%b!E&D;b`#2mdp`<&Ji9gLN_~ z!U|hK$Fp)Z-+zpw|LG=K^yh=*?V%xEXYt&iSL>!BbzMlU(OCRJjeu-yyHH+P%+e6+ z`n?-1#wj7uLwRXx%U{P&-_SX|R^A3ofiIjfQt<0&Hd08_33(Z`?X8Vk_SZ4}H(#3HQ7nehTg~wx2QHZK|~(cf@!$12vb~kHuG7{6VNaHdc0X#MEj^M5>|)b1YPD5o~B?J9!70L}UJ`a?2 zq@+oUt4KV54~HW!&1oCAR?7y54j$Wpk$4EQX;zj5bwFdDaeV^;NEsJseVk(6rV!v$qsbXG>#Wk60N(7640hBG6mo%i$Aj z%V0k$I9nBFZ<@JH0&pU8?J1CV78>YEWj;+2;uRiMj1XkLh-4Is0i!frFLRUsnZ~-+ z#=|dVfwd6qipJ2GW#M2_Uu2>yg)dEtFWq9SY0IEhWSL3k>POT1vcc^<(EB|=GcU(} z*atC}Z~*!&iJ6*uj*J%`WxtUotCCrVwBONYT=q2C^G)TB<{%N0I&}}7CI~*~I2ME{ z6aynv2ox3Qsp&S=6tOl%zNsl}nUi&tbciND&|ogYgIx;eh#?Nw(pOs*i9fJN_C#7* z#bxQEAQle7;AnNHMhzF~=FKS~!S%DVlP1*E?mS-VA-17yA*&#HcX;u|9EPB~ezEDPS^SgIKee*oRUmpRiVBbWQa1_HuI+ zL}Mv^EVso>H999U;qhB>5KR!mjbtX*)kB2nX~RH>zQkNAets%4Fe;NP67#py)nT|uy7^3OOy{(bDEnt?-Vnf1cg&bVfbW;rSh>PCN%Xi zo`5g&5RJL&3_+wSd~)5a967TNf~p9t`w{3}NzmQiLa@VVG*yp@Z(3Yr^ZqHFGEytC zP+SjYQ-hvP;Zq1nz|9E{p_ir_Bg|=nWoYOVHM(92k4qxqp>Tf)O=d>G`Dp_8Atq)~ z5#NjBU3mi%c?StH8@2NC@55Qpr^Q8)W;&i+4}6jyQe!IPv?OT7lm#4~goD9_$e_wV z*=Ta!UGTz39CK*~gG-i9reeTj;Oas^@rMff(=e{k68`BDNJ*(S0Q0?5WjGJ%L?(AH zegTJ$#4sU{K!AWZ5_*YgLC#BjB0j;yJe@X4ffy(loDGZ4V)~Q!bh*X%1)(rgP*pkD ziI?0oQP1|^09$iNCo+`TgqPJbP|0*EdI${PK~PLBoWJy{GrpIG7Qta+7>K%Ahz_x8 z=&bx&4FY%50@SluQio$g&4L32@Uzs*gqlF{QlcJtOr~>W3=~QA@=yer0Squs)IINr zQq5-~X+4yMVP;tn1_qB&f`AJS6Jkk_Gr1?2M=>)qbo3G-Y32b6ln;@n7#ajE!5-SX zB|3o_37|!i0R{l&GEhu49QP2-tiu7M5p{Hk)C->PN+O_kmEj&@7U?uPzF82Tj04$! z6acXq3=Okne&B@0_fq#Xxk=-D!4B7;CWIm)Qz!seH2%X1LQ9lg5FUdD#YRLX10u>G z{9Tqrw3Z-g5*WiN5(80d5Df6?rPFCsO+kq_K|&^lgo8lA&EX@!X9g|6e&^QQ?OlAT3#DN`9`Dt#_kSh}qGzXg@^1#--%$WK`HeTR!#y-di z3)lED%xIJcvuugnM8=DJf8He00cON|576>Y-lz0N`3sv!HVgz&eG(s;`Cb>2gAYO){GY$h|lb0wxl|mx)X< zqa(iSt}ET6d4RS=0&zP4GYL#g5`_>=a}w;G!ub!7n&}uat{TIn1J(rtSU7V>I1p&B zB|=$@QWIN%QXnc?u!)KhxD0F*&{1Fql$wAxunU*&O4ly(+O=@N6U9AHPPcqAZUMu3ClA4)78c9t;XMp6z zQz-Air`3O;lC~nIWe}a5KpUxNXl0fG(WL6lOtZ^gT#@RjUOW@?bqg>MKzI63Wf;t zte#Hpt*WAsR%)J{KnA>Jas%Ho_AB*^tU4;-IRrIRfFGJ5smoPxiUfE#un3+*5C*gK z0h2Uyn!9{BhRI#li;s~5c54a`1el3wipk_&qTGcb$_K#Npderx0U(ILI}}{c+`TjK;Wn;9TkGXsZ7}Gsc5~S|KB* zRvMrG1jxBRkPZ(#F9lRKFbs)|b&Y2*u4st$9U(RiY)zo<>1CplZV4X4P{12Fp~%P| zkZ{0`LKrtV8!IN&zb}%PT*q$i0Dtu7Zopc=nP+HpQnSbKca%sFIj;;I6aS9w><6sQ zw8;;~e%C@WZb2%Z1kuX8rhygx&b~yYvK8h*6dLdrj1+;PfT%&>NL0ED#axTSurhlw zfEkMNv?&6RML+}-Lz*%NpEMiq-M0X~KzUUc*{ip?piITKTs7Ek{c1DZ!3}=2s8k(Xk zu|Un42atL}WwR3&fL}JSpGr{g6h!tXfLEe%pb&@vu>>cMtk?`1#E>hcVmyYy#>77g zxtm`PbpgH$Mb9wciy}}E5CkQ*&m_*=OZ4EEUT6^qY+OwrW`S)`2p`xOeVV|;QNV&%Ig=ESSv5lp~QoX2oKa(EeQB9HwnIZSWmAu z6=9W8y;AVKDwlpRU^$$M+sj>+sH#=mf8^Ng(^qNDptYNjm=O3RQXZJoT z1K0jm3A~>6AAYncFE!ET%@@1HXi*dT^f!zBDdIUhgTd=kvhj%RuQWn7wiF42OSZdU zUv6W|tT3$c(THI#@b(o&g!3Fq(6%b{lH>>%Gfo9w!Sa`gDg{Tx6m4I+%k*qFe$uua zRd18J9FZ>FHm3GsLB>jX{6w0y(MX|j8;#2b&|&Je&Wp=K>wF`p0Enn>yA1q}%|5Xv zt^${_>-Q4ud!7K_UBeZV`5_kfAVHWNBK3)hn!M30H@z%&x=p_;_)VZPgJ{p zb`e*8Yjz9PJeghl3G^wb$EudRbbFkf_COSL5C6UEM_2x!8STIC5P=jXwPyFg$SCl# zo9D?d^igkns?eyV`i$ee)@uo651)0pCzM9n-6B;>Wtq?oMDMif)b0b)z|)pA3S@A> zJa7-Ip4GOc23UHw9HMbB6mY&x-SQ25gd2es02&pI0dB%15){7xJQkIzmY`ShvdX`i zW3t3Scj{ z()h9M9PJYrTqUUw2fPFGf8>G|r1No$O1Ng|%i1&i@$CEnA`K1T4TuZ?&9stwPXlX! zfU9!Zt}Zk^2Hy-#b-~e09C*Ui!lD=P^oXvl3 zjv1hf5FiSXaGCpN5X4L;^#Pyelkrd$6%_>Snz|#+#5FHMlm)>u7JdX+7S<*1dJJii znF6sgZQ*oG3Rr{`4Yaw81qJnCn6!lzUf}~!H1sY420ud=`Hl(dlpbwv(1Is3D* zD#NqSg3Zc1c|-m}{tJ%XFTlUIvI-Yo3wo%WQBj-HSj!w(U*N^$7BhsN!<8vZIc;z-i6^=>Ai0AK& zeUqJl5V(kkr@Bxm_?f!ql$aJHA;Wu?22eeU_q6@Wpr4K>*a`HBy!%H~Z+Dka!QJKb z|3lk*#x<3_ZKES42_zvwdT602C<+k)Q4k10!Gd4|q=g_Vy*LO+izx)gM%0SiSy*oKWggpbPQD(~6FC&-!xcp3F4@bqWR= zP9%^=e?IV_Y_bzaRw2IIP#Rt9ELMSmM4OuvkuTM5V`BxUB2@B88cH_uP@^&+4jV@y zDuJV8C-;~eg-xeeX&J!lZ!z0aDJRrM+&foK&KpthS1H|(F*BPjEcIh{@ zU{CZaYCF>(1#q&*N+u#pK00oD_NS38I_9aY$g1gBFO9Q6eC`co#HFv*T%y`s$nr;b z{FQ;tRzH8meu^tD<;$N=n4ZAy)ck<`0@{)z7_RPff5<;_6zshlKm4AZ?bnSN#go9o z!K#c7K3O5DZ!V!av9~Sx6=q92S1*@|&$_c@UpwmWsRes54;*pi#Q?)2UZNObMi$oy zz(FbplFeFPSwexSS}%Z2hS>ak8o@)E zPhqd$n(qxVzI10f%AZKYs-cP$!@^9?~Kmt^^QS0IxPG1+Vx|nKBof z3?u(6;`}W5zbjghg5)xUKL=E<{X7f2P_u@``L7!VOPFq{ffmJ6(^dI9K+FU@e%|+I z@z1-61}&I>kAHjozjuXg1<1gYV!i)8_^bZY+P@{L4xd4bLL_RiCxMq`@RkU`C^$?d z|DN=-ebAH!S!9yYe@g%&9PCO<>3X8?o(e1 zIbdZ9usx?j;D>sw2{>NrKj%e-0y#N-hKZgk@OM9-a@Te3PZQDl?=J=ACNEb$dat&a zy;M^2n_GEg$(P$8!1aQCa}EI9kc<^N+jSSd`1w)l3;(@Ma7tig`Oo_X$p4lAU|%{n z{_kDECc}gNM@N94T}uFm>c5BN|8?F3rl7Cmt^8jT_wNAwry{VepY8p;dvGfM`+)2q|4W2-$pIq~Q z!eajmfOm6s{cpB0m_O?OGX}rme=(BWej-x;1y5}T$xZ+N!BhWf>i>vNIsZSf_|=v1 zh&z0&{%vRc5fE8De^nFQNPJU1?K}ai1x6qV5gIy6o_c-ME)gl6QpAVS5sa!#69`X1 z+Mmogd|{V}#bpXKcz6SDDBO-zBmp|Ayk9z}3k;qPe;-V+IVG7aR*>7KvMW%XCRk@z zPs>eNSI6Wg-MOiHViC!E##dA?RAnMgaU#RJ^H>(&tGfd-JBasZe~3+ulfn zxx(Bgj5Af1IFp>jnG=d{1s>0>KPCzvC?c=_;B8t&pE(3EM}(sX%xj3!7tf>zH1OA~ zY7d9SgMjy9@p*!yMu4-?Kim^jDtuuKPp%X$1%G`F9Ri~Y{ZF1n&t%sxom(FL5U_R* z^2}+OLb6mcBQte4p@BGH<~{<)`52P!3{Bc4wYlLIWW#c&xm zC(+XrR}xp$;*#(65Xs)j(^IB&S_9*jrlmftNMMpKEQ1ehO@K>Ymd|`e4Hy{0$jq z6>p3$noLqK_qArx;5sWis=3l~TFM7pdi=&`1@%qP(O%9~%2-onJ=M2&Rv#xG_nBWx zVsjc44K~K5wdp4{DN0tfVzDG~xM*a->JJT)v&os}AuHE?a zl?=r>@|W%1vo@0nX{2wODAg?C&=(9D>z|<&NmC!hGtg(4ovZccTNf)@Kddi0!aYHA zgHrEKjCWA0B)&ToHzF~0+e`HQTxpdf*a7_h8w-K?9!z2XL*oviq#a7itI&A!K>0US zdWB$h%{53vbpsH#PZ*)C&zWX-FRwwIdYNmTg&{9kF%g*<4bvlCj^b(oslQ-V`y6V` zKcmE*ru4y{qtB$aiCpUP;z{9Lyj8cfcBqe*bcxGPS_CGC{<4Z*tpBQQ9EX%3U6r>g z7BW?7i=)wetrTYe75pQvwbKZP4+mf#k^Tz(X01(G%|PgFQTY3c_SJ@yK^ZqOkG(rs zo8>heEPfOUz5b{e@u z-!;yax~;|$t`z8d)n)!r=VpTtiKl&>bNOjqjUJ}dyCs<8GU0X;Wipn-41=QlZ^@B3 ziif~8Do)2zRb~_-rF=xJ&zxPWJ$gN{LBmygnSBkAXU+2BaXkqFoVUC;EvecD z2^m30zI0mLLOkn;r@WNXl=n5`w4sDj-*}T>eD9`+zW*uxp^Y+(zjhyQ7fMQK+eU5} zfSJZ^K)hWuR?y(nQs#(47@l_CV$)pP9&&lsIBa{2Pc}_senY^z3|l1>7Hb?qlxrY7 zlc^sY;o{8e!&~!du{o7POgly&?vE>o7ZC0*jQ}$0KCfCPp!}rNpG}v2lK6%@R!}!R}>D+%G)9bkAx>JQzf;D zvs*@?Nl7!~7n4b-a-tyVeQIvu<(KNUzPL9#0NLy{Szm-z9TCm-RvBS~Kf0lo z9e;ne1>D0M=uGbnUh6yIOb6B1bo3!I*aYpyoA�Cgz^FKhX&sm@!s7LuMt8AoLw) zGUE@XVU`)Swf1M_T21*>zh`Ec)Sgf3>wS?kQ_UC^F!(q)y|~ujdv^A+umCMk&q=s< z%F_m5?M0G-Cz@jn9~?Fhb%9f}v={qOM>XFPH@2492a>*L?@@~m!>cS4FY0!GrQ8p} z{J8dB?TiO?!Z2k@jLxIMw!J=@iq1*O&0B00y-z2eH4ZOuOLng07Jk=Lq}63q3mn~P z_Q+qKEpL4@?QeDSa5b_&x^=>6pr6)N@;+UlxwU&q4AEc3Xy*kovn!VT&OJeTIQX-B zT<}MJT)E-U#iD;0%t!ln<>sO^S$k|WCbT{6Q_gHkHUqFhF!p64fTK#@pI$K*n?%ZD0=3;nX zkQiG#OR(Ma(0)Ti6cKXNWFuT5d$i)BheYvF-uLXt+czg6#fvf^vbZH>W6UBC9IRa6 z`Hin~&Z21$B={e5IFYz_u#~gQM$KsW?5cZ+3z4qVd358Lat3?TXi_*I1}Y~|XP=R5 zjn~gM2P@o6eo3oxx{=r;?iZlH7{A7Q*@9$xs$L3Sy(XDL_~O;?t&%csjI_(i%)W=Z zLTt;qrZc;#6wh^IM&I$th4s*zn&?vX$L)nF##*v#4$>kKS{WAa;id^h(3SUVKE3Bx zTl+k#(%dwMA&>R~i!<@G)aaYuqeYLWh6Hh0+jSfHNCy1nBF7D~Rw^u!)C`>-1xAmO zGZG?5slv145}H%M#b)@TF1 zka12ewcm-v^?KeCJpUH*EQQLnCzIg@;*{sKT{B82Q1ayL41elppo0(g-}KF7MU~{U z&1)`stlXWnB^%9|0%a^+-oQQ+gS%-%4>28kN)(PnMaYPvqX>Z4w)N&?uX};}kYxxv zy23v^J2Kd_qebzW{QhRR_cHDZ3!A1M=yGw-dxSS2-et z^>Y@xVe*(Ml_bp@P-*&o+Wqz)5DG$%$VaShZAzP7ZL2FXzru(3Bh#{*y+(j>(9rW; z-;}9!en5iyNmk%bINzJOb#dx5U*INYiV75#fELfpwZEz0#3Hq7GCZhWSUREmg2|-@ zB|dMsT&0cs%3(&mTf%co2J;f$96N6^z4$cocFBH7;|$Me>i62 zz~9GBpdghwXT%B#w!rkJW7Mtrd;#z}O&sb_LO1Qg+r@RTJ zg;U5$T4L&*S_mgemLvt&YSFHbOG0ww;MyyuCqB?XoLa;bq=D+MD8g}wriUa@)#y40 zw2+Pm?LSrVu?P{KWe5@z*OI@-OdQwj(E6b{79Q_^1v;tn4jR7C49l2o7%c5P_Dh## zO79els6*8;qfmUI)G~2Z4RkrcLfevi11k2O8O7$fUIrPGZ5py{AyHYTZZJ*h&Xj=HhRD*N&F2{>RIxm<-FYi%%ZU?o~MgW3R9j-63V`ifvjZ2F`g>fxjoaOLL>~I zI?A8p6=T~7*qpIHk+D5qZxO!@C_RjpNmP53&tFs(mk?)A{T|^CzEVAV=-F8?iwfpp zbcWV2q>6_`o*;eXEA#Dh_K5f}PsaWWctzi{!|~rbq{>tS&sGF=F6frn(Ww9Nz4T#u z4;jECVI<+?CY&*_Nj1dzDgzb`pMPChV`Lb!0Tj<@fe{h8?6Fp2gG!laDUp->wWnS8 znxD1(%H#RD=Cm%_GYD9QRoOAhTf|*hxu=QyT5YR8NHE|jODk0&rqA?8hOBC>5#M!e zr3>LwuJ3~-0;&4yr?iiOKvOW?3tzo5PmJFG(0_9$$s6dgG6g~g!wzY>>%QL9wxvO_ zxYm#mQ6*DN0^+YR&P!=5Ql9M5(H!r=1M^fQ)6=#5#);_LZVID1z4poFWDT*S8O#f{c5mi zqfQk>e=oAO7|@qih~PqTC27A{<6W|8?u_esH2w~GR}AG6we0|9?1gLUx|Q5YUL#sz zVLh=S-Ws~t@B?v5SV|m>M)R~k&CuX-Y!JzNFnWw>B`>uxaZl*kLGF4AqoG1?<9BuB zgf~3bXWZtY?)n*>qP~58a*&kwc|~tjK}KM%c2Q+*1nD-+PWq>pT*cg>7)~m*>U<1)hRUm^U9ph}G9oOJ5j=s&L!b|- zb9adCy4(pzR`l|Bc)GeA{Z5A18%G5d*8IXLS_oojqw^V8PQ9XxrB2%}k(}7&6!9>* zYca-o!t|)yAN0#u3GwtDa}vb5*AJx@0JZC0-eo0gU%-0#9;&EL;6L}s;n~KDOyvzBmX382Maac$-SLqEMU7@P% zKoge|3I=&!mbNofCYN2hZ+~&nRkG+(Fnz+E$CZ~n;Z|g@H~t@kd*QYD=ycL)?hSQ` zoH1pg*rzdD#m75Cjg*rOJSF=QNplg=;=Et_xMNWG;5lLK3wwVrpX-wi*W?Ot8zRQF zW@SVqRnklR>eF()b}8O?EqO*q7=QB9Vc^S2wOJh9yls_geMS)|-n>+j-nH>)`bwZQ z;w8ijzjXPYI=9+t-~9A5?`Z>EZ*M7n87qj(30Otba7{od6*z66K%=#1G|uhQ)*$jw z)K=T;MV=OK)w@$~yH4wASSr{R*fz9qLVO>C>e=Hs?V*i!Pteqo*QfK^AM}-vT3Dms zK5YD5n%%h4ifQtAS%$F91vh-rCSYM_up#mtP{i8oN~LCmGM!W)W87UWN^WtQvY2o4 z=YYY*8f@$fd)?TT++mJbXw@v*EBWHktU*BD-<@?kL-=LOp_-;h+KdGZa*HB+prEI@2wjI9{T+Ys`O1*A@G5L;UL}n{P%a;q`gYLcv z$(kIj?`N|YTX$YtK&6z(Yo`=*J9!I@WmdHllTR5&GqbggH~HG<3)E8R75IQS$R$3U z7`{V%`>_LXPr^V0tobD>gVVn+pK%z}fBzzqvZf|rjV1`{D{9(KOgKx%xJ7&?t@B2A z;o@F*tr7#LmsbyxHvaMrYDhRF*vdf15}KV`a;(?-&k z65Zly2Y$Q}!2!fe=M^0`9US6v`b+tvUx1H0o8&h!Q+SjYwp-+$j$864{NoV~(70tiuQ}C4e4if8YkQhQ1Z0O7SDp#@Jc>cAcF?&6)GnRNOY}$5JP{PKsQ%2M zZmR}RXDr>R3_i<9NRMd+*}}rT9#UQrvbl)I1og)c3fsGsPI(YCo{;Q&Y(=|yCLxkj zP$aAT{!XVh4}rZ5(Zf&m(Y69k_L zCKyks60@^f(s|sXAL?hCiY<3D{`8@Btb}0@6n78%O@_%RjdRKomF3ybEx3kWW~WZ~ zFhnofN4!|``0t6ayL8e$YVW5ttbt@6 zkb+Ce_1?+qS`E`LdfC7Fcx%GkboW3hUaT)@iB z`c=v1hw;l49^E@!2HJ&GXYI?%yQ7WV5CzU8MA)}YfSVdOr)iV{s#}q(!FaF*FZC7e z{i*16PQ2%?15-ROz(6&atK?w^ZvpW{KA4@cXu_Cmbss>w1b<&~S|@K)5#Q_5Gua6% zruKl(8M+O9O9l(JWmGPc8PvkIU|WGF5Q{zQq0=o+0>=sXX}9vtGg2~VRHtltJ4US2 zFXE=E9xcQ9r zMI);9X~IXRa_o%ew1?@Q+2#dJi;<|&+I6(|sp?jD zZ!8B)l;D0uq};Ti%Q`g1sFsig2=I+GXh? zE8|@0q@sG7mapV)h?Wy+3$x#GjV8A!@iq#~A`rvd_3K&jXUc@z=LdJg<>@}(kik5^ z^FGofr>Jq7qyl>Xv3vr=6z<(g>D8h2SA(1h$Ej)p7Cy4}6C@`q(rWKq;~L zzO+W28}hZzVI0FSh}{Y-Z_oyjo=}4Mlh!z`(P#x}3aB;uVi|s_fcdMVP z8(i!rk~c(Lpc?_9{pmNhXtlVK#H)b%#+y8#X?nkbCrU{s^LGz=%@AO?KrdGnVl~{n z#)_#)J&Oz2E+EY%^((XNDPzK{_J#P|u|9K!yXHb+h8r5pZqhb^3jxlGIOls}K5@Oz zK!fHHo06n_-S?;ddat=hkOD-*j1O3VDMAb@&-vg%F6+zLphtdNM#rEp z0ZTi+8eYff{jP3xrA?nfIBxHC^2!(N@cz%dM712=N_V=2md2=zv(PLVI;j1X1ERZ= zU-O)9Y6pAyd=!nIWbfK-WxY+V!!az65+u%h95jMU!dsKT6b$Skt)N+BEQj6B*AyJ# zB2K+cfQ;+G2$slwb9D^or4VC$eVQyO@M)c~pJ6#Af~G0?p-JlP-qDP0i+0nSl%zd0 z*|SZHyTzR9r!~!Zl73|$l*%HDrc!;RiPDaSF0>GBbX+@_4NN9JG)>dF+{EAcM<7j* z^gXj8e@?f*mcK{YKg;&r!=>&7m)9Bl)C+*9wMjV8zJEISqbF+SL4VT@`Th3km5Svp z;@WQ*rYPlWd$d>cYbX6bjjc`8erwgO@10rI^d3qEgr+&9tKX2n6tbxjghP{@^ALqc z7zbwGMmUvioKqPdsH6gutSkTmbpb%7pQhA1F}-feBjvw`vE zIWzuWw&$3WzrX)H`hnr&`YfRg%F7ZY=@8x=2XlIn&v{R^D74C;MdUmh@`+|QIKDoP zHUL}MFrXh|=B3yNW&CZcXV~G|+=P8Nxm5pv-y;N#9+ry3wC=rH%oL(uPJ0s-^sy>Y z@q}~TMaEV39xe88A7Ovqa7ImkU&hd(XMl3`NQs#jA`{iZ89A4L7UmQR7iym%{KQmb zO-_cPo*OEtJX)x17pxf+F63HfOSuBK%q_V?Gv6hVM zQRJj7-1xv3*9Ew{C3u>l*8Z1+f%Xw^uM|G-Eq;$co&4u(MO8cjW|Hpd(D1b)v77jT zqTVvbwPzl9=xXr?eUt>glRE&#H+YBDeunC9N&^9FYIYjw9m|s@F%#0Sf&SIs1EO%t z?D`-zP@2DuF0T1LXSe?E3fA`+pyW)E8)}56(W}DzsPe;JIWRp)j+JQOrx~}ye)Gma z7oXO$DXP~SHv~78#u=JnW4Gd=oOWmJ;ikY^W>jzRwK!A4)M78T2SNzrhkq(v2B2gc$_+v*I z%3SF%&Z(TxG4Gz59JZnp!q?B(N&A2O@rfb9wu&T-qPu~c)ppG^A)NhN`PwQ$N|X$8 zXuPQ_aoT`^zpJx_MCY`h2<1(YB*>@iE8y(AZPqu10ur%nF=j9ca#Z{8j)ko1SiVzr zy9k-*sWDa(tFe39k-a=F7B+tk7{}D`#|a@;=hr>+#Bq#Q5k04j??xMY-{z6tH|R^jid87c1UlVq*?trKDg6(&q^Kx z9|G&MCF~=q&+@8-6GoXp8&!I}=)i@~ig|roX<0@P0BpUH8$C#>>4~at?PYw;1EO0E z3!>BYqLh;m{{EPy#SQUZ@&K%AkhK3Dpk!4(FuZGPmME^dzi{TRJ6H8b=7jS$26{J$ z#KgMa0VY3C>w&L&y4l$GmB()PtO6z#yVyePN%zwbF#9U`t!6Fb3JGx@x`@zsA-Id( zk7w)7tS^LlbrE*kr(oZ!m0uhMYFWB)jl+Z$B+lz)z>lHwVIH%&u= z?6(OVfjha`?oi6H$ug7`6PZzrKnL?lXwL|{v#$IDmNM<7C=@67G2*4c`rixKPrQVXbQwQK394_Az+QT5&>Z4}y z*-fNU<_klv38Pu*J9`eRWRxWm0(l@vUyybo3IazuXyv(CfG#jWqa1fFKKTG1eb9ub zL+Kaf5$P>FJpDqq?y&ntahgxs2YBt!-=T`d-jcrc-$_aTjd_Z$jF;n zjSe7m@M<^!m^o}kqxZ}`Gn}9?eIMpQoP`S@JnLFH^v&cGr^>MBd~s!*0jMDpc>qLe zoGSzE=}Z}c*+HsuKX((7ud7Sc)0V?|X!V5JYg3h~n9rP|+k8!%1KsO38llwQI^O!*prUK7eu zaYiSw(Sk~VEKlj9j15HhvZ|i*duELz*NiEDbcu2Y*P^tRX-|v;{5IreS=+AE@O~*cZ|f+Lss3h! zn8t28i44PbgP;=Ab7Qnle<Sq)yZz}opc zdCKh=^#|LpQ4|V)+8SP|QYM6`Mtr(1?iI4jRJrcqC$f+;84^<#OCIJS1db!T8fDDr z^;sW5rjV>Xr{=^$Q}U`|(F1YCrAH*mvMX<9ZZxbXF9=IP55B)KL$FJ+LWYRKmz^6I zH{^0Y;I7r-u4Wajb|~s?_4Qr=Uq=eP*AYbu!#$bz*L0w1Sk-xiMxxJvEG;nV*fN7Y z_5k}Bv(C|08$?)~T5XFbziEAOne@#| zzs@;S2@0ykt4q~fEjH@g19Y^nfnE*sn!__wAY(}VfEg&utggyC zyQ=Rck@@#=`=G=80Cehy)lZuk;4ZP@0^K8HNBLMx)sqL2gHFS=9YsZHERm{zfpjj@ zEU}t99cN+_N`8QJ&tioLOuJZTdR#YZHVjX-r&mo4k(_i9*yZsFS326$)WSGI5Vy%_ zQhM<8)zUQ8pHd}o;Ey5`b$&R^{hwzJ@_8R=FtW}{7pVK_whvm=1-Xr_{zdv0*pVmY z?oM0Y5c3DI<*kALoMfqZ*`xWe8wz_z!=RVx4hlGhybjTEOzB3=6o8@Ug1@~5v02k* zC`eqS+aWo;#5l%PGfwrnDM-2urt}khLk5A-&eC+5HX#J2OCBUa>Wi~{_)qWRnFEUD z@Q%^@Qpzj!1^ot0aF+v~Zgz6|k3au;2Yiko&*?JlKriK&_a$CtAA_u}PSPy_&WUyz z(7~0-^5T0cmhw07hg#*x7ulblwNWx~{l(b+bIrGQr&6G0>gJVG@S);5bYVqd+s2p- z9pem4RDUDT8kY6`W-kzJLqH*04=Q2b=&|C#Ou2jlQ*{~fM4kIs!_(O;BcZXCvyd5J zz%XbSjM@fHcc&Jj#&&mDKl{&&wQ%!Aqun%H6x06HU#oxj{e~Q~%bZEGInD3m%t+o|9}r31p|vwC++Rlr7gXXtTw z=~>Vpqu9oO8znd3tJf%q=nf!h0;T3tpejZRgQkj^QOgYYR|A2WU%BD6qz5aQR)$jI1P1bE4<4?*H^_cxye(2)v@=_1NPAp&53emDfJNqONhegHH&kn~K zk@L(gUe4FMh{?Q}Ext0Tc*3QUB7PiwEg5YE%l9Xdca7-WpGI3lXMw_z%hPXy-y(~k z?;+=4Yc!5)%+c_7fBj?dEcVH%F3;_;cIx~!9w};8lEn zK(^pov-v^2ROI5=%m&jMSRa(yMe2q)iC6!nL)^0BBN7VcHpE^R=yhyAmqYK}MYFna z%AM&>mm@>{a3^Nty)|riVbjKqc_L49rqG6N3z8?C(S*JJc&_><5)%=ya!*OpwWwEc0#qlJ z8m2sUCq<{;wa+fqcqck-6tEm5B`l=QS>YBCPt-U!Gcpz8u>R7h|3GClQm;J?8pOxIC?2SU16>tK~WO>3(+ zNc#8yu5o$2d}d!u5VF|b1eAZC5K{v|lqJ^Zk)gOYFDg#U0+3*CLh1SFEd1Sst;=a4 z^YO*tV|f#?S^e2Xs|lAm0xcM?8k$uSD^>TZFCcizMGOlo`qB9jo0Gf}KJsC*NM3mV z=9kZWH>mzYq&Z4;-6<)GSd)2WIVHW$d%hw>IC|p<@Wx+TA@{^Wn zyQ3btI76TwMJHImM_;#|Q8hrPzi{~tGQbG@8D-U%2=B4GflLcbR%7!gvIxsE zm{JKJJ$v0rVlg{OKtdSMi9v3pj8B-cp zt|E_Eyxx8hSvatz2HfoiMY*BQcl&CM|DC*tsyw`G8HL8#wY&L@nD4{>{VOhnyUwVz zjuenIGP-}L49S_$->hwIZwE2$*O)*Mf|gV4Qx>97+WJL_Tz76Z3%B_^cgGdqIBjmvip;@f;x{=Jx?Df$0+m zvNDYWZ|f;EP4dz+qZ!f1xINgB$BjCD&v$W1f}9~w_;w=GJ%a~@*&64?J44Ik%q4s|b6rl( zZpz2TL7zGk4fAFmlGBUg4ogNwE{4{GHC)UMROOX4!8#ayi9q{?@Gd~dq=yAEkFDQC1Rr<5Po^3s3ZUm0gp1&~h2=oZM3G`ZpVjf^&jC;B}M-S&| zTFh9vd$ak|hnTK+9iuwN#F4L;`6$F@q=)$fgysER5>$Kh0AOBhli*dt?8|;>G?gd#xL7_ zZg@Ad6-gQv6+mS(ifmrsU;hNHp!C*>98S#OiNjjEWl)$vj0IX`#^FH*Xipo)6`6LJdmwuFVJ08K^ zjLc`Ohl-k~Q?OGgY2q*j;gzXYlsK*=YKb42V6~iDCQRoy1#CjuZi^oAmVPlVZ03L2 zWUKZR5`e7X>EIic03s}HpkfoaofX!E(y@Jkq%Jt>#TQBAaEEehCMPU;8<7DUnkP1| z*o(wPpJEnjI#FYyNtuGB!I9eI^_dHWdeMiwEYzM}%a{;4kg0>T8xU7L0w zcQD^pYmeF)gi*)E9MT6g*5?`u-3&nISHiP6jSrA$PgmVr2KphWIrAnqt+NRG`zlqb6PISSaP%1m+YkHP5_(Ile))A}W0tX zAK{i8p1zDlfhR=ETi`GF` zAcDD{t?m-B0UsZOzU6!N0>VDIgeUBC!uNylz%iYt_tbT^L4}WQX`5Rmld!b8q+`F_ zS~U7*lD?5r;vO}~l0R=}2{th2c2%QE8)L4MK&!F)$Ej!xCg0u*PZ`K4bJ}BUM;;DU z&aonzusQZlAtToKxQtyPy+ktSo3_T~)Xufv&nTxxgPwX>yh11XjKJ2MRDae|r8W*O zT7!!y@<1}YogYYmi~^NQ9fat9pv}IwB!)SX>|8grQEQM#U`BQ2E>^^rByCn(-(+?F ztNF-1Sx@2h!i8zuUcasP!&+t}*_K<)yta<<8dcXHn(SqIYS}Dm2XA}<3cy>qJq3!- z&)OrRg-6dY!E6YsC(v(S+Fz=D?LC@zsK@vxg9?9VSz#HOVP^Rl{tl^@@4Hi)_aM?K zOBK&1hr?_}IzG5qkk048`QZ|PaqT8HAdHk7)Zioa)hmOR;C%5AmkEPb;nSYUu4U>K zAcAzjz7e#>5Ppo_O2yJLfv&RBOxb6}G(K*U<0BQC{Xrj-Rqu7F+0GVw@bk7;#&3A- zF+^qail-23&P0t@0a!xMnTQV<(bBo>n&uzse+@b)uqUgce;qxuG3p@{_3IhoWaqD@ zupWN3L^4vw0p!Of*14=s_WEG1V__3Nmt%}zH-#iVs`4mB#1yJ@li;( z=ZD!X0t@8mrIJX5)9FGn8-yIqbn7Q;k?L?27bRy+Q+(!z?#7?&jPj)m7ZAG0W`QdK z77&KbafHVAMdXuY3p|~Vd_hdh-GoEZv>5QoMMVu%2$CY*b05Ef!WhA~^@`~R#n8K} z&2ssiQDz^+X^(aN-^~1;fXIU9mKqrPD+-AJ@(I6bM&RA>7q91)WXiLa_b$u$FP?qt zXYndkK*NNQrHs|bC^xiB=xym6v@lxyQ#3R9R&7qSSCj5BQ_#_xD(v8K^Zi2y!y=Ya z+^l>T<2wj@BY5xGlhwM2Q%*U_t9eDe3>v`cjWyn(0t1R_!&S2%{B@V9HR!F|!SJvi zr`;(FgcSfm0#|=E9%sLnt@Pen#Y|l@1r+*7Q;s8JwV&}10@>q&HIXiyuTA@qmO4lf zog=@{yjpJbcqqE<(5bG=h!^UeJiN0>_~R~k5HLbW%w`nT#V2JkbK)80=%Z!~CtanU zct%ZU6tRX~SW3@CV*87khmK=jJ1V1%SE(?m3-~t1{<9vtsU=qTESi>5m9CA8@AO$6 zeKc(U9g*19IhvMm55kOtId=0;i*G{m^vCw8?kbXtKsu)Pxj{LBbIL< z>+dku+B9FWJ|%34$CpIx!Cx54MOe1S@Sa?8*|gGl`?zt0CVMEIJK`he!?FDurbl00 zeddRx@krdeyQ$BwLh~@geBX!L9`tXo?<`8Eo~d`0v8fzV5pg$qU*-N4)s4V>HC8sg zY654i_#n9#fHYPs)lv&^*4B3SET7-dXV|z#4kdJ8u^4 zF`*ZtKVUqM4>*oQzZeac=1%=hRT-0ZQUtD-XiotThJGjJOAWGm;XA?5Wn1V=gn0=o zkQtXBUk_&yE2c%vXvKa2{$zgqMOK?{z zIyg{2Dt*N@^Jp9(!REM}N4u)@Bz3LHwl8j%BvMiGJ%i7?m&0t=Gd*u*vJNBPP{xQZ zQHwMr?YbHPKPls9ek9T~jGcAdk84OaLcW|39QDwIPQGFgzae5lwz6pm!3{PQI9stG zSoZ`ZlS*I(fH*8Cu-Fu2<@gd(vpOuBfuIHUwcVTV35@gCQz4@8$M}|;ZCG+`5OLw8 zyKeCvq?@puxP%ukdo)drWTc``y2roXSbT9s+{;kJD#>KNqvi4zB%233iXn2~*C-3k zxVW)^>m^PHYk-qzz2>pMR$TA^dZTaR3S~ZA1zg&p!V_K|ZjUrUm^xa<@_ZYrj>ZI( z+&4ZO5O2eNed^@kzwl$;io@kD(Xe^p9FPNd>9x{(nF%K-VgzQ74d;3La5zm3zZy3y1HQO%H& zMtmA_vhpZ*@65QZS&@d3L2+(-+lD*ud=XYn*I<#ZaUfdjziTcH8}+tF^<_Pe{f-MM zOQ0oW8d@UIy}_C6n7b4|F!D9Gna#ED5jc2MK7jTzUKh=O(@t$^s5+mR-APNSbp2@1iNek@twdZEqUTw2xxeP0e!|E2CWGmNdO(1l9x zJ0*<<`s!;cH#%eJ$^>QpI*V&^fV2wxLQH=I34lB!-Vd6)hjd``k`P<2{`PUN1vA8K zd&y>Wi9KEGq3N%-Vy?9*VfL|ESV3$9rEIvGq7IN}+i#r;& zq+GRpPm1`%XPtACzW2KTG0Zh9c>Taq@td1Mzpf!@zxdv;^G+%_+ZSRzhg)9 zEP12RlWo++kk<5S?gYjqE%u@IXU!eb;+9srfr_wuUq#e1wtkRsd!&VokG5nLA>2=E zH6hBik+hJC>jl#e!QXK&Wv?2=?4N{22EP;ciSHona0Ek(W0cpGEWQwUjDfu>-oW4% z>44&erne><_R^D@4szAd681WJVztTJo0tbKYB{B{B$aV~mZZV>ETheE?4HeeTUZ}1 zm^(7Xg()9u3s4I3M)8T8+JzX}ag(6IC=mcff@ygguhR`D!06)#N&Z#_ycE-6lZzrz zBgY)C1*E2-n9t4_sG8YJTZ=yWfcv05eu!OP)Iv&Mbk9k8Xq;hkR|oqY0>+>BntuCa zDIScvr_PVhH$fN-W?1>5Lm#~LZY$o}lGnonkseVhJ~Rll$4D|LxWdzpOJgxVw`FMo z`LFnINI!Jm!YI_&_S9d^kEnfUtL9?%lHZ2h(3!l(v%CN^A8d%H!9pV{slW?g)0r@> zi$FoDO)3e`1}cc}+NZ?KgJ%PhE?ZqafJ=+`hB^O~u621vk&nZ3pJ;wqtGU}v{$n9j z9zZ~H|TCgee)*oWV+E!2b zEIUrRCaKRP`yI~?N;->;MPR>7^O0Hd=M{)_udK_^NiX4^b=2rp406y&3)zg$v(HiEAo>s`od9SGj|_xDf)_Iu2m zS#yZ=(Wy3qrl0;cbf`qg=}N=CeewAruEcAQ1j>^fGLSB6&?yPx(S){ zv7u~#KTD8UG9SMVLr0#KZX71osM;J7DQ?CGX1<@9dTiN}2cs^sc?ZOo7=H-dUKIlf zCvZj8@h-ISLLq#UTJyaKep4!7>krL^c!KtT&QVV>^Y4*GkYeTNGf^s}n=>ObNJUzY z?yiN4lmmPEU*bnF1sJ`?!Q1bw64q)uLR`O~Qy}mm_OIwdVNVX1{>Gh2()U#dx2|+^ zni7Iy8$De-^T2(MOrHX%Vk`)@8?h^)AcSPH;+h<0nLX{$NWdDMyrRbdxivkEOUzfL z#4h{PruG^!L;G6H`=3%_2P;-hm8HQ*mAY1#GU_WDMbiOiNm%sOu2ad_?&)0#foswr;Z@}hiW7zZzeq++5eE1gC$8inZO*>8 z!tVLF25^Tq5nt0lg+OS+3vS^i&{p<66^6~H`JwyiGp*;f7H3*J#NMdK@x>BWhB6Kp zi52v`TyXQJ)t#`q0#OL3N<4a!DSpwHcCS_acw5<1TGs>DQ7_jl|3~!gCuIq$^k5`A z6P&A{e?LsO1!jGu>k7ySCW1546<|g{lC97SN>+U)0czNi0y5t;#cb05#oV_*C7E^o zPl}2H0$S!BP|L1r-qJLcq|}w5o8vA7CG0y&uPRmm3LcCfyV(V1w{u%|P)?x~RT!8$9@8gX*d8}*(t;_XP+cHBll z*5Pi;CRWRL;EB$9yp|mz2l4E{?TOpBH&rmU7$dzx>FDWNv~mOn!^-j4_4W$*aFTr@ z{4s-TA2POE!R6%Bp)Z2{BD#Xd9O)%a5HO!GDPf7;PZmxp&kEm2YB! zRS&>e$TidFsBMEKSpBaKXve&ngs&J&&NffafsKsNx*-M&{Y->=PI{{lv*NG3f{pL71| zU^b82xR2$~bZ6Oam=+fuNff;~iKkUwWUCIbZ_%4G{ny@q6h6P`_{Iz6L${kVOv5j2 z_lTUX6I`TRwxn+=B`;TIDoWY#tp9yxk9)%5!QmhR&T6wXI4^79KW7nvGL*3A9jgc zCv=BaY@ZfMjHJO61JaEf}3O#t7o`CYr zCPP-t1$yZD4q|DJQj<8Q-&au};M~%EMx(gDu4!ogX#jy-ao&O4%Yb!SNOgKJMOmj- z-PqVXW~0$Bz|l;c$xntxjKtvmX0?=2NsYCbFgD0kBwi8IbFLiDo9|k#!s5 zpXg3{YGg@S#8SSch31%NOL>b^D+)Y)4-iEGdi@V|-B9?vWAnY_&%G8;YM3f8I6-l- zWUmd;PV*_5;5Jm3gzc2E_+52L7E6c^CU%)F7AxpI-0i9I(bqCHXFJ(zQ=oD7Ivs|{ zIc$y*s`iPw_kzS8>a$3d*y-}MN&eWr>o`6nfToM4LrS;Px??jVGbitOHXXC}d-h7= z!!R=U_>k@{$rG_P|}w1=5XqDEcbJ zQ|t4?H;~W5otAX#r$%sdOfzu3Yy$F){?IVAW^4!Uy=o@IbPDw9l@ya%tQ`nzY_ZUB z_sJN3UtH?XyTnnmNL!o93)r&vMIop-K9krKeQf4f{v2_Xja_!g8ZoQIJY#L{IQzKR9v+|Io?kO``WCn1 zDp@Pu7l5kYzUq*2<8}r0&A!)&S*|;32fE{L~Q@$`qr z9<w5pCYs-K4H0={*@FV3R8 zmPJM*tI5iQ8sm7J)BVo6wHR`t@vF4BF8xUVSf{!e7*>L@(PQ9vm&ip=&^Pthzk1hN zP-)H~KF+@0lxa9L$^H>YMsydQG9^9>c$^;TsbkNK>sj?y6!*b{7|RHwch*$AVRY~2 z^*~49v-#`LH{n5XISiRH7$7dAa8bj3YghkNO$^D55M+06Y)T+rvyrL}9JRXMN=^{y z5`JUYVRf4-R_)ovl69~zC@%Igyrqi6D-%lP8#i&h8C ze(7sLJ8d)d{;}loodaM)uq^wk_ylKq>Viiw1|S4!V-~ffL*i!r>WVFL$`YEwso5!n zy`_la6vxzgA8{nruh>jFzoxSDir^R@^2o z8NoRC)L6g(p^HFuLC4HWe7NFfG}?fCGpVmfIp)g(kxbQ&Y=XmdkLfU)7SM;ykg_@| z(p#2RySYzQOnhT-cPS&o+BoD+_DIDXbOr%U+N!LOUbAG8zN+hp8?$;np|{yr07=a_ z*F*H{iOZuWO>OLwcD+xF!{d|iivP?}GhRhT!{0DxuBY244hQGILD%w$y6O{s1$_6v zLQUlS8C^>);w!)ZKvXk+*}oIjIR6c@mQRFL{|9>h8BNQVdj1D#$tQ{)=f6Q&@}KCa z{z=A;|3+Ej{2675{-UD)%vmyi;lES#Kwh1{%F8t86C>59ictR~|1NyWmy1DtMpc3^ zI{2lD|Dz_>+<#HDx%`cSSf5y_-2YV$3%>iulpWsti=B7*yb@OFG;z~cxC#G3;m0r4 zO#P>FU@m_h>YP6@Q#reR>i$0pcJMi09)Qo#ZfX$~mJIy!OYon`m|a{nztoI}v&$!O z`0EJh{3p69c*{TPqq)FF|6>xNY5RW}lFwk!mT}@^={h(nrT=*;gsuHJ7IQw)IJx|F zOmzN4<1|N89`5&Aac+G)OSpSbgDV6f1~*N9d>`HZiS{>SG({*JLWseR!Gwf}NJ zfPW$F@~0cX&wso|Fw2MP|M>9vUy+-JR@i{h`Jb`eMbkBn0MGIGw34~}bu@SW69d&J z(oxTUQh*^X+<%eZ&j5YunIpfXd>k8fC>(j!$I_v{kCu4X7vSy>u>TY3r0ZWtd*@H( z5C8WK9M{j#{tN5e_+=Ww_#_ste*@`18MTls>|e?}2eQKcgUbA0S;<^K1AAMxpR?oV zS~LDxRsm~%w4}j8UgpwV=!Dz;1sxi{d0vI$)O#v<^4$qaV=4FA6*C4XT4pQPk( zK>jB)*u(vkl>DR1-}r;Ri1@Dm1M!#YUN4Cw0OA_o=8w?9-JbHF*TM1Mj@tyE_Jgi} z15y8EA47}#k9E-bA1#^cqIuGnN9A)+E#_J*td)g2VAfiuvHfQ9LSY>3%x(?sHKg|@ z7K^+ToNn%Y0|{2A-q!L^^0XdO9`vCvN`}pWXgCKD+$MB>z{> z|H0glG{?`%F<&#CLY47jK;Q7BbEbgCU;u$gc5|RA)wf~NZ z?tcRlKN+_F`zF2nXMp$xl^DNF)ALU%;r=&Z@c)vT>i!uFeyQjG69(P?1`K{OQ~wXl z`TrjbLUZ~f=KX2T{{{?xvPI`Odw#M3++F@rBpiR#7qQU&Gc251%td6?o>*A>&^3q; zIfh}%<7G1)dtypG@tmi2goTE5r`Hi=oTRJ48k$8M9w#1{Y^29N(YGC=-+r|yPeaR^ zS&xT2BBAz(&VnqXv*1-Zq;1FyH1ar@ZRo|RI&ZruZ80-ANC@LKTC7Ay0*W!DJQg|v zm<(yQd*L^Holqd>eI4k=%ODA|)7#o>3e=}(Ao{0x6e0#7{a~QQ1PxD4)aw0f%=WqH z`YPmrM`xc-lGBpRCu>NkAvu?k9=b zs#Fg2Ba0O9VY@lAJ+=9<$>_%__U&Ye>Bv)<0{?d2StT)r{b0E)g;2 zGlK3ncahJ`nf}5|`<^dHmB#~>3tAcC>Vx~W1vDUs#aoHPlSB@~i#)m-5~T&g;4UI7 zHt(?ks&3c*VB$el&^<^jty8)paXM$e$5Tx?E3Ug%zA~R=G+oRYYDD z;}HkEj_^&8BPH8;VDgJZW-$Rv-QGl&%Hym!CE&f^H4_N_u`rO~LuA1fM<*FU}`z3bEZXqo3=>~g!7V>w`-zB9b5ktE+-1xAl``vrf z)K&1OR~%MyvX6U1hG94#Mu+mc?AF>cmK)zf_pdTeazL6U#Z#&rkTeT>ZP;*jm`Ux` z1q7e~8qX*v_4=JCzv?U_6XaUFjUOENC#?s%k5C~52pK1Eal$Zq|G>LSV!T#8!O9B50j4~aU)5{9HfJ1|NdGoG4;+F z)LkhY!UOH|+PbCvUK&2DZrdY?-YzCf#i&hvked$*BjnnO;m4cg=s9#7(ZW+lb4ttaw~Yh7X>k>b7$y0N|hazWSQ2}*%Z zb@lG0BG+4po5Z&IXKb2HZr&YG0hH^{&wuBC=Tg7=MLWEm2341Ne5bZUTAkyWZ~~yD zWW!EtG{>mm1{jN9I7di@ z@~Jw!b^}4K{)to|A2dx$!X3Qyvj*THs34XwF*utP{Bk@bVOq~jv}Yzxgb8GkbQQj4 z=^JJ?@dq<>z3?y?^XYG*Ozcb&ac3CoJV*qqYsm3tvz&Oew43F2Jr6Emu+-}6ZWnZ^ zW(rytGc1gD+uSBisw>^U%$@-m)y--*=-Bwp1(H_#J2=77jJI?}w3R%)zS5k1Hg~nu z7C{GGQqNfU-IpmAdp?evJyTZ}ta~gUx0TWA&vj?-r5!*ux;Q}4{ZPdz^diCt~85>%_kn(=|(7g?do?aBe!CRMtla zx)st}x^m9pba5`g(rC2tAr0aL;6>2G#tK*alWyr* zGOn2yg=o>BfL4sJc%8$g1YQT^TXb+(H96*Lx6qZmT4as(%iNzc^ukxUU&}Eh$&z6^ z6xAipX2)yDMbYQcx4+3Y?DF5JYk@u%>vC(_)bYWZUR#K&^mdY~))wPCKKN3l9jY0| z9^4y(Lo*ukERSKfuk7z1%DG~3F6l0fw)^V1hV2T2G~!OnCIIavso54EwCC= zb%h#NeXALR#dLt@3F9EKm7F#(K!Ow&(y#rWixZmm>r`y!wZWzT{D+#LdjaAD_{lWd zZK!l+KRW5LHj#>w$og9KlLCW3Xe4vZ6u&JK;wMTvS-DDRW|TDS(XwRbz1X0pd4W+E zq@0#I+0f(f^ii|I!bG7T$+dR|bU z<~SOSc=g>33(}2ue%V(s%bFBQCJp>w>T};gm~!^K8Z@9=IF;UdvO}ej~CQsr@&vu-G6ypwX(WO+E-`(rbTf2m;eVEb~}Q z6xnhewStir3n1`l2p%;+y`2a8ez#WrloGG_IQp19V8Q}WYYT*>c%~&~70YmnAN)NO0S0R+dO?Xplqx9*ntFS9eY2R8Hz>&v#Qwq3zRU{=0@6 z1lC6Dq9Fbe0X3L%qC7OfcIq9p^oV-tqH<02O#eYb$j&9D&$1 zV*=B-mb->AQH)D5(nnzS{RrhXA4;EwX51h#7mU%>BZppuq;4P7JN$-i$z%-b-F(|a zk2U3~27-HH!`oh2TI^qj=AYQ2O|-VLbFPPcZn!R}(3)PC(fcm|`Pl?G=_#(*{A4|r zq2u#iPjETXvYEp0Rxjx|u*0#^aX_*-+!bk>H6F9?!ta2)_{AV^nQHT|RmCAJTwc!A-wz=j>I%AfHp^){7_mqG z)V5X+2&!*($EI+&;SZ8K0EP!_yz9v2CGymDa1f>oFF_JsN?<$#Ph5+@6v@WLO*i5J zbcsTNu&FhFqj9*Fhr0WxdQTq<} zRc+I(gPQ4Fr#&Zxr>11|U8F-7K9JWauh~YO;#`|T4xZtwP)pCtf6cA#^MQ+y1_Jro z!X#3ZDffwFZE+=W`y|cGLL}fH*LJc6(I~x_vJ!urze28Y`7~(wM{8kszkBLs2u(=PYmvQ z!!ggZJsn_gFFUU?cGITajmo8){os6PWXNt7mg!jM`EW%0w*k$DalAF-JFU?{|L5W1 zdTNlmYV@jELdOkB5#AE}AU~iSRIepi3)JV<_R!8}8*^q0nL?Zg!yIz3uCNltGH?-@ zGR@#<#Iual0gTKEKur(`*|)Xb^^WC=Ds1f9fP|@6Gc=-mvxG)th4rJ`Q{iT>GfJ1^ z9=?D11-&HVc69T{rj+VJ3%HD0GN^Z|s|f(QdMwb@k9);tO6^ewu^9j-YKCenRGF$q z;adcS!j9Xomjr*g?@>LJ4dyd~6Hl4Z^z}Q4q&JB&e_|^v!H#y!19H{y`>M0)>?xkQ zTkuA@4eOvO`Ou8%I90Isg_3(;=Xg_N%!GIp;Z934H-?~=R}YM4sJw2-L~m+L3xtf$ zQXd=5x&$%2(*?u740S$2Nszb|g55q6gH|@}6_6L)C!X4002icLws1r0u?5}U@i=`w zUN!tm!86h;sp&|J6RWr)eYzSGh0HmF%+X`liSw)QhQxzMtbS(9(AKprzO1-d^HQh6 zjtAwCvma9eH7p?Er}w&%0CW)B-d8bg59K&}JYY7v9M_I;o^1Aw(?U_zYzF1D|Ilh` z_^MNHEL0t^(oa6y&&aPsUh(}Co>VHAn^wgPpvm}J**9O2EnQyW7K&~``flXLdq1UI zyjbD@V3w=)0`f+rwfPb71a|<28DwW}ht#TJ`b9s79a@p^xr}&FRAPkoU5TCo3)NOD zf4T*^Xe!?o4(bWo`fA?$%>j*Ipg@}v;%a)Vd%w;cDCPN!8HkM@sEG4sSj*Ix+Wbne zw2C%7Pu~CF`)8+}c-$BCo@QUKL-@JIu(H*4VX|)Rw4`y1oILpi+o9$bdf$$2_2pr- zJ-uUiu)AB$8xRO!ANa?rtP#J~erIZXgypcvZneZ%m9VilHO+;d1Zz~=0QnmiJs~@A zx{tIPS{bYKgYA=Cy5J@(XIy=?H3*2(rdKxswUpL9q=@Kfsh0N775)~vzmqcV?&yC! zp%6n?U*=~4T0a`9B5le$WR7#^Qr#7j2o5APpKyXmH7_sUT-^ge4v_Xkrd)Qw!rGIl zDWY4ZE@(4tr*>bulB|fCsoKE;ZSo&}X88QzQHV4qf2;A7KvQ&zS9H<`9(WimZvoO0 ztg^bg`T%dgXnsYM#vag^ViV%cT4+kpaq~`(y5p+PTsngeX5Fwu z6I{)f97%SHLF10W0()v1;KA)AO$klCSVlp+eOidtABO!kLjoNX(TBp*{NHbbPe?v9 z&D3UxJrmIoT?w}qn#)Q~rO`pVWX0^YOLr-`?;jKeShb0wwYU;|TiYuOVwIu`!ln&t zIUXOM!mfz8+#By|gl%qojAk!=LkJ`I9ApP3KEZW%RSYwITAZe3lw)67;@!#f+!wxv z8Kt^CFJ9_mQq$C7x%k5Eg^wi1NQpl?+#k{AS~Pwn#3q%!@u{K&%QUH2MHyB4|BezQQXL~covZ6u?@(ukqX3`&OlYGJsXF9lil_iMu%YIl; zsPlj+Ny22#EsWvkHM*ZMFTNoN#~i#)ePOHEE2L9jPXb_hk}iHC9c?O?9)6a?q>n}2 zGY;RY0Aje+m%X%rO{)Yl-iOJGH3=xyn^XXmimF;b?V%3BX$byXJftfSah|oy4444RKtAd&1B0Ec{jajdHJ9o zvp>`yd??lzV-62V%eZ<>aGUYlR|m|-{L59-vO%Sf=t@7h<_M5q-*umE=&i>adtv&c zrLU@`Dx!~`5a!_yy$1!JQhh6NMZ|G6_-5NH^-=fS-R6qy zE+s`?bC&Hnb7Hlk>4%?+E-8s2_jjP~BJdYf&$ksFrH;npmL1N#yX41XoBP1fgQCI? z5IHTlG7?kOBqv)_FYy$+^y`f$|wL+40r6yW&#<9>|ocwx$DV zV3#+h3f$fVH4+1sJkk#J9h&{x7bG@i&B;`aC3REZ&tD*#e8^YPv%3i{K?eR|!w+ZE zOSn&W8p^4IIs!K`(n%TVJ91toR)Nlw$0Bh{NRweshcXUrw$ zN^D2L^E>BFx3$rSrmfxbzQ_~{_o(1)hdG8Pt3%3O&4# zhh{H;M7TC~rXgx3y2{NuMo{?Zs_vt!y)EvdQ*%!}-bYURW$saz$WjG~R`Tuco4?uO zds|kCPcZqJy`9cGzv&NZyRix3!CQ?gA=q2b@+HUh^g}AI9*}7=aluwjm3UX#v;huK zEI^i&BX@(<-I)n!I~OFbQnABWlMCT4k5TK34r%+Ns0f<@ z2TWw#;oX3pO)Av)k})Q~sju3lHsl=!N$S3b4RuLB?6oZ!Z6P?EbM>9b8?C5(@pOe3 zFLB|Mom&uoKlw&gP(RENy6nZ!bTGb(jqcBqz)xr&2T*!m`C||8ez(y3Z2VN7!0=Xs z+kCoYVilVeiuhP<)@s%8Kf4l#1 z^5}W9a@^rbJ_fwkt)>Ml4gkYgTx7+S*AtGbIZ7hg9Mq8VzW=5e$@mqNm+xNNNPXhSOO4t2#S(pbK?y-0ZXu?Ntj$i-!H@wg0=S&|=qp()peZ{K$w%K1 z!5A;a_e~NcCBK!p0$O2|gV0KFExNe@{)x3T=lH7Idd3)C{G{mmpGcND&PV`gElA(~aQoC46mHFno()NFzJu;ZlLh2X=Gekyob#KFIMRcw z#+;aSx@34+ywlgjhJ%O(6}wo6Ah>oZV_uWmcO*m_nN#yJJ>!fIPsW4j4avbk9Ry5h zXhQ7d!8h3u8Xe(s2%ZCow9s4vpu2;3!|FlRe~xw!{W0rd)Z4o+`OBW%7RX zlMl)nqr$7*R%LB@{&Lf*{iR`|bYLS>CPK2?>a!J(0$YW7dq2VT_}rx|}3T`NDQ zxjEpy8trS!68})q6y2p$&o)t_uFK_s zH=!*=S1E^inu+qzQTZ<2)#VFoAkZ4cAbb;S)y!+ww`XTHy`@JxpKX%nF|2(-Pewd? zSH@{T5CePd+FT`KnIgweHZ6Tuop@K14u2Par+=DJno&B5zjJ35InA*gHY$c7fZ{~J z9HC-i;gE|u&9Zb^nh!w^-7Xt}5_P{emjEN$$6WmmHYcz!a!XV1x$I!QYOJocX-b5$ zX$b=L@n0B@;`PQ6VI;d_M9T#u6%RnuLACGrcxwKGEr(7Q_Bq4{sT5q!G#AoE)&>PI z0$;msFLoor)q$ZcyE7FUch@^wP~wy;6)#?3;!U7X+2_{5c14;}n?9rvq|z#>+8^Ij z4Aih9BCHsuiQz|zJ5TRTf1?ON`mVh+1bWm0{gm+BGPf7z0v*ltt-RkTExNN;-#4KD zD7A6;TUzMIRPimJ9%M$s>hvlQzjtqZy~<0E7?DZ2_n^ zXRWh&(nkzBSw29l&fWWahc>1VKuEW;k1H76Yt5?}>vB9XM#80^uOvVTtnbN!Bjj{6 zR|VQm#dMhCK))haqa7JPVaG*N?Ezq$3f-V`PBw0mt4^THsUd3HfAt6Ue7TIJ=EaF{ z%D7jM+VU#>0dvfMi0f?@pA0K~w@=EJW+k*Vfi)wL!5;iD+E=>Ksd`ckKY`_<4ZACd ztDrb}&n?LWi!!bAWJ{IlzC5a`q-K%oVw!8y0^g3|7{?RnE3-3v|9IpGB=CTPu(>4b zPUzm6%RNffdpi71MOW7KX57s%7e{8Xuj;X8Wx#UdZ+!1iJJyUQFyRGMMP&M{In?XQ z(1@#$m#-U^r0WicHJE8Or44j7=$#r*IGjp-;Wny<#cb@Abcvy!#JaebZ>a}54tu~g zadHCWZA9Hos0fXN6(ndV1Qzjuu$O+8ypcgAkB-t+^j?RKiu;5EJ#o5K>$LeRE1_4t z5_WMdap=wl`STiM&63&#Hy2C@0SyulyAL&C71tX&{dTvcBE3cgJK)volT#okHPnJJaVU>oFNVa&>AL z@fV%G1@sOp9(-C;yrW+4niq9~(1^<4pCoei#gbYfu~@2XbgZ(52brl)wlX^XrJZ%6 z%_{-gM#pd=>&0;oy#tmRGx_w5Eq%dyFUXhgW)k$Hy^icu4;+rW*Tmhz#Nvl|eQ@(v z8Ygn|)Zuz|CP|;%Qw+Ox5+y(PL#y*Mj^S zTcc5T)|ikJ-?q-O2jsry*}m7?V6nDxy=^9^&_#89t+tnbn;;fY%-^MZ&zchM!C5}$ z@G5hs?;cnWK}%8aRcGxto#E*M5aiU^G2EwY)3)cO5(IputU0VICQ^L8aph^<7q(wp zqtg%f8)DmrJ5}xE2ZHUY>bh!H7vbx`xRvLu&td(!A;cG_8|$!zI&Yj_rH1^J>aTZ( zLEp*nrSY|~S5bFCJ(jFDa<^#|O<1Zn1+ou-m(ii({aSmNC-h1PTTf@R#scJzCCf6g z7_4rPh?Uh|i9ZOk+WqqM5%SUULfye_$8Qq{Al(fC=^d%3=(2)v%n;)2c}>u#M7&j4 zfCR0Kb>)zy?v3v{-L-1#*hzuE#%kHv%reH5Bbgbe^THez57?uhv5Ycjd*rh17it}q-WGwrH!h53 zkGYvJ#90bxE6z)m!-9yd)fqMB)$gR}NyL;$+M(uoHMgz}Y%db%wCO3>m~9sC>LF|5 zdRg|{os%if?ZIJiR?W555iFB!LgM^^^Qzfp!RVr#2D-=u%sqYyDeY|ZcsJ11nfI3qrW((?S zV&O~}p7GKf6K!7L6$hy=4J@`fwxAu?lFH~|X8WkUb4KopCcjy~k(Eb%QDZyis90B@ z?l3hXW%Ax8ljWnEI!}r=zlv)_g+{Kw|8C9?VrX1oUn!iHw53v~jNyq1-uiQxo7e%f)woJ&2 zAnL4M-SGll{7A=B@qPf0?`Xm#y=NBcH{NEYoIg!pk6!i7tsS>1!TVBX(*ZF7;X=q; zJ!lrf4E2pGIXw{{qLMWtoEhlFTdrQKXt(8vYg9lN+~94>*Ba3mzlGf0)`cvf$jD?Z zzg+%>Na1l)S7XOJ&vpM48anr{M@G7SiWdLhkCL1FS!CoFQWwWB`}fGmxqm$}()CXP z;?AC*QZ`&*n*2W)FFgLJFK=N!i;$dJd@=&VsHj@`Rg5{>j0Js{&1URe=&3EvGO19{ zT7XleF*x>L)e&RNVLTCZC0{~UnK1|e6bD~;YOd;$ifgezO3a z2kOG2e9HQ?5(5#>vZq5toQJ(7(V7uLhdr(l^cd-#0^FbJYN)@&Yh8=A(Cj72 ziM}wH!z{2Tm|>OE(|;>$~Uf@{-l&T zqD32pVN1=43q3f8_iI^F0>&sFvC-zY(C{=TPi-@G54($ICpnGhiDt8JYa$S}ZXxMv ze=q1KNr6Nx{2&q6-QUhTgKWvZ#Nn_xW~|B6MetjRGd%Zq^WeH**thfGm`3>(I_qUK z#wd&wO(!NSNk&CnC#WUnaJm6m!QpTN4D6h`d^d48No36<^YhvqyJ*DznXopb4sGul zgl8u^kO;$-Mz1CvWzwRPK$k7FPCGa@9?_ngV2S5sb1MonuX{v?hR0)_65Cf^&`f6P zjWT+&8S$JXpLJ_mHjgmuH&DeDTx+J=9GQ;w_y5boi)*XL(0=S>2&O%e!EYqAQ_^SAD2<+aT&Cn!97Z`s>u{>_i$E zy&LES$=d->b$BxjHtZ+DP%1`eaD>S;qvqT$zhWUJ{JQ#5_*$5_SZ9(_vaov#f^U)Q~_&SLR{{oaNJF$RD z+bX0)`3Lp)_cEJj8~Umez><$gP3DYSMaie<7e+7I*+sUbEEK=H2;~924|@0w-eZD^ zCwK1*I_31e^&VKbN)wgkIn6v59)6reENmv>VSJLGynU%?Hbbakq^Q221t${>8p`}Q zokcl%%(KUT+jkC&G4!Wk_9M~*13bF3XCNs4oXuK#aJ+k>ylYKuS-zw^A>pAxM3QK; zAlgI#XE8{4>87$;wAOa-nYp&fU7{F1qAr13NlAK`JUh3UxL`xmck}adWI|s>yUpo| z*h=bB}GNc&cWqpdc__$tL&`&%h^%jiT=)wz@h$>y*I?pVWu zTj(Ra?^|c?vayQkS=qF#lyDLF@6~QIV3aVPP zXJ2!Kn;5a7Np|r8AuQ1K?qwd}Ml(^>$OCU|>Px2pd`ss1qe*3Khz(|}nw9%o$2*+p z?b?@@Bx9M!G8g!x7BowW1AIt|a9Z;|O zbEnUpqQ$CGs~h{v*|yPkwkM5@uVfyOy{{b@nHvxeMs(H^r9;?f!+zC*M-%;t=G5eR zItdm|dmtYRC3!)W5_P7`SP@Cg^sz!xT<%#WiA=NW&|lBzOgoy`?!k0q+aPg+2^S9= zZ2UUeaDaRKR$E8M_7vOP8oPk7ys{Q$?^dCM+0IG}Jib!*LnbAj@xCOyf1xeh{9GYd z>?KOCc+MRxeC#u_BS_5~v`)?s?t(oIR&o11dn1v%@S@Ga&?8}CGbCP|LIX>@Q1ypz~MFfZ`s@Rfv$OnOuH9M$H}I6o)#Wkiio1QPW8Fd z$DauI>^ihz>YfDkX)3r2Qa&n(wa$^qgWOoUQTA-n?2=3yD@s-pDPGWpuA0phbCM9& z7tiI>?>%G39kMYT?JtOS%kP(*p56$q>cIk?7Pn3>so^+kH{Ytq4-lVuuS0XUW>?<8 zg6z@gwMNTqxlZq$j^dK>AMSfe66j;z0VV@Zk{#chnR17umr+bjND3|aQ%^tnpk+| z0_f(euA!Y4`YJdF4=ALiAxV|e0TLG1$q{qS>obw-Pixn$$~*Jxy?VT1lE{py+I=8t zPZsvPZOc)b?#(D6HA0}5&7M>_mNAffH=aeyT;Q1)I=V@o-ox*6N)&x}bCQ}dadg{a z8h@f9WdZrcGiLKlexkP{^)9(k=l)j#)ZrgJ{G{z>mSkhr!Yeqnjd`*4fkYRKqdC%) zIt1q7eeD(o?~5^SPjEL15;(k5Uv~O1G-mYLqXbmNOlV!96e%~@DR$ltiy4dTdBHpN z;<^CawGyx%%DEdbv`Daf<-zb*abwhhIN9qu{NUR(stS->B(Co@ zONgYN=S8;e(UmKov~gnR2{UG&_V4-nlyK_K;boGg?uxK!`56xJo!w`ZVE!m|4)dIY zS=v!bs9axtNr_p`HW&?rm9ps!QTnO|0eDP42Uy}R>33fly15ScTQeyhzCn|=Q< zAXI#3M&?gE5LBcuf3MYAc8!tV6;HjrHeQ#@n`gP>=z>a81xSB+ zaGU8)b&~2x<9Ze9LT6I0IQ>Y|SR_7d{g|6dY4AuKIV$gYjxetaNqKQ{MC{;iNT-j@ zR-ce@ZjkqbJo*Xs z)N#KDtSu+VzP4uosR5x*^w%<}oOGEmM(3%4Ku=XJ-jA7EUI&(|=ntu2%vWN5dTiRvJ^Bc9-q;L9vNdTD zi}V9w2M^HHkuo6TCW`DG1+q(Hl!cjQ2*FDphXcMfD?8LR&yF&}PitQJ)vd%Z5?Z#E zE|b3@ekWH#!xm~H7}Yqe;R{CP{M!o}PS8N^X#&p`fC}HMzy!IUr1_#=OQ0d zdz?N0eDdP{my;Y_|CHY81}nDwE1eG(H~R{HCczF(|#SWLw?!n3J_@ zE2^x`Hw$eUMGz7&ns?I#zHu>&n~$bJ$-N8-jgQ@bRldzaL&Fzk_cZ`!Xl zTNKUAe&5^L)t_h<7U=9lJ|c28w5Jnqph93o zQ;P8|d*iBtXY4ZSCjY7fkZO}FI~h|skpRwJsOnyntYb*-C|AEC9W}T@F23)}lXD+g z>Fm#sTSm!PXcM2pg0oH9z)=X7_Fh0`I&OMv$ zA#t8i%}s&3hm8{^!zlHMFhU6?Ypg8hjZv!3cuh+}&v&%pBh}D~4}ZS!AoR3({OYY( zl<7RJ-zjq~p1=bZX?MTAdAE%Dfa`4%#-gdCG=Vaja2G!XC4+ykoO>TH40K&|(PlOt zsp%OAHe#P*bGh^H;NsF)w2V@A;I>=3*&Xr>cgI~(6sy2(9!IPo7k6jMM1oFrbZcOg z91Is7uv%Zku|{8khC@7k;A7SjKGEB};aHbP!*|{LNy1eyxZO{Y$iR+1?LN#s3q8Y@ zH;K}X_DLwfH@=z}BrKgjnwOH^wNOc9og-I&H=-*AT?HL=R@(}>-gy@@eFyMHdRfV) z`I<5#4K>8lFE`j1+kqQruw+A|T^f;Jj32eCy@*%z>oUkLpew(S*tUDa_wAyVa z+_zW;y7{9i$>vv9c{D*gxb#<^g6ja^48i6?l38o&JMkGa(nX26Wrnnp?YV_c+`xZ7iH$!@&3=^EAnlQr1c zY+BG;^1~WA49W4v#W5!d1E(>AKNLETQ5F%Ou9}sanhH92)Ji;Yk0<)lalRJllR~>x zqdFsrc5}4cr!w`UvFMw`6c5?#0?*)rMoY$yN3Y!=+NxnSC0;QNm?KlR(T4#G;O z20O^rHxq!6i8VCqyJ}&HW0CXrIw0ejriTuAEIZf!bF!}G0a(3rFn=bhI>ikPldDzl zQ69c3VdiysVp{fo->oQu?Pt9&XC#v&|4bx$QDH+Mqff;_B`F4J?RVh2Uk(;X` zo4JoiohII5C-rJB)pm}Id&djsw(P!Ge>5Jt$n>vLZzUx9&P9x=2#cT>uK-Q}+*hYP7=KI4NO+yzSk3v}(w z1B}da?A$hyN+oAoY2h=8tFEl;vNyD;LrzR$+Zes)xrz@JW9Y+uMg)6?$49T8=vTw zDCPJ{;iNhEzUr$x$nhwrtXx32?@MuCsEUE7zE1&oW2Ko?&33@-tBvnng|QxQaUvai z3z&hpvTF)?E*_8b%R;GEL9Yxq_V$5p_v8d#aL@yqdJvVW@eaKJ9o*#>-BBKgLNl}R zBxwx{PNY&Dk2LKCFIl2!mMxqz7yw=%48Wf;54OW%Qb?9CCv!^@33@TyJbsS}WfYLG zL(di!tF~P{*MXsLoXY|9P0Ie$pfTlRy2ZUHjAr|pbmU$@a#1KeKLW0 zfI#r^Ng4sY2Tzo66wQTZd-*`gda3QVV%O?55il^903d2`Dam>z#Ij#=Y!-N85ng$z zpnVCD5273jKq}YUpaYm4{9V$yo0Gi0-CQRzFAFHf6C37pe~ES_&n3#nMSwgxXTk{v z^bD|8*3-Z1F7HrZA$085IpKhdC3a_1i5c>Rf~cS#mB@_k1wlKPj&QmiIMYjV`m(44 z$l{<E$L87@CH>^+% z5=;8?pc?6jHbcyPiI}Eb<-Z1tz>9OwFXU{q>GI#qIY95=ZqTKJw$Q}J*oCOu{gV9b zRQ}|^Ulxm#H-Qn%rlNsyJrY$}ud#;V@iL)vXL3l(Hm9e7fpgF{y`>X{AAJlH1xNxc zsopYYI6$6bbzpDVO}h^-f?C;uF+W{An#GeQt@LAClX@xZy()x3o=LAbzrd6mmsfP< z6$_mfn%6Z7U5zXOBlNQ^zsmvCN87JbHY4m@7wGo~Ji(Cfd%;jyhc1uO{g|61xRM>% zT*WyE5DUP&pS%$(l7k!WynBu-rPQK9bbtI>hlM{ydUbH2* zH-EC_P6BxCY2Vq(d3%wYy9d25mn&+oICvQ-VVW)t2J|N3e`cT`qO@n<&9pP`tb&AH zhi#zqN>aq;m=n88Gas-v+H#U|6G%r9xr%;B5(Juu6wUmJz7l7@Y&+sm#ZLl5Hm zmYK*3^i=T(y1#4bJ5IbMBSNw%=kjH20zFj#Gb08BsvMh}PpQF8pj!4(458P=+iemG z`eb25oMrzH)thSqcahF}PD34DNy*RG&&J~i&KM+itX{nwe_h?Xa`iJQ#H;+9&(A%E zt61@U=t4{Fkw;@KxQ_;r!;AHLPi4~@f5_%^xA4_4>vry%7-JxsUUR2ZZDR*X%C;^l z#yResJzLM7pq9Zhx`+U+oQa2$wgzq$EunW0U$}~WETC#PWnaU)=?Bcv+-2{Ao*hdCFSP;@0ngk><;;n!6w(F?RQPz_7i&%5JcfRTu{&r@TAFZzXXUj;-&TJuF z^LDh&S{_Okg!*Ms*k!nlMxC4r7^v(y89j)pK%4N&FC=V_cG2ryKWW=Z+`?anxUcr6 zExd1d2_lZ!z8C(yj-0Bxv7}@R%dg+r*wl%(dT_XNqE4$|THO-Rx+`w>y-aompupqS zGRf&=Bg3vKHI2}xI1VK+MR9;2EV00yvE3VqK;nuc^`cFaj3E-Sf-FsH^MJAs7Cpk` zQm~jU^7~$UTA9)(n6;#$^dKmJZ@Dc#;aplr57{Sd9&>kKQf2Y%dluZSSt0nV#W0Xu z(#0ENNJevy+_AsDXYPHUhUkn-0K9wVK4?Z1bU-2g)%n5B5<#aHLHO?V& zBwx~bL7;ba;JvwWJuyj`E-y6cS0So^i4+y)Qs z4rl;AhiL!2BCfiZA&gqUhsVPqkW)MG&^P>$ZT=oTGU?#*Gp4gyA!E!-rQ8NU%}X{j zn3Q_x=F-Q?aJqWRN2Kq3WeVeR^H-v47j&jf#t5gyu5M`|b+jf~%+DR#2nB_(df8B9 zqxv@%5mjt*@>P<_Rcq+DRVweEy+=E~E53mws3YbCAoAJSXQp+zH{?PmejwZ{KtKMe zCpr~JfZF5GOyOc$fA7j4tGNvl7;GZiHs4d11BPlcDgLWcjLdCtHr@Y^x%Yr-D(&9I zm0kiN2+{%xMZt!lh(Qn%ilCzu1{%0ZZoqPGF{V>_Pd;y_C`lb(cwn|o|JN;C5Ygkex6m_{M7VpZ+y6o8bnBJL6AZo zD%w#~_}+xQcbIxMV#7uk6|MA2j=D>aGDYQ5Ra4o79YXXcW-ng$v+4H{-a+4=4(8@){onC3`ivu*n7 z)U39_^rTKY;iAyPcA{}hS|4^JB?}oVs57_<1OnBzIKhX6XH5QGe)<(3{l1M>Hl`<4 z8wBp_z>_k_2_0Lz8|U6twEAK}yx5p>8Ko^o6A z_ya!uiuQ~nEqb4{Ij})GmOuWO8rYc`r1gM+gQL0NnWyW9r~OHHrP)?nPO67hLaXmf z^aW!ami z!mb806rqpLtn3lY@(!!A`!%I};WIzWA>0+CRocpF5h`Vs>7EstM`1yP5#p5Bzn!;D z{tjnf>P2sBM{W7#77m&;?M?<3L*5^MT2;AmSv@-x=EkC2Uqo7xS9XggnATRK^!q*9 z(62>poy~zphVzv#FZtH97s5s%{IvDu=ZfpIJD{B$3Z!&JkG+nr2h-k)B7X z9bwf=7S6lW#_JG~>__h)Zd zO&RQP{bgHm%WrhtviuqhbB(Ga*m>YU(_VC`uK%B z9FdiN75tMg01gGy33YqC(nPK7oqk)XT}}O4kKo-Wvsb4!U_G!Wv(3vte4@(^f=v7e`yG8MTm z?-ity>nK^oXJ4eq&`(VltBwn=GIO?h*qd+OW0q!!gF#H2d^Dx*w5CaBQ)dFrK0q5##1|@6MaB!-J^5^9Bdi!FEsQtGA%IVy}>ceK3mhK1$*L6FgJdVfoIRS+Pb)^c$8 z%u}p71e5VF)7y4ld07r-SIp)iYa1MHG^KXrx)D6e0i}{Tc0g~^SQRirMq}pr)5pc^?af6fwqA$yq6nMtvC#6SvRP?p1YB-5`NXtd1Gqzpbyz!?P} zn`UKA%6>n!864P3#?Fw)8VTNkT4m5Fj#bL1mL#-9k-^*pK*5VWZj|iod_3N@E!39| z-ub8K5_8YB0MTCo-rm)^K|TW&$Vnv_v3AYKT_{tw(FKhO_sKAg`3i#Pz!&ThZ~iO7 z3}Ot_&@0z(AcuyN;B z!(odLGuBwxdL|^urk-UyWXO1`n%-7{CZwbcZtQV)XSU8OzYxzcR=KICZRAsTLJGe1 zxrsc!{3L9|oTt@W4EDXw)CO4!JajNMAp3{0Oye)!(C%{d+N&TU!4vUnL^*q9!!? zXxG=yP|5tDrvM^{o|>(o+Rfk}l%t}MddAZDDWP#Mwo;oRZWSUKjRn0qV5B;(SapI% z+aP=`p9BI$%d$3m?$7)CkJ4g>&{{51fKkizmgw!FO{W)_)O@*6$Bckxz#{jW*>d*9EN0)%Pn{(G9L7&Ag2%V>-@)q_!`F))Z zmN09IJB1Qz*QJeTUgqX*Rmm^XxtGAE^F|8}hY7W^`@ANH13a!|XYQm`)M;|j(_n`p zuBvjJRYPmuPZS+F=%XIIm<=4k5V%N=sAz93U6Pawv0Z24EYXj@sQ0KLfp>5ivY zRZol|FHChmirHB-8h+}Lo~hyc)E2v#nFHUGqmKcx;&$q*L?0Q%pX3(!D7~(O-cBzO z@<6kxA9rH;SU-4MquhJjsox91m8Q>hU8+p9fj&psQzmBl+~c*;<2po|#@s8!>;rPI zC+<@?MwdQwS<$B%#sX+A+Sy7P^W8%##OcGBnd`XRx5~#qyO7x|cHLL`=x97t>`10+ zA(meYo5$5H=3f=%$3#PoWLI1~pX0_+Ctb^BaFCu;xnbjsj(EVTKddiQnru*OvkgGG znr!5052e+%(qG+ z0JUo}un#16IM)V*3USD5GcF?TXozMXj0CP7t$JkxT zXlid|qrgE^^Krz^`o3h=qCc+FCb3j-o7Sk*95z7hQg)Hbi9Ww~9#Hb~YxyvJ3dKRA zU1hM<->KU3(}#r}UR%9Ttuw9ns%!eykE(hPMi;e652;LYDt`PHf93Tj=TN_F>O<#( zvqz&1cGiWOH{GKPk%9V~N`p6sL!Ma$8X0|Qt1Mj>r0XA~tJ4${v}*CxNezO+b9?fS zmS_7nt4%kyS<*HqzNeIvo7rUJ4{6fY1SmC;9u;X7q8w?^=w)Z8P$KJFnX51@GSs-d zgQ24PK6wk>$AH2TgOdzF!5vZnD@n!T3WP1ab@>)(Oy!b=6SEzb>tcu*m5_L$QWWtyhIa9t-E24t3|aJbE{&bZUjQe$WE6sH>QJD^NrUbKB11#2Ufcx{k9rj2pPzMj_h}FPnTY2YpmLJ)4owv`}#fgvC64 zE)e<%1;V*Sp9YrP9wli(CtK2-YP!0Ld3VzfB4g#bwaPeZr_P_hV5N~0EqRr>O_1O_&G zZ1$zml0}*pBoDXb$Eaa8>dprvYvCmqH%@t<>Y8tI2n6^%-~^zD@5rJ7-&s;ca%GV! zDjFx%Dk4&!Qy)$SSY?D~oArgJT5E=I8xU#vbRP#Vg>Dv0jGWUbfWG>W);ANabkvK= zfF7tf=7nB7B{R+)>a_i?A?$qCh-jK7t|EMzvS}Izk#I#s3jfBZ&a^tOiA7NlIS!b; z-=rwRD*jf5vz9AuFziN%(@x8!3ANl#G8NglUo+&*AjdCW1Z#Px;IZ5(u4tTKUSFVH z8W8wNnX)c(N9yc)z4`(nAmRM@%~<3!A(qQ|gtXo0etM$>5{h!Az5}Z|(-(M6EVNS! zdni987?+~LRtVMT=)o`MSg7ksuQ-%8YwE||SntGQwO{ThwIh-l!W(nXo57y()oqZ2 z*P_CU6a)6k`GvO_DiIfDCgm|rad*|QlI_EOV-f+L9RDtKOjIwR(Ve6Msqyifd+(G1 zF-t;IrRS*Ge9%x*D0`cW!jbWpnKp~J7&p2I_#6S{ZC&}9JF74RFmwxFflUcrwyI)u z6`F~QloR4Tw)c?D=BcugGcAVl9UW1?R-kcS*-S*ke&lai1+Fqpd8DfrD62rx&HhfP zp>&L@2jxL`X;b%S&y7DJZP2ak-It)@3gG(7dQ;nOxPeHTf-3v)~<7uMJ@#lWSmN>6RQXG6vfX2RzAZ+IPaBY75!!Lt(EjzCksxRhpsYkd zLq-n~eV9Hz`+GwGdkT6E9{B(qaC)I(t&&ML<_wLy&oEVN2vQ4 z^yP$XF|r*HRB#d+joe+Ah2GvIh7;{@4xXqZ8%G3K$Iuh-CBiXqI{``gHr$5U$e5Ui zUJ|grP70U*7=zGn@pV~y;YcK#4t)!~eiMN~QwGdv+hC*^B0}goHuD7m%&1;~8F9qy4MmnJXPzn#g*XVi zX~-BFQp0q%ulS_cnVL=H!hZ}Jv1BLw;UbbPZOht;t8F#Vb0=-ph(L$8dItJx=-vo{ z^1dH&H%0KA;ZP_G38n6Rv7B*2g)?8xJK(|9EUV4WS|=?b9X+=lL4N2IIGlaUt2qjm za`M!2EQpEhY*C95g&#G6DEDuYXF*z$Zvi`GGWlO17XLXNul-L}@}Cfke==4FO8NUh zoxjlV{vE#L2l4n%B4R*!e`^nC=h*?<;vJdIpm)y!sY;v^*nQsfM~xT2-6TTDHu}qJM80gS3R<=1giy zayS;f9S-o4mx`OA#^sW=Ac!ewy}vaBLO_52^Js}sgxVTrqV30Ar3-k|{3XavkDZy` zK_9^uS!IwWb)m)>9%DF(d%yx>52iy0aBO$*=|eay0_j$6j>EN9^uHUcXqU>ys-5kh z`2ooP1r+KhNjxM4_?{jBX6!$fH33Tb`*Hdg2$cE3uz#Nnjr=2`(&1;_|BGDzWRTOq_kEDr~f&gkkQruez79|SgdS*vU-sJi>2x(bG!rTCnU}u z;C268bI3~i`}X+Df(2qrNu@iAP9RnpDGLcdo95>yn3&H2ZzYplojVyvG#9u6=Qq%Y zLdYq=#(21$5W!SBG+x-3Wsc9J;9Yx0vjEqNML{>V}g%s5=7R; zwrs%mWewpzp^1@F87(s$HGZ?`vz-`5AKRG#&Q~z$78La9;WL%ZKooU2Vh(&0SdRf~ z6t)ve<6m~fpi0okMwDD24y53n;%}mY7^Mjr|NiBG$HGs7+0apOX+FTdF|AVsYWlq2$;KGT5m$RK1GK5yB z!_l(65p5H93zEx)Y^gg@7le*JTqrx>>h*Q5-#&xH2cMQJ!knXM+G2;5ngiwEekRag zf|$1G!`vwq;SA|G+$Rk9A4p)*-aw$E)l*vw@hX(T_4w2dD+pEVWb)UBqAC~`u(fFO zbx;9EOBp05D$ApNg6#s$-Nl`#)|m!;^NLV{vjO0m?KP?H`{ECg*~q`o^+*1ppv)ot zRL&g#3l-&Gcx?WUh(1vK{&uW8{zXOkn^1DuW&cw}as1C!lsW$|A%7kJqMR)M_hL?V z*~ z^(^q1rjZmXgR3Vgg8^h0Yk#U{UvH-qb1pue5U5TcTgP!Z^UAD=>B-{gv1spdf*}OlC`np z>cCAYf*MurfId~O!_Hl5%pDx{E5BJ~gz%ENQUpx|f;xuMW_s4+KC)i%hU<+f?+nh| z1Fpyfr!;Hzu$ADcY*=4@{*^Lag3!xGi#ZNa7>8%eJDp=KtYR_u7uz9eF{%!(fsG`B5gC5&eYV;6< z+fz7dOExiZ=W^dDW`ryQkGBXp_W+zSXx;K!v>AZoG+CpmWlB7$VtbpTj`X$|$_Zl( zEO!vOUuwBrj#^vDMxn(#4i6o$1c1Eat zS^uf~W2-BY#k5!K^nn(8Ydj>CaJyovE?lY}Hk_ZumOeswqAo39BZlUO_cytvQowC$ z^gNR3t*2syND}Z*DziX@4Dx_EPD%ypq?}HJRBO+NcV<}9 zwi|_)Up7Jpw5G^weh*aW)7zqOSS;R?;di>2RAZXHRuz+pAj#vVW8=VEjIwY0S?GEb zV>O)5(ezY^58hrk+&@B)Y;G~4mVlcKExv~qT{?7lvcZ)XyG97m=67BiUbG5a8c+Jz z;bYp}z(W@7r%BKBW__vCSo4j9SKdJ_uVsC{pa(+rQQ|~*-3nwoP(<%~w0Bb;@XN=9 z2hxdD1+2zsnUbFL69vk$8X{}(?^;1a$XRc3eL*yOASo%VYxI~ zqm^IjGVM~leA6k?eYQZz4n>{LGOwZ5lw5&nCm^ZMpM1RQH4h$9 zfR*2cXh*iGhEPh`f0H(9%X_0wla)EKNU@NWYTmw{)a0mRi4ZqqnkQAGF0WaO{gqkO zThvP3&aS$VnH`a~fIXbd>rAV$G(GvM{`EI^#T2UI5_Boepb3PfE628qy7`)&)s&Or8bKx6gt{DEL zmeXP^Z}aU5+$yMJyeBv;8#2^%SKZ3uNVh1PpFp@s(i^R}TKs;z^ABGOgQjWRgzz&W zC>6uc7&C)PQFn3n13|Xu~SEjo}H>&P1EEnhc{$sI%-V%DB^Lsqwx?Zdd68c_+Z2vTzSvs z@>eQltKd^0mr5%nB0*hHDl?o!3d>koa;3FV7>sH`Vn@kwZMnb<;*CVe|U|~v6)PbK2oawJm6b>E*x9OTT=hMyXD;D z^0H`Z?yL-z`G{z-x1my&EF@#*quE7-o2k>d+!k9(nO|uDt1~b3(W%@4q%-v-jrMXd z^yAI%qg2(O#o9A0Hbz1c6-sEtX!U9tiFfh%{EC}g4oI=X8EU1=XJ+g=dY2;!^1GQl z++a*Cvq9uf#=iL?0mB z07-cY0k5Zkdc{S@Dln+zbJAj0i39=mb6>sdnw8&tnNjsi&G1fH3UivWls{D4t!2(r zI)xI*JLMwiDAzOw@7eubr2*68_hp#Xq)|je5;pg3fBhG-^ADGo7jueyb++dnSQo5$ z%138hH4|54pzf%pJ`0;%q>l92$}sWs>;xl$ zHI#nu&cVozgW-ir6M0wWDS1chNjNuz!f}^1jWWk9$bOJF!3lbrMhadUIo4X?{wnnL z+^9632%%lN81{VuQ%F6tkD-Zo zs+G@VhwMPbASwuadd$ofmQ~ZRE0*?K`JJhkCtYC!wKi-T*9)~v&{1R;cAirmFEE|7 zM|$C_oS#$nbK2uUA&n;SHk5w>JSgOgDZ<-?TVqgVwB_u=?wg_`*SYb-1;{i!Up|x}ll~ zV;jIQvUOPAL7`*=N$IwG7I9>eiqqZ~*ob3k^;TJz>nN=T$2^1~=Oh>LI3Ht97O}+; z)|g+^LJqH=;uh|tR!B|f*CStkyQW$&g=uKZ*u`jF)(VHhd!G7Lv5Ppv!$sEa z{_nQi{a!3eK08UZB$<>?V=*ztVsU}=1LTJuF*vR3=G_bh=($p@67i{#7J zrrbC8q{0=bP)$h3f=Fd$!*mPGux`k()-h_Ni$t#;r1smzymC{9EWtY}b{T=1} zn3PsMSiCxYKHsTQ4|)}}!>@4hBsF8+nGZ2VouNV{(jK`04LcooD#lSgi&&t3L{DWf z-?VFE=vVoYx$#lvnQIp${2Iy~^mMu|91Yx}b*6FF96<-L@YNy1b6d@dw;3zHY%I(9 zOp2Q5x6v9;_8dJ!e9bI*Ge*}yclR;Nq5zkfj*aAuCG*r@9uv8yGEGD)Z>e!qCi%DpT zft;U(D*r)(+7!14Zwav4Zu7ys!EKyE0k|latFrO)1lHJwac@KAgc8p-M>y@tjzg;|_5d9k#>~ zZZ%sev$&8WQd7H= zW=clR2L%o}W$u8$jbL5h1ry#G9_Hjqk^-;7`Wem=O6xvQS}&4d!vVQaWd9(=2^tvz z8z=}*LO;j;_#Sy@aJhrQZBs}E`0;Xic{!Vw3~5I`9rM?Znz)~JStyo*updUaQ+-q{ zQtD<|U#MfTg=`^@-doQT>K}~$pOH6@3hgE&>3f$1NuSpZ3PJf-D2txorcU_CoFXT% z@Vp-?8Csqm$rHWCd2DyYN4;oy+j2UROlmCC!)XCA114Xs>8=-4DlhG@8AO^t$fUUT zW%%O~U<7H=qg~A0iRz@3;LC%csiKj*<`V)G*HElpcPyS-+2Zset55ghV^}yEeD zuy<$-dOn7Yj?#ixC<6Gf!DVdk>M;%h$u9W37a6Y^QV3C&HJ}pH6;}pJFUEz?u3=3$ z9JIZE&VgPPh(l1Q6w@!xrazq5r*mvo+=xi!og#%>N6afTbJjl~Ncg01C3x=8WE0Nj z!42gVAS(UBRKvXeN4f9U!Ya(ky1(6dtLZrDmzJ;qHN9(!bjXJRab3VNG0`7;RyQEY z!Ik(6K1EO+Td!w_PrZR>9I0DYSMD&rGik9`--i*(OATLzWvS-9dgRaY=}`)IBKJJf zIi@sjd1>BASuZBD7-8K_jcYm+gEOhT?Xv8T1@TRJRfpecE9gzOqH|vo7t3QIai0VK zG$v!W&ycg{m!x0Q;#9&t1IAR9+KX`nEKh3Q#>ug?aNXjR8Kds};`6qun6FAci=*c} z&FUI=F@uC1iPmb2^K#7DtMymTwcy8Ji%uC|Q0o+%CLN^-6>)=612@lPaiwKW*X!A& z-X*%&l$nD%oLGLE`u_O76WtA>j16lM-DH)g8e!*>dmI?&RjTim`-sg?9eGrcr+N;d zbCKajFClT6;?Wc&3pN_?VM|??7~JsaS4BvNhKz>LA#p?ZVI(OT`F>_L!WT(qTC;&1 zxa*L*c!!YvK8y`q!id5RVM?V~s$MZ5C8V$wB{ZN=&S>`?sT7Mc{%99*q)6)a5aDPt zG8l?*gh(nl!s+9B!#wPBUN^f!n8h2s1&>A=J|bB!k#W7%B98F6x@0&Fp{L&v4vIs& z)FpJjXk1S`?$Rz*m&oo$F1jFH>X+M-lE=mwizojk-oYP}{_FGMuDpm0LpyM29e^r9MRH9O)Q;3wm2O`!f2Hx1cJ>PHT8$x@0hfo-6|E37yVC_*>w8 z5U4zy|D;G-c>+H0f+tC!!G7&#!#&XbJgk_W`aW)y-u`7ZGAuQnPfxxiZV#a+{d@Xz zp!xgmFmtf~>Dh)9x&NtWd(KavKAKebhs*4r^y;JK{JnkbFJ2OBeLLK_u(a0gJ6pR_ zl|TNWA*l|b^?q0qS^s?8uxCVP0!889nGk;%)qk20?MeT+O?}Q!x4+FCd*Flr-aHPF z%>JLWAfiS6T?^Th{$g97T2cd$Dz(yzt3IdIDCf_X-xQCUy$J@O_?^=lXJa>n+qY<^ zt?Y1@mQyQf65dTyrXhg_L3+onoB^T&R*a}S2NXGy0IT3Mt3IzQ2<`btFo8WGhaLT9 zUFZbeyNY4Mc8CPR(Rk3RvkEikVa>pj2M;(XFT@601#%$8Vlc*)%S$m445WK~ zFbca zO5H9`D?w;G*fg4g*HwL8`NW=OvMS`Rq1FB_`JgjB18fh?uS%rCVOl$@I{tImA|=Ut zR(tVSa*7v5>)@IIW#f+MEy9Z3_O-A{9cf0!nTo&k$YTGZ!gTecijd*;CpujS+6pB* zl(M~H)nD6kS!P*MiZNJ>i=QGla-(evRfKV+ z>tWx6R8ghuwc`od7aQtSSPoHSNLOZHGDQDiytY?F$Cy@#wpBW%-fF~cB0V~iMpE0I zoa{Dl;&nsyYhjD!>hMn8Y1t8T)*{<_rJi8m1?4C6rRHVIA+C-#mm}2 z)z5{0!U*|#$07B4SOfGUdCg5oM%cL%wr7eSWts#e5flqF_t#Q|%BX=x!P}jJKHb`8 z7)EN-a`)88t=JHXJe3OC_b@lQN~No*x`22WQ6rMSy`H6d3p%@>yMCS z%}+vPv|G$#bud5kq>wflYyd~V_)*e;gc^5}>97|vN4BLOV9eC1Far||Y;+WYRl~#S zZQiy{h_K_lDqZ|SzFG&g-O(R*S|cJ&G5*~uBB{-WEIStk%s4rugObW|V(JJI_Qn0--7zOH~70fp?NOX zI|ykjP5pw)yH>dL$3UDE*)rU18&@qZ#VDM|4QTI&@^w4K&Kue@wE7TguSDFXiB#cT!CSooIKwH9l3Ykx*US9U2P z%uDNm?37d)O3$gL)60|_FoPLLWGrKgHbWQVjX6yq9+F84e?nEPb zNSCn}_>hdH78${g@`HkY;v)sD;>6vy{&&BKx{sC*@Y6QcW@Ol}9HR`{oFrJ&-kR@y zlvR-LvhmSjx`xux!GSLw zxqQ7nhAswVE|9Q>LlRo`5%G=-eYO*NRZI-Gnw>9mseembRvRv_aty1K7M8S553}o4 z>5aW!8o9Zu3m)>7CMozSXRahiDAmQ*r%F}l!+FfE=Lv+iww4)Bde{2ZGp}S$HAwYh_`V-? z_+%wGA8rG{Xi+go((-q&Hu6@PNpLx3@Y>bubTYRwD ze2iaT(4^`YmO6KD1O@|AX%u8Br5vkY+zB}#L4Z-p)aP$sTb|)5kBmFPTHQ3DD`!Tl zz#p5GF*yVo&)&oBYO&lXtRl-yu^PxtR*hWA%=yCI!TP%i+d#~6=EzUT#g|!(JI5}F zo1^$uZiR!BZys|>+G0g*)1gj=O_UkskRj|VdDyfT?OiC1z;UcPO}V|Ef48)!Pp80C zJ|YtcZs%xNO=@^(YkF9n{!V}Ba@Z01=%_&^wWyZt2S&cRR}G22^6zX7CLSn2ii-GM zmzHV(&T@C-g83X+0M97!FKs}0cz3O==+wi@DF@VAeH1b;>+foplJitAGOQ*Cdx40s zVgobCWzU@qE5j^e{=z0#;v%JyNe&^L5p(f~{%&C4@wM^Ru3tAIE0u8@iK`3S#cxKDRo&#d|I_Fat^tRFC()#%dvo%SYm zINc%Kx>M{vuro!zh__@^dTeeO4lOl-TFs#Ay(-spGmesq}49Q$WChAF{f&X#Cx;=U5FM{%ACz5 z51=3FU=xZbr)1kwXK;E~`{(vza`#k7~S zM58T9-!NHj(RbK_w+c^%ZEo1G1`$p22^7G{4m&XWXj~Qoe>W#f`rIfv)~Wt@LDIqS zRGD?kJPY(etn|WfN5k5;7Qgdkqk~7L>8UPn1}%(QC}ao_ZR``lWm<9^naZGp-vZNV-_Qs1q8^$yuoAuFqLr zew5~0hln8|?Kpu7ZE#YdOokms>w0G4q!?$yJ*5G+i zN#=G{!Z_CfFY_{Js0jxQ_O>nSry=iOZq1$qVNcWRztzgJL0_u9@FL6(A(n-zpQQkq0W-+# zk_V~FRL`Ezkqet1io9#J+QAZ<7Qk>Mqjt54B!{eW8Xf7cp=~|7lK`_(3vBbU9}LDW zjS3OL(>ly6Xc%ZXt*LUS5Ly@VxqI#Pr#>Jy7#?Vop-rlh@Zbz{sDiFFpp3kS7hiVx z&?N3lY5VO(jA^Kj8zmpyVdTEzSJIOC%R+aQAfkcAQe%_2l-u<8D1Xp9pw8$uzwfj? znN%1HY{~T1lC#EV1VSOkRD7UkStVGX^uXS$Vlx^$H;^EKK9&<06r~8quA}C;Y{+&F zjVT{9YDBFfHkV7f+L2Ql1L0?jU#@xyIflPE4|t|bG64pj z9}+-#!TnXJeTV7(a-DR1(G}=(RMYHdNu<=(Nv_CgqIikZd#$OHBV@8cHu@CO)+>w0 z88dZ5#|9S?G*(>~AZg#5#IJugo3jPs-{PJdq<DE8Y-6n^x3H% zdZ&|U>xCgmlPt??3qGm$XUo|LGja>J0+F?h%*LxaNAw|$E@_Ef?!^9>#qP# zO=%?LFsPITNFxv@MJ%ugA`aHb`9-cwi0Bc*MS0Jvk1?#&t808*Gprw^#?M6%p!K&| zDd!hUojV1K3d+OA0(_S<^!%=9d8|v%a9l1{ORWU_`DBuSpCbGAlcnMRjRP`b5+*_-f}kZtwBiwf!LhC4VC0!>FDrf;G}HR8wy(V4dtgbC5<7Ek)8lpDJ>bS zPzVFRP*e{_@|$1bdNg)sQuT4=+jNA@W}6=e-ppmNuk#NVj$oG^gM*g#M! zpmIO-IR`V9(>9fu80#-BU+7@Ws8{VM#q-yaVR-xxk7 zQFF^hG$cEpa=^%EVT+7i3HAgQjz&O&0dvaUQNErf+FoAfSLj#z3uIMzkY{>zCUD5; z&djPj-3`0|1`|%I)+?lHXi*_GMyf%jkE)|a{vy>H$XwA4fhMpuN~Mxb2ip;;KnU5X zK!}YYm&@zeApHPBsYgplplMp;=Wp?W_ze~dqI*D}6cD~Vg;>@f2kh)-MDoB8u}T(Bx+*<3Aaw_rD2bv?u-l zisJls+5d>*v?u)+QJgOZO?jw&@RRjR)X5F)%%X zgV6I#ik+j9021;gV)Ch{lbaBng4TQfMLIEBCs6^;FHiJwUx|X&2*mYs0X*kATEKKr zdc~w6xKBzYe;@^bKJhYTV&*mX^x4X}PzE3DfM{`;B6IP3TEY^QvDFe$kC~ZypI)ND zgna6XM5XYOlv-yGFCdhxl=PzMFCe}=%8(<4Sob){*x0Ix&%~$M0Y||xrWi@e&W6zO zmGtJBDGP7y``sRec(A1tNIX%JED}_z(oKjx(;S_O90lBd#7)12T1)+K88&FM`0g-QE}nC>$a0(fr}y`fJ7 zZ4xQvp%%b}7{k7kue8icy#u7(U*&C-0l))5MI8{cYkPaa{a`OA3#L^HKMH~8AV13J zn<1!QiE-dtggyE=O#Karf!{d#+!b0ORt5nAE|`Mzit@r97bqn;0V47%(4v7Pg95o! zo(~bA#8XmNrb9X;a#X7KBE|!CIEZz51bvHWUiZI+uh^4*#Ouzn{S&-nPx>zcc7Fm` z9PEBV&FtpY;=&I$M=!=?aL>n@XDPq*A0CO&|gSVi5e)JO@3{n zuWYKxlcHgaqL4dSKVUd=qG1d|J`?$maJ}sKs#qBbuYevcMs9x-4Q>zUoo_xZyE*?d zy8a7C*H1*y{{!pGU%XuDC4V!ue)zF|F0D5Ig&XT9G{p8N&Hg{Swn8y~JE?5`;=r<2 z1MO5|EVud z8%S19TGoD0a46!dX^hDm3dY7mCt~xvvKwpX?DB58Vqmn;VS!6#RZz0yRK*-8rdIsI zmNT1T7?){Q@w=ID$*;aN$QN}ouv zzh+#uKk8&}C%C;=@onE zQtfQPhUCkio15vkb9TJ{GTZ&!@d0VV1e233#~qfOsHphbqqjkB75l~<65sX2aEZYS z1NQx$tImwdEq&})7G>q)lp}ESE?v%a^&UOtS8n_4$jTRvN2iTy%=YnGl(Fc{L%;KF z1_q;T+|exuY}||4C8uX@iW-n#8QQUc%l-0fZ-2(?Cv4k1Wx8U;fTVGsW(`#{l0e)LrgeU!D728gUSj>lE zWiNBr?CE-PM*q_K@5>~QK_O$z=fXcY?v6*Yi1*SO z{b#-?T_3*OA^h|)W6RH^OPz}oGv-du&~s8)Sr|Femu(fjAgM>~edp^pu3fE*Tx=2w z&n)eZaIrf0>y7))m_u3(*a#eZZJGO9qRp<<6 z|AH%4r)^Ujw!i-^URB#CVE=OkE>@>E^q95t{AHbI-*n)g-{{se)V7#waJLMuDI0OJ91mWa>Sg52t7QG?M%Jn9-vSj-8Pf*o9I0IV`Iz z+IGi)g^lD?qVJu%r@>F#cQ1y~w~jb^ZshFo+*wT%zFPCOF|&^##7_#VURv(L%u z(01xRZ?i8s`wlKzrb)PK^!osLn)6KJwYaP0rp;#iOE=%&!+sIva9rM`S8o6L;*||M zSL_Xc_Dj?gHE~tn>9AwXyKcNAFz?`FzD}YHI@}5M2%KQ2{pS0Ey=^3D>$9?_x{f-BS8mn%`YO2PGIq2jO|tsje)VfH3SU=kI5Lw} z{CoyJ!lkYMY?@KcHr;vU%|7;_;~IX(dF}ay`=(!A5u?vH7K(DfY z!u~W`#6-S%tVvSbs*eXOqyhIdSLokhB%UIzS{33lfe?PaVY}PMbG?Vl4~prLXN*@Y zH|ZLAdA@SVi$TolUlznsTX{!&8(4(EZnNYHhFeTpb71IL>!;6;l#i;pu2hdZX>1zl zzUlnnbHz=A6{*#u#w{`H4f-`l0|Gi?mjwjA(@JT}X!C(8H9oC%E8#iB_vSsDLGi&^F zIK0d~*Dej0?AdU-GiIXqm5XwDXE?%oYF?3X8Tq{3J4|11;-GrXP7+SV%DiOW!RwsE z6Xhxo1*}}3;@h^|W<^Vvv%Kxb72CEw^Vc^Bz;7#^`uT;V=#tIJZcWR`f~0r3zj=jt z7g>JYxN^YX^`zC%%OMkn^bgC_6ziLlXFN&0(==V3xVP4Xesal5qx#vskwN_Vo3eg) z+QJ=Ai!wAyyLqrAQgOA}Qh$#@?Y!31j_k}g4T4J6aD?6}*81)GUmDimynOVL@_@aH zr0LU6y#bFWYZzuT2A-V?BffjE+rwO~ST3*f z-qc|+t0e^BZ^Zc{jEfC?+Sk!gTGkHIuA$7Z z8|sT9h7R@cIC8y&U8=hr?we+BIH-NgZiPjy=k=$hR#&PG&mUhuo)8tOZghSbnYzu* zcm9?)l^OSrM`5;D`S4hexxFO~8YVf5f(ovWT(||XsddlCZ}66?ab|wF^OU7w=AM?28!(os_E{Z-MJw2&bE)}`y8-8W|(Rm-Xg+@NOO z6jyt3+WE(c2j|mXuw8d|NR!0(C)l-A2T(KO6!d%#SDaI`^4Ov^Nwq`k%4BEk*|_D( z<0koqx9-+8HJ;m1`PrTN`_r|vpVaVIhKJcKAHS;nic+T>t#hgQ4Mq;DVAJ@{Dm_7d z;(g14{iM5LlEGc~mS0o*&4;ArcKzD>-`?Epy6|<^y~lQ2%7X3&9C@BM^)T1c*?wtP zPwZ(wx~g<`Rj(p7bmT(ky|YgbuMe2MUMKI7)~US_F1>GDJ7d@lso~P&hj53Df7cwZ zSyim5Hn5?5Xw%dBiGG4T5qCR^Cf(HBaP#HOus^b{%viL}Y}9dGx6ioujiB}uO}pIKD)L3md z;eWCB=HXPe-~V`#nGl&W979xea0ZUdQ~z0E!E=l%SCpWk(Tet&&+U0wG+d+)W^z3%l|Yu)$0_gWB3+8%-O z{l`10r`)eRl;)yS?|eqtJhm^=oIZ$^F^?D^HtByLs_@CQ_cGdq3fhheM55yveK>7E3GX?wicxklA@D6XdAOq)xO!u!LwCpEtLq$T<7a4mQoPYT*F zj9{*beSO8}(NnXNr{7G^?>%=@CU~ylu=BmEBl~0dQOkh0s+q3u_O5*&S}|6i}Vt@_vBhhyTs@(Tjen+hFQGYt7JB!cxr)@eG{%Y`9&5- zH+{)MjxA@x9>7D+qvv~yoeWR;v2Kjin5eH-^kg4O`AVq3v2JXO(y{!qkSzbSk$5OV zSY9D?moPz0#iG0XEsx|I-(zN}e57N1Y>Mv%lkVsUb}!>fCS!_w8tRzqI<3lH7) zD)A9)#X`KOSvX?1{&_{OdAxaa>3tO@o^74s1{Y+OxiF#K6{)4fSlnujS%K9nZ#n+?Qx^wZF|y z-E)W-mz@6H-{@Yp{x!B8FXZ+Vl3&jw?QR_j91|`Tc&IOK%}mQHe8v~iTlBHweMpBtpd1MORnza6h}lWZ#&_Aiat?uSE}zu=5ERs zPr3l(BzAvk{HRFe52w5thG~z5*xRVKJ0I*=M7EKKuX#z|JpMk<;ECErdgA`uk%x9M z3cPy!^7FS+PMfOZTS5ipUCOpSO}(EV-qn>m~KyG7JV$-xEFQ zGz_vPywDL`8M?i4B?!XU3x{03J_H~3yY9`|wy8TVwAtpX2MTtc;7`0+vbSUUS%R{q z<FCSHpHElc+xvdwmwk3lyk{;N-qInyt9)G8Zu#niv`&8WMQ`3McV3l-Hf>>)>{s+M zNw&}Jf5MZp)ur+N>DGg%Z%WqpVYD~Ozr0vxHqj)fcXZE9)5pYH_XoYEPK%w9A3UO1dh7R*;<@eK1<2#)uI=6u z%*;~FtHryu1z`dOFlU`7TYBaac|{pmnIiLxYZ}Kdd)k#fG3faIEql_#`4MGn(tuG) z8|xX5hy%EeM5o8$Iv=($j_*#d3!bnwsk$`Od2?sOfh``!7CH~vt+IWdxVU#`_zS;z zHFk0L3=@}i0fFJe6RW?1`air-V0im%p|||?+41RGYbX0E7pOQrG|w7=4^!S~*1P*x z9H#f4zSuX1N$Y|~jz38LBaO1i>c=9jxu~>ZH1|P{^w!W|I-*a^YsNFLl&|~sPDmVb z>iwl8mUG`dn$_@j<~`b*%*)SDo{J9Je6i~2HPxRVYOOR_)%ABtXxAJ~ynKi_ckw%$ z<@Mo8!xQvO6>q1L53+90+%>(4;cTxVO}%04q_8a8_V0>Q9>D^d`$Ym$ijuT0NF1Gh zeZyyH3Y(Cs_KKEtwy9&9Q*hqooa0HeQgZxRAXk>RrzfPoG8E@uGfBA-i>oyGU}gA3 z*vApEwl??6TSMu5JzPEelIajvB8;*!8@4b<^L~xGel6f-;A@HKz{pt{MaK&(Bf3 zx1`;X++f#J4l=_2O1w+IIqX+mulVb|+TVLs%r~^^F(w3so|%(6>A2wqy}8ib1uoo% zjhq)gY-Md%qy7%t5SNSB)_D1r%)ftU{$CAG4KxPleeV1I6d1qMYjbVZMoF>1G3-gQ{>UywZhO**+xAmd15m`!@SWNc|MO;dZ`h1?(tqR@ z^^6w^akFM9VA-%!M(G}=C8$+hsDyI{}u{j!9(_Y-^9 zO6`K^Pr8qk&ZxFua75B1<%3q&)bkZtsAKk}_^!lwK{3K{|LuHrmuZu_K z4iHH#k(s!Uh4of5gU4%6As*ER_)fH5!B)ohjm-$=& zM9cU7DyK6;{(CmrA@&#XJgwvmXMCda(MtYZ*N4j3hv&!GcA6#HXKl3>9=DdbI%(3v zvdL;lgN3hihTY}!=EH0k4_y`FrLyuU&kZ!&3%YrI zZ|>dlUhl!7lcOi@*(KvAo}NX~o82?1dpy|0>Te@}p;g)>5BxCB*t7lRy<@?_4-Y4~ zV$H*o$Rh8)2Fd$}iF_{EQ=W)SyeyI6KmA4AZG_|fM81yvy(?X8iUsA)-jry@pg4ky z5bOC7Qy(_`ISNm1;kd!>h!NT7sRH(UX%krJw7!HK=Nx;OiDX?|4ADHDzp7g+?O z%6P`ZXa|T*WNprG-y%Obu|%eETsp4M<#Ii*GEMGdN~@vx^D?{NGQNxzL|K#J4(A2x zRolVZ-s85r?9P8Im%DrC6rEJixxHJ$uHS1(4&c%JT{Vj@3g(sk^1?RabBRJFuZl>R z&NiQ04Vz|$>A1BN>>kq3y}L6=ckT3NU*6-#qg{KQ+T){W)xN?x)Bet1nOG(mDrU+& zB|4dM8%4I?wiLO;!@j9X!tkh0<=s;~dbe%9C|&+;6!B=v^@KqT>z)YST!V$6CKr*) z`2C#lOXwF$FSzdsncJUq7<^#+_{L8059S%R!-sUY$MULJXCRmZ zY>qf8Ft>CzCL`ia7up(r?mhSI(&Sa4kQ$+S!IZDqGEZsY{m7Y%4$Kx?^As*Q~)sdtxm+}joB;dbbbmI96ceGlt{`Sfklx442{ z@m$BLDQB=UYaV)~F_|mx{7#;#HQq6Pq#?xV`8(nPganIv*!TgRljqD6-yr?P{6pQh z&ZZ0b&-DA0Jv@9eR>t=$QZ7Z?)hkuv-MQ`iRV>UO@N4GJ4c)7M@ThvAJs~$qdm62L z{nRc`vkR|3J)BUFI`qRjPx+Ioe#=e!ENI%9A|F5ZiIpcGjXvD`Xs+ELX;+8u=I^Li zyutVuVg>o+tLHQ9wyy)eKiVZm-k<*Q-QyTo0ZCskFaXa4SD;17i)5A$op83(&ywM$RQgi zHZWK6ERArPMK5kdA`^A`&_C$sdkI%Q)@ax%cbuo`QHq8TO1r&c%1~PhT(Fb;unuIj|Vn4y5G-nah7;Dup{tdkF?t<`)8R>9Ty}I4>B`z zw`}~T6|1Lw-Y6$eI9p^|$3rkC=N{uZuNNX#22%F}|H}U?)nm9bBQlG_wkB{Gs!w`x zV7%YbJ)2pdR(G!dG^wYzDq_iQ2M>T?_Oi zCbZk>-sf)_QmQlfF!l+T$+{!Dm#wQ@_K45PJuM#2-z<3SyjY0sMLNBBb@Qg2oz0b}z;EXhic~906M!G$Q zvZ#5*RWFv7!>vV;`f7Zdh2zQ!#NE1gyG zmX}=h-#XRukQ^&h(%N_p@01uNl^?}1pQ!E1O#i+4HB&%o=fiO(wDfvpLYGQb=St?NXz@J&au6+qIbGDZH_%Lyz}`U*;!J^_1c!# zjwz;|?paE)1}xoOQ+FtpeEaB5H7LBUk&C}KlX0+7H-mpp=|RqWvj88{2i9C7>>fDW zjEmUUFOuye_-!*5uO4fT(_X8nmw#cl+qC23ucW;&UEPQ zr%KH^ciL>bNcwXA=;^YplE=cCsyDopmdcE=de|x%^FBPeh{JxNiL<0R)Z+WyPZ=j%hq@$*-wHk6S^kcE$UU$4Zj7hW z=w(r`HAlyndo9t%9G@Eyg@mTrD%;53?)$-v)KBvVR7phcmG!TqEXtn_c>zZ@U7(i^Qy>m>{> ze7r|z-$?p^v@G*~H#MQ^P8ffuKmPdF<{uE>!)$qB7uHX9n_YINj?f~EzpH(G(kIK@ zmURS&&Js*(KKC}o{m|Y=9h!yGHUVUI<@v;_hh^Wl-@JIkwreWh=ZI@frq;!>VUfw*)0WR^y=O|hh8zs*V^ODXUb!Iu)Q`ijl|%H1;Ps>Ck4MAB=BH=cLyX~MBcawq3hbp1nB`8)3IBIXViemOxCbi&3` zZ-b9inL7)J6!#teOnUNicG$z6oOsmbuJ4oXMul4wx9`-{HX-;v-1f}!(VMl_GOQTL z`*5@JL+6p1HxFBH`Hk$ltkxZIdcHtZ&al7lZK&TBSyg9Mo`|%|?R1DECTdoi8)8KR z_YC~KVT5%qRQZczG9&Q=?yXUyxp;fxjewHvhxs>8R9yAkLAdO{*T7H9nD2#9f#c=W zb`439U=zohmv2+rSs)gR&~8)g5wFl-S)KeUD-rx;n(3@ZO#3k(?A^+jJ~mU{S2*>2 zlW)AZo9UEtLB+nq(EOq(x=UKh?C|TBeRIxZuZ`sdzRlBfmOBOsH|NTvKkqh?`_Qpv zfBNAxncFvh!T!F+D0S`b z?dEt8#lsEC;l{yI zmJSbgH1ouB%tpKSPkz{k95Sx_a@g~|UuJQ;_gE`ey&#J*NB_NRESs)p3VVJsyj3Fe z(HP@1{_WL+ixLCebJE|wI(HYlv|%*9Tx-I9Nes|&X3w#GeGvY`E435 zj=T)_72bC!iSK-{*W&u&U75<=mImoY!R(J4`l4R3ydJnerm9?8xl`wwM2!HW*SAnLB{g+&|5$_x)l-NK@_jLPJ z?-}P9or05)5mYfePe`Jf7y7%2hi1=yN`b~Z-ha|OE9_SIX8vgwp{MU*-QJN=9#u{C zxxhH%^V{o=6c_lkP^XIPqp0uI?OOewrMAQrQl}`rKct@uXFEM#I>JB&%4ck-ku`eXQ=T% zd%E(`o+q4RM~=F^dUio{Yo~iY{im-N%=s#={%Y#?VE_Jle<_hA{`B^(hhyZsbBwTI zK}(U2pgo4uWpk%cNgeaTtgB8Y(M3F;1y;?qu;Wjnt-TxGD|!q*K)#VB&>eZFQuBFZ91>_n^`!@MXJg*zIn}N%KiRK%g>6sgZ6yezT;lW zd-i_dxfm#C;m${DGBG@8`*ycP&L_eh%8aQ0OV7(UIPdD!85e!ARx?6Shk0r|_FgUU z3^tJNPUoZ8c4Q~rYjo&7*u{3TI&k(-=YlOMuqWzzOp0gY@_Tz#MObAv zA``tCR-c9*H)`E4{gbTL@nykCk#C zTsUWllIu_9xt#00DUfhFe*Ep|`N!oUU+LdhY`EuCDfVP@c~#i{Bj2~Z`Psfr@Nf@u z;MBy<>4>y&-E##Nw;YkmsG1I@kf19AWl0jg>GyWDXK}R) z4>^l#9f&x7ZSdy?zf?!LPsb!S?CaI5*?P-zPi1J*v7+w!_Yp~6)C8^2ECbK`C{imL z3aIESd48J67pW;5u(|>Hn z+B{Ps@oE5dCfV#T5nssbmlQLxaVt&CYUe&Hz53%{o@Q+fC5ti}bupL|Z`#htznSKk zyk4@$Q~Rrzj^sNkbCa3?3zPK1w8e~*BOmhpWYH}JE2nDH1+o#{3t#V|v&L5}oWIRC zWK^8dNN}*VpjU?25632HSX35r^xqIo^754xJ|8XScHY=~JZiy}$MMrCr#E)LxLTUF z2+Hw1A1tx0?kVIjtl!+FT>2?IS7!5tu?y$JrS}nz9tp3`i(A+#=R@sKK5o=C$8(G5 z-(twAP1z4#D1Ei2>~*v)lpiMw8v6C5XsCZZ{mC3`P`_mV>|D2k`DD#h?0hGt#J0v# zYHzz#ni*BhhgqY2Bh@e6*&muHNDC0Hxt1Y>r7q`&B=GCbWGs&OWVvPIlXRrO*T;0}Lnqq}jb)i=-6^;}Q z{MA8~$q+{5{L@y^m7TIG%4DM2p!^6DrJ$g&xYtwx;q1W*lD)ut==3~U%~=26UVdWbas?!y1^y8K+3-2J`s~H@ zG;7aZfB8ComUsahT9;V?Br(bgSkQT-q7qIC1-1(!6%`a!loSzwwjxpm?gzYM7=F6) z(g8+E0f}B}qLoyTil7O4y4=fklohdnBG`0_M5(|O;f8`T4ugg%FUf0_GAulB08_@n zEznfJB7s=fD1*(Ht30Dra0+OcXS4!nfjz9?5sSY7%4igBg*%vQlrk2HU1Aocgh65! z$st#4NUfNN|gquu2+y@w7@B1Ji+p zy~;EOmi5vwDz9+2NE#^T60c~S0#a$2HdY0R29IB*4ZbN_r43|_g7?cJ*EJN;%F6?} zEK-;>=-3iv3=TYUsRLM`Ve9CEZ!}ivDlUov+_ly~!+%Q(@=tV8N~?p5R#_U@RgS^_ z{8h@!ingk8;1NrrUmU`JqKs8hT9t*$(on2Y2HW3PDWg|-UTdJR7*KCvk@7M^{*(oJ z6)sp5dT}sUDJ!l=;<7HRDg|gL!?a=2DnP-O)WKHm zRmNeM!-Y_gYYmuh)ISJ&xfdv96fg>lT8PFhsn8nf^>9>x^#WK$qykDAg9RpYr2!bn zpp;gW0_Gfp#x5F+Ma^DSL>15npg3#P*TYd^MYCb7FQR_A0jMhizF_+U$SqRGDy;}Z z8DM=$V9I}&`Fcd6Ryalh3#9;yXW67I4(C593L1-Dv5Ej;NbGvhD6U5&dc}II8ma#$ z(paF(Mn(tVL$=|1JQ%V@}T}F*UMwMj%&0M`cJ4U{(;FAii&@0 zERz01zn6`+G8(t&{9vOE{2**l*0^2|N;Is_u+alX5O@Z-u{5;sK&}|MMfF}eScW*P zEX$%bauERF zUZm2B&BiYI2S8g{WknTW;PMv*fi`_{OYI#A27w0#0B6aX4sMBfcJ!Yx=PwddzCz@Xvf>VCk%3 z5_ZLFq}O9o8F+LI?Aw)BVvrU+jq~-mR9TS)4mb$ZqN1#GY0D^w z`Cj!wC}rgph=N`(s{I=2^}xgdn5n=Nae(c`5MoVF6qg2SWiVHH1+rT15BMJRPltwH z4@}&OJHWwlD{NPAO5jg<$)PRl+L8_~A7FtmS`J)6v7|qtgI*6zl@&a$Yry*b52ROE zRa}(_8hEomyaIYXDzPitfnCv;BFAYeDVs4lB9;GeWt{5lMr<>(Tv46NpQ9YOzr%2kRh-gpg_{|p=y03sau0j@#t z@`o=&{{hLR7zaH3Z;k(MN|Y2=Q3FDHI7C^K$9hPvS*aC=12d0W45LvfW+jYL#I3|_AXxu1Fh;M3F0fdD30Ywm_uaIxFf;QQZv@jsKspq6IVu*gBQ56gaaG~sHptEB&pYen=Q0g56BzF{A;IEI(~#w-pp}&Ww1=4W==`@hVpYa}kY2Y$fCla#_DHKjfot2Xs>;8GRzSw9O690E_Dy`rI<{SlLo#lBB3QR_pjRIJx`C|nFuD`ZMeZ}qk z!z2*5VqRg|OUn&_TV;UtWy&a(zlA?=(&{zRDC|%yv@#Jw<@V^iIIrw502UdCW<7Yj6eVmV}us4FeYI6dF2RzAHAq!i&Ow!(TmBf7LNeH(2EJ47LNcT(2Hqq7LR}yqu~Xp zr6&N*Loa6PSUd+W6QY5_FP~Hd+$^q8FI}q$*g-GmGg!J9@B>1s)r(<<7Dr;~EX>i; zD-5`oiZDy?oNt*F%oDtlvU~_L1RuN=Z$fqJJahcH)4YouVVl-5YWTq&)Qg1G`YwpQ+ixl&pq1#_jmN(zpW z!5Y=d#c)`Q2DPM@?}fuqG?-ql9Ku{FuabhpJ+RQXdKTsiw?+!)YO&P$;`m}ursuGi198sk#el1&v~7!Q zz`kTDm)X)G;A$zQ)6yZ}3cSr&y%%tWS<`mdHZHD7g#002r*n(HES9-N$RBj{0H*;O6i=+=xd4lNtJzK9 z3{~>Rj%VG0iCUKI|GzmeP;mYd^R+jQ0r%$d-4H$FMtNluWyZaTI>!b_hZ+3cirZl+ zaYpxyOpn~;-|(w?VIC!8(GkzIYwjX54Xy}Rv7 z{d@ySsn<(xiKM-Dn%t5oepz^7K}bg^w527~Xv}H+Rw6r1%z>-N;oke6irVbTa;cgc zxtbY>IYNz|UQ$+4KtXGxBoCTRcAF}b48O8zh2=&@>AfdTNb{YrwB+MUKfT*DHB3Lz z!thJoRb!mORolm=mD%21I-8GY#WU$gAVW;1y9fBY2r#=Is)C$)dveQihLJ zRuJnGi!-(<8bxHi^sZz2l6gPQ#%Y%{r-f$t8^$R?Z&7!N4=246#20+y!eVZ73!JYF zXP;sxv=w9c6ym$g)Gir}?hvhd5Wt(TdLX%J93rr6kit%c{*#A=RbbJzt$#fYYvcb+ z#R0aKGr0hpxu)VM46y3}0l+~oD*8DeUx0}JNZ|r9{w$|(k=NDTclhvL$AHx&F6$Dv z0Do$P*bOcQF!A*`a~9ZG_*wrop%MJrdA)v1r)w}FToyBX{onuouLS;gB=BD{CN1hB zj436>wX9)_G0^`EXuxYN0}Zw6NI4*c^*}Y~yZ2 zkqGl4UzTVgkPfp+B701zl8($2<(Oy|#tGR^hD_t}d=82RyGzt~nmSCiA@{eX)zI6C z$j~Gw;*4RKD*2K<;yd~?J0d2UKi0m6H*CLD7cGD%Dsq-C(JgC%UnIm@JZ653DXdbm ze2PdL1vxRx9NLbs1^(WKx2J*p%Qwe3&z7g18_D|e86*z(mqfm&Pm4vf#^U;v()f+_$C#o^ zTh5}KN9#O|O%Xkt#eU%3qY^#3{p}MQxO)VmZ8V$CP?~bxTt=T#*|@ahpzzHVW)z70 zOokHSbPw*3lt+PMh&ZlPFIFO98|#Yd0V;NvILsray@|#Xih$Z_i3%AY{9L zq6>6JoZ|4(XVRXnnRLT{%{sLZ=YY8`}#v5t&s z*KY{%Le9DrT*SV)?|PnYVJI{ zd}LA1Gob-Qfb3_GgY$^k9MGd0NweJa4r=b>n%1tmtIiAVEH0cJ0c8-OG$c5zvjIC# zeun*g`3jSlY;=r!4%2f&V~>E?$Y~i8Tcr9l?X+0^#4SyHqS`iX@;UzT+%J7HWF?4N z7()BVH8TY&sm{1!`l9%4veT?CowE64mq2aP~(xS}~BD6>hxPC}Ck z-DS@$lZ35k4<}t=6CywBd-}cs0H=5oKsNh$AL&G@8~o!pgq=9XzC5h zz)k|n9Z5m;{pcmhH;q8Li3!mp16Ff2k5QKhnSh(t1ncqw^XYKX2SevYMr@aGVJNtb52uP7sVz6EqJ(RR5s` zCF-3sQ<4Mh3x%WXa$vaR-oBtEPVAR1bKWC?N55vit7SR*Q!NNi9jVQ&^G|LaPoH)PbP9n)b~ zZznO`0jd}ToSL;Vdc_q3fx0%W1rzECSIe&96CI}rP-%c$_@F`AJixa~;`NIAY}F<- z$wW1d4n)8%J$1*Cy9FbY`pr_8ExHal6<&ly^HX z6f;9zq<{$UXyIhzJo0VQasKzhuelLu5~A*=l<@Jx?1hu8KzCyRE77J|BhbgIodc)1 zJRwmaGom$~U0!tFO2O6{h!7|^Lo(F|DDc(8uA27L4o9@Zg4@&^_f0REqD$uTppkDN zpRS=8c`EPZh0MulxYyhZ>fnYVP2%L|lXnItQV1jdcp5oZXfOyp<29pjr&2&3Xww%? z`(}LSZI%OEP_TftwGx9w8PKGoA({XL4o9Y`SLtRiyq}~wWn_$!)8Vn=nU^tt?O^o_Q31!*x-ynOoK^=P|;t)d%r3vK0 ztvEj41o~^a#VnULG9E@X4L*in@U5p2rV(%a`vmOdA?O6XNXs-x5Dh1H?tB4kg;ES# zq8cjiT09>5ag86itm)r{aIR{Qvp2w_LXGGj#jY7*{f#h780fHv=7uI`>~{Ofy1O&J zViOJ{Kc6v~AmH1=M`VrM{-qrjF$KaM0gO#781VqD2_zi)V_os88n%sywrz zX=E;Y0*pBAt$PI~#2_-%F|}<-W9DUNu_Ix4W>ERZLKyj3m+-l2!dGeymj+pbs*uFr zgm0{+8{0GzXF3XGS?97<&lO8_49dZNL!OWh#4Sh0-h`3!dzeG#hrB*UJbf59KQhWkNqYMAYs2daxvDLPY*|#3QJY@J)#4aTwI6bklC;aKsr+ zf&FPyy9gZw65EhJ{+VF%E13wf2K-5k;~$r9U~E9CC=8Phax?V?C0VC?U*nTf;INsA(vAfDZ$$VF8W zVxrAYaemhSp-`9?8Rvp#B+XlNiRa=u2WMOuaqcaM03JdDM6%O_Xs>HZkn)idVn9D0 z-?WqL;bhr_(tb`O&bgqp60|3@o|PiMGmuSXspn?CKCT`zbYbisn8dmw31`20y|AF9 zRhglpE;8vFLIxFED z$#~PLewq;3g_EJ}656s-;p#_M1%lJ{z`0WT&C|a$v@$4fp}C7p-K3XfYT%30a+<%j ziXsv>OWKddh`iYq0|;r7cjv}t0pNN?kv_4HDdcNWzL`Yxif`HdHOTsFX9ZhRd}vCd zQ*Q;x4SuAA4Ooo7?9_9x9rfb3c3P$q+}|~aYK>~mXwP17?n96QIVZ-;I}+-NoSszm zTE&4cR0Qwth1H<08w+EHjybHD)@0@dpkch zj!g;4Uu|nCV8P1zM(60}?&vKxYM5Iykt~j&zS@r&_iSx0HMZ*w@}Lm41SNtESP7jb zpt~=ytUApnPVcrJygpbiOxnLS`h~zeE$1^MdP8LxIdiU~-Yz%xB7Nn{@Uv+kC?jP+ zui5oGppH2b>!k~7iUUNE`M^Qpq8;{`(+gI}_-H@*7c<^1Cn|;*r?zT#61n+F4BfCp znFks9F~uNOdn)})evTVzp@uI6HGYV8&V8~Frl*bn=;73)z+~!lho)7w#mueQ$DtZK#6cR7DMpDCN{95evDJ5p zbL%CvmgxXFo zHQt-P`4Tg6i8YBDAYv1$)A2G^7c9)`@0$;?HRCCg>Zjuz|53v=-@l0~=$I$1391{Y zho+ggL-F#{`$qbM?80Ammge1}QQTv_{luL#z_W!T_RzCwhjUCG5IFV-9DD!3{sz{0 z51J)rzyzUnjxIjSB(z{oL>c#SfEFnha!eafMxNGa&|#=kbsxgAZ()`T08#bM=DaYu zFmj>SM4mOf6q_aK`EvnnhNoAwJ6f4#p^3AvbWgEHvdhotbPo`jXc?MRCL9Mu4GP#N z80iu0cnZ3d-lf`UV2>a*xEi`mwbrwHkef!)7-%Yb^|sr`3Zsw1_7YA`Lm&i|Wt4 zzGZ&;q+qiCH_Qy?n=(~HK(}KDA=-wMBTdB610NunE)wP*Wg`A)5UWjg%zVAcv`S{7 zF3o{x5JAmZ5ZHz1IMQ7ReF$SS5}S_ZZ<9YQVC(df`FTlbSQMm(5(}Wjx@@GMNJBD3 zI9{-tbo72Hls-Quv_p$>f!GKfOG*{WFR`D3J#&i~u z=~WZ;dsdxeVCxl)`5l^po9pMAMqLJVP2LjkZki$DTgI5)aE~!@GHM?xV^`9(%guw3 z9NW?(XdMV6zENILZgIkl%ov9WQ-w0yLGM7$9&Tz((|mvjev0xoH&H^f8%L9OmFH2?Q4)B+$Cir z`B0rD)QKBm>B_}ZR4Z)edBO`kNemPMNm7gUFYFn@c^YL~76un7Qt=6+!Rik!CPzHQ zfS28}pp?Pzd_K2hOt3myKd&@V4U<;SMXoRuWk_GAN9ZQ>Ljk(JAhH~Z*qC!LEn>{i?VvGxWc{!nd)fVg6<$bogHhNma?mnC}*TJSSRzH14-DAWBiYNy!R8YWtRv{^gp5!_s%J3soi}Lj&Vc0<+ zF0$UYjA$KVMo&7p>4v~LVMfxyjQ`ebXueuTP)62WkBYc~fy(!r<8AQAY(QfM|(KHGXao%>8zC4~S!1&uh z!9Zkm+K?d_6fmOonLEL)edne8)T1mjF z?1L{AM1vG0yGiWDmae*eXYO<1SdhOh~80Yu$0@`Mb;opXCMNQQ>7u`2^Wt{X8M2c^rag9KPGn4a^9gQhbY;aK=1KY?+ zTH`ftVlGe#gzf-=6%x#|)1tIVONKm&qVa0qY?E2oo%#t+dr)cK9ZQay%*~n!C5&G< z=zuCCvFJJJ3ib%K7tV@R2;-9(W-D82R4>w3+&F(>);pO`PJ6Q!C5Y1`%g9wNg1b>H zYwIW(_~1^3s<%~B2vgxQKV*!UHHaOP^)m^_rokizA;JWv zfHxD>RC^36ca=etRN$lwo{fo)Kngp{E3r-01mK-_j%IP#mwqYns?3bSD7RDvN=pNBU_HIlB@Be#17*vAJS%5D1Sup!ci;u@YLMf*+z2Xm529 z+;ge)q+*7_{XJ3(_p$d#bZBEt(`_+aUl$JVdtT%21#@ZO^yc{ zwX{ar<@+aIZDn{VQX|Ul;HZnKP~`et5R-YICW#2(_U_@&z##(8Uu2K?+J^|xjsde| zt{xUq-g4FSw8m$uh-X@eydN@=B!*nK^d}SZ?yU&?tq5lE;ArpJJo|)}mM`2?v$6V* zX-7)58>iDF&Qb0n0tAGk{hLVc*ifAIn^4;MA(`vf6Y?Y4d(6H_P}1y}m2=1LadK?6 znrmb!(*9X~k)Fgk=`~_MIY(=vNzR-{6G(LY&=B354qR46+JAD zU?1hK*3tH-4OvYu%@e8tbJ6~|b{oD|MrM(GL%{8g16J?o^CW5Jk?eDv( zlEm2yk_+ni8DmX|C7Oi`x#QXuRTCuvmbVVfvog*@{&UX>cP>!+i)vgL+gwzyAX%(( zhwwA$Il(9|HO!`Qvic*fu@DCLPI8pe37>HBmyn704@1$-bMwrC!dRY7+GD8_r8=yY zVVzI#6^!%H?=5+RM1Mp`c1~=Yt_snTpNhZ28^4kKSEZ}wGsH7)4yxu{g+aaVJr2%I zu};zz#N0calr(L5^PoP8Y6M$>62}0MnOA=L^me=P=e8Y8G`=e4g}sPyMh^VkL^6TW zg}&Sz$Shn4Ihw}oqd5reiD1nOXVeU;_DT$WC19wJn2C?l)_A)`984)SIQKcKVZu#Q z8$+!tvg6ehY`b&SgwSA3mDQVBUAdzktXrNbvYY!(XT%M0Bzp9P>KL?x(o0(Vh|wZX zD83`yJ@_4rUdB-bmcscNt&T=o$1lzP(p*}a`$F^Ss^O#@rZORDTwAv}ix^9udcdX~ z{k(E_{BvV-FNd@rk;I`&0qYVrf6=yREmd-1X&z0S2xhHThr}R6<4E{f2j?8kGY~Zv z(&J>OXx&$)M$xp$O;zk+!BP((gq>)IHuQ{AJa)$VR$m{}My~g-ZkI1)Sf)JU!p}qe z%!;`P!^tb6^-y0trKv&v9t(sh85Zo6bDz$e{Q{AK{oM-(1rE%SV+IXK5IdGMc=m#w z7$>s|$3gLNd=`nS0Safu2>f&DoW-|Ci|+)LaOkynWAMhA|Jl1Su!Uyj-IyZy#CWBA z4tO^PUf=!iy&GG6)_;FDwz%zXZFdQHH+DRU{X(r0!}0R;B3=Pdvve?8i`$=;p3{>1 z)bViii{OX~hR(1UiAc*D{+9}oY!yOLLx=25Ol&=r!J7jJ4^8(%w1gPwP^BHM9w+uW zlE@hw$E-_}Lv&Tp7eND17ZlGC5-OQ!JH{|_nKxp4qMYAIlVOB=2fo=UjETb(YEUY> z&3ILTXxhVeaaKmEPYn9SLz3ah8JQXR7y%im4{3hnRtIweF%p{j1cx-e?C&~nu~USF z@bVdtjI7bRApDF`MNDoK1U(hc|K;;5nv=wBsa1M4d!($M(voN)uZOLeeDRcd`^=t* zO-XawIguYDC==V?^q$Ocql{neestYrroMehNfH!4>)kkkbk>MUqO$bjh zN-8iZ)-8#Rl8}jMM88)iYGZH=PFj zR41NEZ(-<<+Z4_nRl_y8t!YsD>rf4n{5(kWY^vbgpu!;sdt=Sbh`}8xed?Z5QHeF2 ze3GSu@+DxRt?6H3Jv3A}Nu?Ekj5}V@_>_AxhBWuCDce4=MnI6hB1n>1BzF|w51o#X zVm>wMUM-&MRzS`rMsGvcQXW^+NX+_=^D4tgG+tbyns(K*=!Ybz38LhXxJQ~^3T|kC z@YF}zH|yc}?uw1@;^6!hI4l z#GNR=!QN=PJMXUxb3?mxVh@-b<2N-Y+JroM(Mt8ZgD1p9N7zo&1hiAxFPy1y61dV3 zU36JMa`X0*&~|>_0BkLN^zHw{+?z)wx&D2lzmFsofm;Lvc#;lYL`cz~Y5v z;y2Fr5z(RU{GRjJTi{{ZGNq+P&|~cU8}SSv{$|-dT|+?Q%TPdTR`AsDDUF@Rz?W=+ zhq`nWdmd8GlOnhlS>0RQ*R=5Zjj4UdlGsjhVo88Z=&tA7=ob-~1EkN^e4$Td$raW#+S7kKk zL`n^Fc8olZL_)JO?H(x9Oz7S&H!=Hvu4Bv3tQ)tJ=iB7GX#sIF8sESqlfFUXEnTB+ z?Y#_XjL&yFL2o1Q9d@R7q=nCU9cNuErw<-?|MqIXL7ftvm0iTkaZWOoPmrs!S|r)^ zi$LFtabV6buP>C?J4c#_uMM7B5kxtyf8z7BZ|KJ=!qaO&cvYjhg2lLPQfa`k7u>+Z zF141wmAtS*yD`7E7hhWKXgr83g0scTXzs5!=!SDvTFMX9UyIBuDn3&^%v}7G;{>a1 zW~FKVVE6R3jc}r1k#DAxjZT}1g2Sh*6;+q@`^0s%eZS@?&U}4V!f^;qOgI}&n%91e zl=MH(Zl&wRjF#T$s^Y<;+u6%g0L0%2_vwU-m7`uy=I;sT9=hJU`liq?C8WUOHc};y z40ehwv4YHhEK9{XR=!1uieYD%&uQh{Wz|l|JNoGkA0pol#2u}BcF#SRO*gr9dl{*= zU$fKzfFGX`*Uy)|2TSMXx;%LQeR0 zX`3eXtwMMpu~Zs3NK=#Tq>K#!+C4E-uFqt$HQ^jqmq{K`E*Nc~6+@$pw(=bS*`&)4 zU~2adT@vTc1+pS|-fSfI0GBQ(_%m^N_UMHFP;Tl_H88nMN*G4y6ji@hnL!ehIOH{2 zHmUwa_Cq(`O*TLCI(D%+`nTNxC#Q$x7}@Gzch9N5D+ zl1*v6R9ixU7V+o|657Kh-Mkr}2hr>m!qFVM&C%^YjGvJG=vWJ;nqi?W`7uIgCacoQ zmG0|7y&Yf!qr2KAl;DzA>Qp6V95tFc$Tq}q#y1$kgKCQ6s9Sro9o83td>-T!o z=umb$V}hqH;KrH12pu9LPkK}4k~mRLf2FYaWBb*AgDz?f_L>Ktn zjaB|w`WfLJ9l2lS;7Es;^3md>j7vid8}fn??uTyTAW(<%2b+-gU$-8$m%X@*B$+-R zAqAg`xL+!Wx5D36sq#C>4ZcpHY~ufk|}k(U}gx!%-SSQ^`{6 zoRVN?bj2|`=J{XHmh2Ks@R?ghoJ553ZFFGgni^j3xu*y-(2by}uTk&jkqdZH#!j8N z?CR=kfCiUt(ti3lDn#%UVk^~ z`$p*l5I&WU(IU&C71NR;0N~K#h^K2(xrIoCPc-C_pe3e257=Qv-r(sJ#l~} znrCH9t==5aS$H>2iT|>v@Mom2ZA=l0J979YYB2QigEhKubcKoiq9$fvzKn6WHIt_L z3>g4()WO~)bdwv&^|Vq{jse1pMDo0}LKv~)J9W4M(-}Fa<>Yf`nMw(lc=Myd)A(W% zHVf+{eTO2<13q7gu*8${<^V1+C)zf1kHZ~agc+zPD6bGfIx8#~YIs|nt=E7lu;ag> zCI(r!SESzG#Z zkGM9Fzm?TL<(Weah5}iGVt_d;cZFCKA+E$?4kR9$$+TB)u()}~nY)BtMgD;=;o+~! zFX?@Z>xl$;ok1F#5rwut4l(EWMycQ-9=2N78Wp9XYn&dmcd0{cQ#%%*V!l{fa)|>EwT+AKHI2P<*3)g;}JFU(j20 zJ9JY5h%5e6T>{C_EuTQ%(`ki$KT)ytaYujHzycIKsx$5atgu^~gShj+;DP??kKHV8 zVC3yO;H~1B%36l!Z8y?|$nae+Nco4f>CtLsR((LgP0MMd!z-w^?BE8(9r8&Vy0>9+ zbbAYh@{e1_;`M%#RtXag1KRt2Vp zmvPO&^0}=!&BR3ysu-d{?qbAcWAns!?p9xPg@3-%1u+OLtDO-4z}KD++R}b%>`y^A z)NYE7DS-JN2ph@-*U_Hy>VL{aP!#3>38E`VZ2PK2rwZ3ToJYVE=2|>h5;3%k8G>go zviDunxUAxX3*1%kNa`id!KMzF0ts=#pfQf?W0&}wIWGBdrKFcYm}|zAl{G_iEuK!o z8lDVZtu@xzj0-UNm;k~0{2a*eSfD6Wgm2W?!WqW;d|&N+2>N3IKOEHSLobx z)-xOsp6nsH01B&uL)t8%Ox6sQg9wo*r>&UMK4}1roLEj{%h{vFUL>{W<-D-9TW!vD zYq321jb4lAZilg_7(Rzk^6*V6Qo46zAt~F+!(9mUQN9^EDK75lS{6aQ8!GTnD~s+)wWzhh z0yv&{eC9XxE_t{o|0Mdezny%Q^|vB7ogZh|vz)}vfAVu3aH*JPXBoW2cO`mmtdDf8 z(_l?K1r$KXC2qsWa#aS%_56L_$mPt#W@zenvi5NV-=cQr!*C|Wkc0#tWLhH~;v{_$ ztB5P_n%3M!Vf-jnBT|uyKZ6DrhcOTJf93R&Ue>{x)58WjIM&)od|2?wdrEL`W6MM& zM*sInuEl+|z|xr=OM^k|C*sO@YV)vIL=H2bP`7wot{^am=Hx^G<~3O9|7>dx@)@fu z+of1hFsrvkr-F+VqCEa>ETk>8>>TXUuQ^>EV!v!Eauvj?5V)Zyu$^)Qg;!@_z-|MZ z+Y>(Z_&s`1;V{wcSBQ7>t@oP{SKbifh({;FE7qKw=op7!K-r71ryD*t)H&QyDr?lC)Z@R<8k?rM2X*^s7SG3zu=;(x6%ub5 zQSLvi`4~BV)>8RnAVynAD_O;u^c=+Ohv7xM;Q_PGbFEnfpZWnSmje|IdD5X2HqY0S zQ-N!ozCI|9lN!eCCPN}MPz(^(a75-ls0$DWF!V{`^fTa{Ac2x~BcwqSJg?fu8Ux+`)q?SG2UaBXvKrkkuOTB=E6)z%zXe2=wFCDmAxkTN_<%&bCwb{ z1Qe=XnB0Z2tc){O%}oWR1YX(wo}9AI`@kzLV5lQK3Ah(I_rRXD*h(wa7R%%E9eQws zG0eFnoTA)m1>*~@4wn|)Dk`@)qwA26#gaa+QxvQ6 zG&SftVPM0NsQE(Qp^=BN0Z~Ip!_z7}#~B%g(ldpWh9Y!5Z?F3u@jL51?tvL71F~RX z{dcU<2BR&LlU!BPsC z9RFG>KFtwDe%pv86SW99xXD$mWrNl&1iVXKXWao~<+uR1_YNEOp_LyyVP?R$O}W_h zK{Y&Qv~H^km{-?*ej&iLGKYj%cxN2%AJM;a^p;{HY=QO;Tait=YFt7iCgJYUHuIgoG4+v^#dG%1D(La(=7wFI7&N zS;4oGPuu=!**pONXs&F{SI=v16djrWeb9@&BEC}e}4X26Yum`V^sg*s(Kt8ipm*S4>R}rISZ2DagV3YX*J`?f{w|ul>h*tidserYCC6h3 zK%7{d&j_?Jt25-Eoh9RjM`3iMs_*prJCVe)1*3JXGG3YN909khTD1FAXc9G9y7N86 zJC^=NcXCPgdsNEDvDw`Sha((eJ^t3@)qyo@3ue;U6?ce+8c>l{pwx4vqJU+#QqR*B z6u?UaO&RdU2su0QAMB2i@CRPzGo4ATq=W>r=mj<_(}mTVm3ut|pEj?=n|MA`<=m3T zA{vM^h^A#g6DWxJ1I{y{jJ20T`Xqd_yhRkPm76&fqnLxBiV!ur9j=5e2fn^vIX1=_ zfnL&mgpUv^hty<^`C`8r#V))F`bhkTduQpsR=OWzbQpM=iZYkG?o)Fd{ZCgQ!Q(Oe)1X*4un{K>Ho(lTy1JeU_lIs1~c*|Wbgm0(^95ynp46Bl1 z@bMdx7Jty#Y*IKuFh_&u2e6jcer2z=+kc^rXtuq;t?jzi4SY{JHup@wBZb+&doK8Z zwj#FeL(5k7ciPC#Tv^r)qH^`H#+mo54f_}Zts0a6NW~(h9XcbuVHrrzQ9#*myT7j%aJ!*o{2GlW z{8zlyor~YT6ik)@8Q}G7MSJMM06Dy-K;=);W6UQVQE1c9$|deWhgW-?4O|T{zV6*; z#QG2oh&FV^53+nRPdml#n3#u7ks)+!#0ad5FJeFZ3G1)q^bi;sT0*jw2{% z7{ljnyp`Syh2C5c6u^(~Ww*}7r|s)jbt93VOr+uh6#?fAwzQM%?s`evbQX+gON@Sd z;qtWujB_&CtFe|~*n8u>L2Zq=gkNGU9;$0kt)|&Y8s2EBAJ)}U8=_29&khDLmtfEYp8stIX zh$zZsOHPR+CKi3sgGPA0*Mgzx=1|{4-Lw5HSVU89AeGqjMn)Py!ZonjaIslh{?frga>y``r=WTbbhYw1xu z->=`YeQH#I_u}{H*PYeH>~XnvGmjJ+_>SH;im*cH>^8h)bLNU9PL$IluiIt*`btoK z6p|m^V$D0KBM-T;=(UP8&yA<4id1iz^>Luaxrze(3;;q1+(ok_L^k>ytsA-|zymUK zA)w6$(f%WB64=3isERV`*o`;Tp&4p2XWwtKkMF*yfY2BM zyHTBG+3c3kxqQWx<0`}l7+OHyLeH0QilJLhQ$*#o8Hn_KKxRr1KB8QJSySR6sB zLoQZXLPFRl>q1k|pB&hrPv&^{-ws?+&e$D)utg$_T#w7d`0qe&k#K^u%c&(Q3W?uf z_(6!9H{YpkiFk@wql;sWOYvy|;tJx&M^P?xYtp4f^v>^OE=14=AE;uSRha?z*N}}M zpCNZceNKwND%nGG$t93A2hP8YMZzU?27O@A4xc>L2Xh?b*a6Z_b=t2B5Y(274>~-P zK1DeZwu&D0rw**qyl><(-m1~KLw>m&@Rc5RdBVf&b;ou%H$}K$ZaSj=vmL+!!Z(qo zD%WOBuj9<1)TV{bh)r;pO~rCU6cWJWkt2kMB;L5yuAXz9!!1G za4Vwv$skv%4>DekQ9r1x!bOYfDW#yZDVGL82ZHi&$*`aEfEmV0&+Rw$R7h(4Be}(N*?|NQGQ23e|L#T5@por-2OAd^) z_HVF@UtBy;0~PB=S5;m*MAE{hxv8e*hPXyx^aJG*J|THieXG~dVsDN~hNP~8w0XK< ziGP}-?zi(?v@T-E+PPW%0Jolc z-e_HL4i!`-#eMJ+(jO4G`|oC3&H&1r!C|4%oJkGQ5)i0-b$%)|H(_tZSDslR>n=4y zUs+gL4%+~6xJ@w~5zLbu@}quBmA47U-g6kX2|@#%30Dk=j~roaSB+Z|=3uqK_1sQ( z5@nvFMaixHIR;W7R^MG_1eyy*NmdTP4sx%r=Zjqe7JJ4B(zT%*fMxD}VLc;%@}w3% z08(e)7n3F2shLcA;kfhSFsO_U;5!EZt@JsA|Q?(B11(NOax7gR~>LeaTQRQga!XB$6){SyZIH?IZ^e^NN?a*`3ZM z$@g&KUi6PLS&liF+}G1T97 zri*r!fZD4xk|xXpV|PR2hxfxxgNx`yh<;VV6POpghTuom(d6mGJ7c7hQr7)z5d=HI zd8pY2u0nNxGk`16J-|I_>N_Ee5U=d}XB&N{Pt%YI+<)QoxWFr6lnaWohRl0M#GQ(? zbfNT~c9*OAT5ve@yOmKXf0Orsd=>KU<>1~P4E}{Rf-+WNJdO!y0^=sOMV{0LLEl5< zis5i4?7gNYAQV748g<7p*H*IeoI0X607U$y{srVa!)I>Z0jd`B5ZiN#Eb>88cAiA8 zNX$huNMvb=S4Ics0N|RMeWva#eGA>!kc#~{Z#L<)HzRphYNovBs4QC5@Iln&+ArX*YutqQLeu~v5#ZE!^Hhd}iXDQG@eSN#FtyKbQ zEYV3(`Vnb6lC!EnpnC!9uBBaQ1}{eeH_~vVFpKJHPf^%mx0prc%&DXOWSC1luIuDO zM|>O+czDTV}7<5$e_pkKAk6B7>_YdU6k z4QTBpi*`{qB3y{fZ!cVy#l4_nHTq8bs>}W)FJ?GkfX+D3%lS^`q)Eg#9OGcPd^6-9 zlZKhUfD@K5Lic|&Rt^A9^f1JD2bw*&lX}>Ek22ae>yZ2OPY>E@VeD4eFm==y$qW?M zohx6X@NWDAWzv7AS%-V2Wzs7M4N_?m%AnlsB(+F6sSlzgHGo6}`E$#t$Ovs48XoihmFAIr2IUp&AjJhkk-sp%^uLk2C@eV@S37Ho- z5%+D`_-Ak8NKgaGz6u8FYH2mI4qTz)5JAcDw;y*!Z_qG|brA-kPnb?>S(4AgIgpTi z|Be{hG9$jOON~9Zo5PLHq?czoH}$FoDTrO-@x5#*CS|@;dym!;hORDu9f50-H%Ck@(?ud z`zqt-V{1A!46d?0tP|%+=njX%a{zm#hoq)AXn2HlSHk}aV)`~o)sUH9aErLP;q1X` zh;G23|2P`7#!x$8-%^ID0lViS^EX{|f;%n`2tkmm2dY-hT&yuHiW+~2V42nPZMgeA z9Q^Thb2Yk3vto1-Z#NaF?*H8WRt3n+2*Q9>w{cgqtGSG=2+Xca4nCv=*62r?rLBx8 zu912Ul@M51@bg-f^IuSjV33sBr?C>RbD*t_Il*VXg!ksucEfX-!U0LXXKNHJ(|rm= z(j}392uQygj#O6&Ao{tzot<@3U9(19GyGaGh(e_C^-lQInK!f{Z1BG%5D~gehTY_` zb*rk~>N%Q)eo#j5IEoAs!>ZxTj!+p{s3@Doy`yzxRq$5T$BeEFlZRTbg<>jK5ez#} z8{>msa8uB$q)QBva=8zz1_{iVF#&CmI`X_BYj@3c7Isrgk;|XwjC3D z?o^Oq2VEa)LIDMlk2!C9FY?*qP!3zK2;ULMy#|-ftXad;j(29~pT220ILO_Df`0;X z-r7v%bgG%B#T07^mVu!PBL8$V%%ME}S^!&=8`zo!93-d@RbD$d=+)wKFxKV!se^~q ztt&vwL$mChfYEpVVhjBQBtPz=qq$f%j5{ai9#-$DCa6_2h8oHH>-8`PazUdzVtcu@+^gFkK-5vf?7*of>fp;+x*-I_67kds@+&c!`3|JR)juU-i(6$dFVQ=P=?qiextmQYHJhfO@_5kTAT=V@X? zP7y`fqKXqc8zjy9EF!sMlflxei3v_~ur^{BIwX9CZnlW8CoN!Iit8~hXZ0-0Y<1TC zu1S=7?#|;I`izOFk1^tv_^ac#w5G?#$L~X1hv-OO-2!jJ#b)>u~Sp(@xCJm`I~4>`18B+N0_U?L2Cx4WwMYK?lPYn3_}5i1r0M@7nN z*#>|1R7W6=S8mZ|F4LL>D~THPjw`2XKxYf}GQ1h~o>#GEot6QArs4x65Mn}&H6Zv` zJ(oOVg;ON*Qi655PZQk;apia#nJMh6;sKyILHyjm-uq7woEM}BQS2h<%pC7amTz3j z2W5Tf;+HKOPJni&2)EOt4Zs}99w6uUA%XENf&0%1=>~@VY$70(fZx3D?L$o(@WRaj zg+0LFt7OBczl8h}i&c;X-wX$iCJBJWmNCOV(bemFuc_mb&1zv~3NIjz2iryQiIMZ* zCv`e5f`(@rAP=jUbVgZMdieB0;3WGP7P~bhj0u4&-{?j2HY46^?oe&NetkPv@>t9K z^JVoyb*1r|W3c}QgFm-8K4F|?gK%c0D4Vs45c3e)5bn4IevdufXj~xFY(1zV^=KyI zUWk0RwsTBFwI;Wm9);)+VPD@NY381nW#dxA)%e=evV;`v7|FZQd#w(CTOC5X5^F3?g639_d%V=yOl;L4= z&`~Cq&AP=JZ)o`-qV99Gax^1s?aj_g8hWp4nw8~*O@eLlgeHyj;p&IsEdZwAo+ z1+aeH`2l~c+OhFLvJuN3S7}PZTSy|@2+eut6gpnaw>+G_6$;sq3a!)Ris4I7T|R#i zgID4&i1Nx^*+TzIO+Vd8H4Xh~Ppt6-i~)Q%8#NE)N$yE%x%FUKng>QgY{sP-uG##I zB!6cx1g>n^_|{uFMTjar?ny&@4=x#(cE$}@RzS%!0r2ToPY9ZX-hPuG0>%VH z_JF_cuV&uHT)70tJv-~Zh$W7_h;h%SqiHak#82p5!vSHT#cH+OFm7y#*dsvws(~)U z!$(`wIREkVhzj(R*JlLdCYvm#_Vibb7Qkn6M$2<{sPgv87tNO>hmFsr=DiTg&Ur0f zd}w7r)IfV|1KTx)XY2M`wr43kM!)3OD{0>RZHD-npONK{K?Tx zcerweOF-AkVIPmY<1L&$Ap|RpIM5h*)sUs7od5UN-g*+-eu*eS<2W`$$#1l@_+0l zr{L0jBCw2si`eX?F`j=P#4yL-oZ+Fjo~qTeXT>d_2j=N~9)KTLME zDOR#hmLv}eNl_?d99xU$OW|=b8{oXaA|Z$f1w`4lNw`b1662>p&>CfIbnH`nb4ljO@cRgOvOr8IkCLpix zl}U8HVQcyfFC=(BI_lGI{NRD63aU9z(;k?U1C!edCZ>$8F5_*{_mehAsUe}Pwl_3# zcTnqGcHqP`=$1>@;+CL#FIA;I&ox|C?cAO8k^~hX6B|RO868mech7?k`;|J}KG-}}&6Tt3({FkYU(QB8EtW@CsPuc>SnDP0Xe zwkMKfg&l%yn6f!#5+xU$ymxUWb`|t*!8z)6+dyf_i6TqRrwF}`z;X2u+{=XBh)cSo zf9dm|tnYk19M6$U`tR-}T@|kSD>l2)1DmY!7)0T!iivrVs&NIox=--L96W(m|0RIT z#Enrq^8hgsf7`=>Z6ogrkH5tZ89th1nS%+~gTkymU$~x~GhK zILOt@xLC6XbVS;KoV1s3;lEe@vTRtzM5k$5um;1HAr!}#9Q{OCq}uCkuFw!OyD zyyl}SFT5*cr_V6LjIG@M;tk(U77j|Cf%EW2@&R1CJhq24Vl_2s^i+4wm7UaD$sYI% z(O~hw8~(#{!Km7-oOTg&P@;8EBKK}+w$9A7649+~@;+89WQoe5y@R$>-)=SW+_a_*eF51JI; zo^pLR#p3Kj<3UTUkEYXDn)e$F1}I)>21MZZ^#qp6<@>BP zCtd!6+(tTK2X$dKgb(y?;3oz6vY5^|iw)BV4rr^_u!VVya3e>KT-0;uW!5+sJ^_Fc z$#m1FJ@THTiqv7AhuR-K`zBG41}P0sKHmAY zD2P48hQLxza^iw4joQASd^T$V=GI*Gz6C6S_OnMCYA0L6A7XE5)m`$S9g!=sS?uGi zL@?)L#UZ$ob|7_80L;dE%_xhvpW*Ih-K{XtF~3fwz2&^HvA#}m2QT=PSxqc53jB-w z*r{hP{eA;vBQuv>f?oJQbZD&l2P;A4IRqE{6rRfb%(4?jIibt$YSWX9I9i@wp69Uz z8HB}N-}a2^W=7}&IvtOmp5fK}P<`n$sBiRM^BAe-Sv9#ytT=0f)u4Gp&q-D)oo z#I_k+U`ty{W+?zn9H>QV(~!$4cP%zr2781N+DS2jKU zow%fBz5s8G(>Ge_Y~5JyH5gf1Zb^4|xaabfzu!JziNiHd2G=+WY2I(g0^f7)$}Vn2 z519DmUr&e|()fWVqSvUB&+1(WTU@Z881Q>x{g(;=ZHOI3Y=)U>6=gaboio535?e^9 z(OUD`IZoK*9L3LMVW~#;sK3ykqqhAtCoPr6w@=z*mndGz7UfoT945Jef?pqWMl^5o zN)Q&WSTH^z2tX+dk0TQ{b^d2Ciwv~!05&Mj)%<6}?nA{WGWnI!de*WApm3nDgbBVA z3f+=VF)rcRY3?uw>bRF|0ecf2teAput3-7<1{F>6Qo25tPX> z?iYeLm@Siu-~2i0??-bCD+~d*?c^)XQ#pB~v{LUcv=lg$-JR@)=IB@BX-o-4usldCxZObrwvyx9DZ-&M(#7cAU9^LA~rawrX*VfiH z1kFt9hM51sY51=f?_W%L!+;n&7x&DOZ)}{yqH)pQT$s5g^A3e&WY#a)gY;&dH~c6mskqU% zSQStJUNP28=OVC=$#5k+{e78?v_QY0r0jEQ^*Y5&8!e2t1%4UGC8<#G;1FDE8m3lst|%&Mqu>)47y!|iix zRAOV+)2cvjmog1&xk4fbjB03kzYoq|#GJ=A?!TfCDS}BBl5HkXL2~7V19)Gq`&ArinAJvN7I` z2XZ**)f(ODVgj-CRG)*H=TD9^z}2#!M2hES|9&RnPJO=@H)#ns@F-z7sWBG6SMfX* zNhSmio@@Y^{kF*6paE=$l-`zR$%JUO(Za{)QWhV2$-**Xa+=}V^KI2>kqvRmcu&s? zHM5;-UZv)m6bRpVT^VSY(nE}RrY-@(U(}G^xA>>=n1H1ptL+D!y3_`+&)T!o?ZdrK*@9{LH`O`WR8=$XOTY?{cuEdjcb0X3 zF1fH{ji4Fnq~t0chkKG%jlJWa{4~R-7s)4 zki>9NCmEo#n82h#drcd5FyxHcl5nM&`$2hOn(|06HP99ymaqq2Q*PeLij&EfKfFHE zqC=XwJ`aL#Zgo>Y0_)Qe#)))tUbX^wi6J=@g_B2I1fNSmgk~JfJLp1eo^<;2#erli zx%sUP2!cj%LdsGEV3{-yCcN>@9G|fyZwF;+3-HJY1&>=Kh$tC1*~^Su1Cx9g?2ZQv z66er9g^<-Nhs>vHJKXVnEX|obQ;=Ox-{Ad8f4!t9+goy|0|lvCDS$Dc6sk9tW-p-y zEc>Y{<2Uvd!&mShcY!%_tbio%pImYdDuD~>@g5YmQ3S$?RA9|r+4diVEcH&_Tkpea z6AK6f-lhTB^kXC;urXkQGT}H>p`OrB0V(%*`MRy07c<4stLe)$x z@CY9^xa1tmv|HMPyfS1?o_RzuW0m95@ya9=^Q9s-SMB%L>1fGt~R z*W2a|9!+xxf@dj}PS(RRWa=fj22hk`7M9*aCO(=~i>G+}cUy!JtU{&?p_e7v4+)6f^X#S4r-nA-z_Cbk0p zqu(3{LwSLMSim^E5^PlxQXue~Y_qN}R0bf+#>cx{_{y1dDfiNli`>Ci2JP&MaS*i6 zF=Lxc%kCIxs|JQHA;)*&XjS|g{OWFxNfgo=W}+(rKpk)8vVtyY$_X+U7U3NKd?8ZO9oW*&{2thB@x@D~Y&z zV}dv!LoowX!Fky9h9Ph6GOiwT-rf{DJ&lRbdSG()!Zt8H${gV$owYM&i+^xWgS1Vf zwdvPHg$suIP$S7ATrdKzptOS04LQdf0qLM2zadFzPZEz&BrLD#$wgCzCLwa zy%7Nh-d66_;r%peBT>vGz^m2D-nkVJ8$)X1DFJGaMB6B{I`pO~k*Am7;O4Bg6VBcv z%HP;P^FEnUei?DaI#tK`GMPkF9LY!o(}?K%&t~P4WG0y|LIpocf^QZj{#rzgzb<*{h=cmyv_Q6Lrrh`eOyj{WYxJ++*XYCN@oD(A|2z<9-V-2vh1 z&j$l~r(PLt^l|5&PnQZ#SR?Ef zjMmphT>}J-w+a-1V4#`wOLa;e_{g7|;Q?XcnK(h@+YiHM-V!u<8lh*Mkh|TU7s78m z$k-wy(&;q+P9KQI#y0tnt~gdsC}N|ol1obLULEtdaw70x4wUf|Cb9P7J;9)-3)A?9rehNY5*nC#<@MbRWA}^~@L0PN1^I@_E?0e{H|%=p0rP~l zQg<+WtPh|2v>fun)!`_Rm4oq%Xp`Q)Y%tt>a8Jn1G|M2K{x(Cg_9vX;leI|aTXZaj zxLv~8jZ|dNtC$G8H5czb!ewhFxXU2X&PY`m0F3L_g_YexFgoIZp}154+~^S#hfMa` zu_vAO3Cv5urs}Bt$lmrC5@dxV0p}&oT6^kGJ)(^pMUM#`LW$vOZ#BIK{D)6YG20@hK=v2 z(VrU%&zL0??bJ4NE9r`5jW{oYIN5&CLN*3MYY0JuT%laUaZg?Pb2&8%Wl>Rwdzb#j zRC1}|Ij{}N8@y?>$051d4*C5!(iXM$A@x=MlswaVkI5w(e)<8J2$ra)$G=sB3(TN({3KIHKhY32ES$5nX|oSi773`RPnM9FKS|^lZc6|dafB%4fD23gsZd(~|tATA>7wDEKX61$}9!?Z6^6{Vkrh5E@kjQZ;Jm><*c^VP= z&OM|W5U=ZkBWl5=qS|?=u#rIMAQ)@=x}E(9j`_h~LWnidcF~>?@Ch;l)||OH##Ce( zo|`%d#{UMl$;sW<3gIAWwlr52zZVaE2!CURLiz$(VQZjpsF*U=;vfda{P1MlJ5zZz z09P)}QocHS&wo1O#qg-seelAe+Bd3Vhk=;*y4vv241TJlN7Wq(F ztT8~Y3wKFuafukBLTdo_vDi{;HdwHI$gzXw*+J>Il001Cl(82Ugd&s=tu{F^ww_-4 ztt&=4GtbLs47&aM^>KX;1=0yxSgxLRg3^x-W&r?b76MZcxBUe5RYVIai{}BQ=FI$< znKs}yH39$#mB*sX>k>)ef4h8v!0^~^a@_|c;={v~OB%8_%?w}o==3DY^tL_eNZWwz zK=Ue_sVyg2PZUKD75%uGQPC_Irs5DLPb-BJa>tc=aA zX)veJbtTn92c5aQ?Ol=MU^yNlx6>f~PN>|!lrIIh{k*u5k-@7c9k{-G_mTl{5X_Ht z5)R_HB!~_R6q|F;8{t~ef!5>ZT7q1Vk9h0=20H$O1?QMZl|zmDH@8lJ+XIepZRDDL zPQDq^zc8LM0ZIW`JQRbkZn3G|UOCDe{z+N0{`el`9<;svjX8OE@Tvlu7kiTOkaMEh z!Kl)iWiD@Q1+1}7XMAmM@ewOm5`WZ~pXu{28#NofugZCD@n#1yY2{|->zJRI%r+n; z<7+mI)(SDlw-Qi~`#NyTfPzf|H@#-yCQ-T?R*ttH+|HWHGvGqGO8`rfNG1aVJXAAcm#z{^ zTxu2zrSK1V4f$vB9XnKcZXKDw6ru)fN9VOe`4z&K|nxh(n1OWE7)FCP(TqZG!YP`gd`~Vii#ox zX(51!6cdyll6{xw8{awiyW`$(jPv9EVJIYfuf5k=bIvvAGoPn%r9O%(dIOh>C#Jlk zQ{-Fr@t4qQg{>#8mW|6hzED93?2^S*Zf1Z(5|WC!px!!oS3T{q4RUg!`X5tURq# zpvuPPfXc?-2wJ<5&?tXzJc`W*4YrWILlrdBpubgK5JZ4Q4WFK`#V=MHJ4OBxf98#}rQvo~!DW!AmO3+zjKDoq-3 z9E|{VPp!QYr)IWFyQ^A>+7io-qb0D*ETPS_w8i(7bkLpu5^4bp=3Hwq|1*s7(ajl= zX|*3g4pUYG6v3@v@soBCeZGbfki;O`VJYM{H5iL^$O3z>l6q97+{R0q2r3`t8b};d z@rStR1%UyN1flUYEmf8WJyTIpN!>`AZ=PeJQ_A@X7EPrzR>KFxWtowtq4go>TU&Vb z&8zZ`{Ev7N_a0arq2I%b+jX;f3qDx^!m26dzwL$o@Gz+vgh|U_`{2+_3+3)k>55%Rnr&fElV#``yBH1ctb-k6Na1c?&Llb$MD6>Va@R2a_v9F zqvat=YbRl&7ALb5Q)U$c;5jra9Dn9R;$7qAz5Ls=Hr;y<{AJSYi9>PngB zb5>=Tz_pE#xMh-Plodcnon(DMEkdi0lkY<>*=$>F;LFRwmBBMRpGD9PJe9VT`FYvg z0xeQ4cD`W1)`=~fjgWm>5FXG#WJ1&aTnH?Y{fK{*jrTb6LtXKswnSTFA=5+PtEyl- zlGXv!I$2)ZDhcn^=i8HYY%eI9BuudM z^$CCkTIy;~=2c9Ppt#DhPv73`?M(Pz5Alvh_X3ML;)_FiyU#077SV+K_-zdMc@iKqLP zW-S1N+Uya$o~8geP`n3>(72@S3~M>>w0Hp&WhBzu+MACMv@+$dq`Emv7 zMERRUW*VX_EO6s>+o3Ou+9_$z*c@*2#fwMlZ}|by3t!fCoz^%wV&gcGoD87mn=d%!jD3+$``r?8j=3NtyTXK8ls>c+x6 z>-SU7=)z)2Og63!e^kSb>5g4@_Zcwyr{gpRH+es`ZP7T`MSyQW%hYEdm&hG7?#Crn z?i?M8iqpx0zQx#TR#PKTps1?&6RuXE>oFJJl)|QTQ{P{;ob# z2DkQ$P;|e5#QnIt7YGIf+ZqAi;w>{>I-T7I@)l6+_cbNCXIyoOI9^>aNrW=)rzty;4`Q4yU4Vm+ z4d(v*OLJt%><8oq;Ktf4V77jN65$RzSA`sDH;kvxQHqM&J1Vr``&bhUBt)pU?|Qat zjK=Os(uk`%4&!N_Fsmn2@+IF?s8&{{0BPJhN;w4c?*NCDl1l&p*`TE+0G;jk2@q(T6g4Uh0$=fmP@HRPTz$_E zSnhzBd*nVZz{o$$?WVr%S);UOI+1DJC?IHMD}Cpq9O{AmEDp5J`A1c7JFtdtD;vsY zfAVjXeu;{5h|WSh`}I5GR~zheR=Zf9I*hZl%8BB z3P+z*m3CLD1}c7N$@GabtObpj(@RhcM}%^g>pR5$8u3-TnqQfB8^$PAT_w_m5klut z?PD_dZke6r(*D!x)wUX}Gm-LARe`aZ>k9m9>%aQC=vrkb0c&O^eUyf3Gq13j2c89s z27a>xPZ}9K8>0aSrB%Yl4{_i$#i(QuDE5HJ1xN4!2A8A==A7><$3#6hlR2|787vs} zBUi3nRe?JU-~G@ouV2WhtNIG8^G+vs?Dh^nLuU7&t-Dk1?p({Op+E&DR~_~S=DePc zOBysnbCUt^gu3y+fzhj>7z7TCv;M%?XK^^Ba zX_)5b<;d?E`{2f$jr;jGWOhzb)tDQ(3%J=RTYG!gTCo~n%OUhSAAoi=0NnTIJ==}B~qjei{7Mjz-SA|6Mf`%|< znqE#BiUcG`^nM7Uq(r2;A$3`vDgoUgh}ozFs~q1AIzAD{XevV;SZ)27&>}{>CVj5D z8sws^Gh$+xi13!X@KpEXaW4B2H1Wp9q%bq4{I*uRe85l(yt!V-Mlu%j`Z^S63XsJa z=+Mfzic3;qy$D^y%~eT8z*;%Ml*1JOJo8yHv@Kl-SHs0>%^^f&++?b;)9V5>F3{rv zYRzAs&Ysd#r4xs0zg7P$SXk_@3tASOah!|E-N~a5EP2yABBcAw3El|u?3mml3ipdV z;4i$qD^39BcKEE*VkJF{G;);pl2O9t@{sx*9_}psQmNLbh^up0l2!Vv`*b9ZJt}$# zotKCe9u8$hUzDRMBA>07sV$_){YK#OLi*_QA1G`06{-y#7ywY-OP_Mb0n5LpaLkXj zmh=SZfLsp)7O8Bu&4)(%~=(h9cT4J zX<~dMCHK@FwVK@2%`l(VS;f;djK?Ac^ESv>`a_nSLN^{gk{zgicE~`XESB0*_`O1w2I4HTPU8lgz5Bd_)0ItGDqXZ*66Cy$ zex?u2Jv~i{cZ6EzKl6h+8LQFyk|3Bc2<7=2rYqR?MLtbkHXack8&)nVAdSK9RL@7Q zGrvo|4d|17n?vG@R=phmD4Z{fdVBK|pj%w}f^E|=gbAtdq%L{yN=Q3nO zPa3!6FX}Mk!?Z{v{fiB_`wE0gfFqCQA{b<>ozzU+5?ydHovder*RZm0r`c@;>8CA6 zsUkj<#aWr@11X~3vzr`T(yB$Xan;UFy3P@%L@25%XZbKgA!UM*bR{d&25A+qmf37x zJ7do|i=cc;Z;Dlu$DBc0qFrdE43S7c2|2Wa8P(HUKOXGqUxP_=BUD0YLSJ9-0g{<| zbQr$LF4Hwy2U_b3wR!4E=A}8Twiq&?7Xd;7wX#>JWw^#cUJ22WX_}ki*YGO~Cq}*zF zfgDvyRl#0Ks5`H~m2@1(N*{;U0{%PGpcpc6eDyM{FY^OTDG+(S-4yLk=23e_Zvbht zoX;OQfC_1BFVRZFOjNsQJP$3rLfVbo)XR$SV=FcuZIm%DCR9b7mCYIJge$^GkhfwJ zsY~Ui6jLqNT3j9)mv?Lgi9zalSnj!^Z#A|mF(PHIiRxUyFCFh^9}z)+Y}jiGghr=@ zulANiX}pjPO~&y>` zvSG(@jcsH*KCjE-2W0)y`+2sgsTF+jBR__MD-p4m%_D@BeP@z$L!_CBDV*v-w<;(U zRQtwg>-g!6CopE0e;Q3Ke0iGB-(wXK2wq~DNA3=INxI{>5t@G({vDCmD< zNUY5rvCR6$4ei-i4&M&#i_meRaN05zhtVsrTrff-#afz)g@P zDY4T-4h*P6i*ke==saBkZV<*V$LA3Um3P*~_}X{-3#3rz-Ox7?}53fUL# zl6G9)@u94iiStz|{umRfMQU7H1@^2ei$yHhIBrgj(~JU$Sec~QCDfzYTwA&MNiZ5Z zIDdRD8KWbTyc+wOa1gIWg!URxvb>rs7k=zoqxp>&u&UI?*U9{XpvhJ`k+_EKxee3F)VAl& zrL(FAZ4*-|TW2ggBF62pA7Ml^(%cCltI4ez;$HTb)oJHXClS0hjLSQFQnW+*K)s+! zq{QQUKmpt|UI<|)waHJI$pbartx}+>ae8m&$BZO1H_CoF<99K@OzDoCL}&3=8uIGW zk!kZTW#@4w4e2_^F?!i2TpQ60wgLtv@pnr*(p1wHaydNIwJ1)O1 z*L(MYMe?vnZH-iInZed)JX|zlJ+WF-2N7n{(N4InM#Kut@nCkMtt8y|PttKTvV9w$ zG>r>GI?0e+@nDX~n`<;;tQ9FK&1*$y#HENyhu`oMU}lbr!|tP@!cz}K`V-TjqAxjy z(N##o4G40B%~v7%zQ=}5pxf+~WHUSD}H#DdT9Ar4r_{c_^r|55FM1Xm0@rK2wm;KNaI!VD7xCLAAXoz^mKF%RZ>Y)7NOb z(E+{E=3a6?$T3+T#ktQ;vbgXcFwo<1#^kl=9=lyQRIe72;wMOXY)VjDtgp3qijeo4Wr!Vyq*gM50+Yr{N(WEC4EEC2^*OM4 zYeAyLleEvDyx7p}@~Z6lC)IiKlyb_Zv3H&8^kguJP!Ld`*+00=#oa--A~)T_h@BMB zMq&0nWMH3a^8BOOlVCJXFb3%p!vsNl*WEu0;1)h;XR~Rj2LydgV$_D{FCYnXXwAI9 zd+4cmUE~JuRoQjj>9;b2SE{4I3DzAPa!o$BUQWL!EC#>miHVap;p>y#~n{A)vJF$8>`abod?S$NSQU-brK}8@N=Qth$ z(%}5{KF6yG(kUxdS zE%eivi#+z7duh&KZ()FbqNeTBFx**n@p?G3!;se3Y&ZLVzq^8Fh zC2hpM5aYZjr6H)=7u(Y5jNkAg`ceC3>!Kwdx{~7cH5lvIrKXXyMjAKoT!KPcx9nNOqLqs(?(oP=n+Rndke{VHP1Wm9Y*6OvZT8WRay&VxbrOI-tyIhQO z9e7`L;(!tq`b&_0@`5+SHHI#Rc!Z}VCAAYZIuJC z;&ab*t7JsV^q#C$AR-MdGM}YE&kqoxMYUyOAfC?1pM z(VNV^3Ls5A^87c#@u&lMl`>XYF(ooO&KB5buTTUmK%+J%1*JTKE*<#x;^E?? z)@L$x#^p(afH`#+pEYuimSpxUrVf?G)((IMDciz+k85ft1xs5Ir*sy4b)ea)3X$64 zHvOv!%Mj~ORS36i4IliEW#$-I*qE3%5&pCq1b+XHW0=5D=A3XP!x*$D>Tq-`3(Ji* zOF?xjw}TxgX-@X^%vi`Nd0Z_$*@{~?of3S1OvGFwzKodDum?J&CyA+65#{0SsHAbV zw7+?PxU@`$0;4(^kO@qFu8(mj8UlLD{lrA!b8L-l&I&5ZL9S(|HcZ5>E5&wcK^%RoizRKsdz{lKHyt}Z-3fOX1BiwRIY%&z{dKbt1e!=L zS)f}Qr*VDONwrzIJ1F>3xzg7t<9qSU0s>+c!nHyvRl>5DX%(E}=Q;vfnsM2Lco#(u zbee00C`qAnt?l`)_^new?dV@+XctwdsE}(p|0g44wie>`c8kpGOZM?V1KZQriXxW_ z5HO@9V(&-Oqu1VNGZl%cY2~DzhNWC>ChHW zJlZ_ZlEa;o+ME|!uBMo?0!su)vq@Ue%?ZVBvSK1c9)Hd4=hQI&6q+l|n#WMak5C0z@`~TvV z%}oB=4{r6J4{l+O|F1_kH39$mzov!rAGi8HqLt15!tej5=igX#QZ5gmW|zHj^~g5> zY_+?PNDtPyE&sf%{Y!@-#b&r_Xca_w1cO-upKSp@+KxLZzamwADy3J%FC^>mT3m4V zssKMKj3}$Fg=_kZH%(V)fTwJP)+Tc?>IyS`Lo)I6CP3o%FFqQ8eT)TI(YUy!$iN*7R)@T}{MZ zG~xJ6Y3xsKEx%Kcx`HGVy&}zQ zNh2|OcjqGZu`8qU&DkOju?>H}{gbetCG$Xi{bkx=>G+Pk3&@N^XSZXu9Ue@8)Oz_6 z(4)cl`2l~N05?Dc zMnL6hnN7w+u7|4`E*^}Eq@$DrB+%tcBauZ}e1_%BRk_e7f!U+ZZOO#9jiOZuFSE3g z@d-rrtpfI_J{tLo%G13C>Q1B50O`kge1@yecEve&~c`WfV!4>Bx&>&CUfZ^ zL_Uk4*6ie+m3Q!lILT?rQ!byDXiafrM(CWC`eV>&*Y!)FG}>D=A%5{{Ct}vF2hkRd z+EucjW(P3$t~eln8odd~luRV5OVXIhid%Viv)*4K%kwx+n4 ztTdPpRSTEqP{Od2I2KYjRR~Gns3`3Z5&i571S+MUALyj|SH68_Z{*N{#kiB;-*#1n zOyfGeV-l1pPF=)cedE+tV^pvs|C>b%<%L*M_(5Fz%q}LCapyAsI4wBU#2H@Cegzy(k!+)zr^z^ZIdHS@j<(sHrqWf^@a0J$X+&tB-S$f=6lLwyPHSdise1bHr@ zSTMa*msYpnxsfHA#pb&l1CWFe)K*3!AZ;Ka`nGBuI?TOzlmdvB9r~X(;VClUVCJXf z4o!z8r;@ZB`Cqvpy(#a+-9M*iZd>=^woNZL?X4Y zkM?3aeF;dLBWfskjN_u`E<+YN;-%_YyDQPE=| zc7*h={M|6tzAw9>U&^b{xJTCOH@@`fkvdig)f)ynmSJIAHCE9?W_!0x7a@izXRun= z-ebmw{=%mi3h6__GFAFuAZdgx2~r9=D;e(P_B}zMvS0-I*LUGaBW@vzpKSoZV8{%b z+eDIKdCO|9ZG=P$r&$Z2xM<2q6dsmBmG-#UzljUu*U?}M*q z%uX2bgCKZ)6&D!7xSznv$ixeJ-C>LmmzVrf`z#l4xe{yVs73XWX6{(I?4@JmN$XL= z!~Ox?Rwwg>#k!;ss+Jq05XK7US#+x5lC-R@XR4J#((15*>p)_h0FuE9IUw5vqu;p# z#cQ?+L#uA(FaDoY;~1* zP#FIh$Tn?HBL;yHl#Lz6T?_Xo2UXU~J_%O0-(sO#K48ISxAV9~z3TagURr4%by;c# z+R}cxh4B99q>t*_{YUL$y0TQ$qNj&-vH@$~n<|iLD%xz@N30u1i92Gz&GhLrwB0Ny zdENz9>G-F{+0Gr56#=DP;lQD@@(VKEf)?;n5mcsMH)sn zBzm@{zZD`h5<-n`yv>}n@yHf9fsOYjkBWvjC@I7u6+w#OGEf;;_j-(v_=*Qr6kCwB zLJE-pQLs*X2||S&JH(b#(^f)Ypm} z6drK!99)k}YRBb{E7{u*uvuOeAM6*FbnqxHIUl8jn1W*Fr$C*N*|-3O0{{0 zG}1$;`n#>nQb8{1Dota)$&QBA1~%hiWxY~NJ~9C0t4Jf9s^I9UArK>EjBc%hUPAe= zEp24#AZSrE9THr`o`wW=E!{GfnW@{BcRBJ|mr@jmGP5SP@=ohLU~CE!+F-f(S1`u$ znT@K&=r9}TLV@X9jH#6kNStc7R6i|O|EK}>yNZIX=2u64bjdmKYoK;6DGfjyy2b4N z1s}FR>I3-{><6rUHCf0RB#nF(02ZYwkO*w8?bZ1k(!g*@MaR)N8wdh_{Q|8ytemyL zg5s^jAF+q#-{yo}b7;J*sn`RvzChY8fmb(_Yb$TG$vy13k_Im7Fi4iQ(?EHAsXVJ3 zsJCc(;`{I~PzYh%as`hUP3xiehP%$CnCi0S|tx1+4PyPx? z-{64s<}-a==c!Iph66xODE>Tz00`##67<%Mf!kd|!14806@8|aC>ZyV~C|^9he&?g(T;N5V;S#wW<&I7Ad~FtqV?rX*4p^IkNWcVA z$7CJ^MgQtV%(SL_pmx&~VGKwkwo=(iI74aC(`^f?+c*RISj2}7gCBGpZ6b}tN_qek z<|~k#jLoh=kV)UX!JR0Hd*M&taQ(vYEHIFItmALYd;0V-X**H*-HhZ$r~v)d8XC*(QW<>tD%$P%gS z#oMC~QL_j9?2)+ea-9T<55?bT7~=}s-@*F#>ob;lY3Ytd0Z)q;+N)Fpnkt5?g|t;1 zr!T-S;^?q4YL-m(k7Rsn28?tRTvF>}+dj7n z!NMPtu&&3p?sivQ)R<_QA8+P)Oce8sLc)Kzlgsr`Sy@>#2+rc2IHJ94={i$E_0LZ4 zURk;>k#t(^P*0K`mb(IBTeXsGs^v2kz14eqYa(;SEBatwA80DX{}==a{{&Cz-)5l&1g4etP{i2CfAGS2?8Q@`w0nA{K^asyR`ssuExJ5o~di`DajhE9oSfVdz0 z3rTbEW3`1Y+oMlfzB05%w9#yEQShvY=e#?#Qg?W{4x+4#zjfI=cGRe4gq}rGVeW)=IkKrj$15VelzoS*)_=4{DKtk@1?ZWX_e@EPDmJ6 zV0?3Y4U?F?o%sF+KjJW7Kx>HRfgbplHGBl467yR!BsUJ;h^Ar)N%-o^{C4V2K*Ty} z**ymHUC17Bv9bjN_=R z6I`^`E`_AS&ji^qX?x&0OHDdoHuQxzyb)TPT5|BnQ_==hqh^)UN$YI-|;C=|pj;918L*@&GG)Vcd^} zP7B$+Y>WRbi|uI?q2XAIm(w)#$8qn(||Z^kNVT`0n}m zqwp~?l`^R-eHl+B%^>}04UW}7((dTkrq^;~OzCWaa4R15hwu=6WvJ{H%t%f6dxpZX zkZar7Mn!aIr&CF_m}d&98XcA3mA+(w60HR-pPTc0q?I^23_&X@Y>|51nCLYwN(#;N z&Vz+n5I>GVRjd^aSePPIpf>N+#dgi;}(s_{%>8$3g8B9=48b2aJ2N#7L7+G-)C;Y~{gx-#tY1DJL<}~VZ8I%pW z^w*<~ql#W6jiZY53+#O~{Elm{cq!<>hu_x(*=Jweu1gh+ql(;jX>@2hoty(-=x;`p zebXYoza1rb`3qXJq_5$LoVkelM(hfb4X@)DXJ*1frO8(tHp9XUrW99T+O{w<< zfqliiu2f7Dp1+(*yK34va|9Nuny_rf7~*M;@Ofu+Y8lI&`1otKnX!6m0_4@ERzh~f zAgR_uXLpCDixGsS*T6qVW~DDj>imukDW4R?>qMkgJ>Ngt%C_F0^%G5A-kpH7rpB|Z~HEWl`-TSRN1P38u_cNwr%XRjbT@{zgGz$>;qPyvo;-xI0oK6r}^IBbKM z9O(RN;Hh3AbE>GU(=_Qx$aeaW5W9zWBQa5g{-+G-0 zYLs_JTK=KSplG)?BglxGN(zp@&u-Ug6g8Po(h_3{0H4WX(wEza!g7WdI(cY$xcOTK zG*`;HK$(hrwZf?9NBE6gsAedd4Ki z7O5dV@?nU}%3=|$0n#Vpq45pU$UYXI@EmE60fr!SL@^S4%!D#W#U6w6mt8U7)YhKr zL~--6wa^yh`~GAN;tBYsbNF}iaWJN)tA#qOUF;&pA*)UK4Px0uU99$dUg=2HH?6@v znwjiRv444cp2XNDfyjbv|+G+WAqT;Me^o*T==u5MC?F}j(4L12RPGd#B$7KfeP z+OKcP{4G82q|qVfkw$squjA7ele?i(G|W5&Q98B8|swapJt9bFCG>pJ=~(9 zd%a+*pvLOBMAy>i3_XWg8xTxcX#AypIU=02Pdu{z(v{>+Skl!yFxElP*?co2$$?%V z#p$wbRhvgz6wb}X3c;GLs-$O*O;>S=R+43vbRD4Oc_cT7>oV`%@)F`R=@2w6fJW`< z&`QjD9wc1rbjX2#X-`bW9HU_|Ndzv1=N(8xNY>)29c!z}dO2*Sch1V_?-4hSQm~b` zv_qGjofi7bDry0E%V`d$&m$@UX{-ym0 zPC)|uTsm**mB&je#=_jFQt zAbsR=N5{Wg-pL=@r%`N{Q2Vb{-A;|u$_7vGd)FTDuRb!P+nt>hWWr^BqZQY7yYE@J@ypmW6c8-OxU4C-?swj^r>5{`Z5%y) zb&L_yU4ZqOkq}jMc&G{ATGPvzzB7_da`%@&OhwE^v=HOc#h*Y#XalYHhUM8 zJT0|95^!pw{M)$+Bhg;s1;&1thqs>jRy|)9qCFa~5YN;k#>Dm453#x<+P$LbLJ>kj z9xH2mekzWC=f#hYS%ED|SjF=T{R@u=5=Tdsm2UjnSXOH1MZ7*Y|LxCA!C=!G*)m#A z{n3fX`-LVeZ3Wcb}w|Ryz4$q#&eR)`Z0N?GF zd^5b}bY70f*4u`He@CE@mF?d>Ze(j&%`v8`65u?oqF;T_Q&EF^T1uxt6H`Y^8N!J^$IL`a|jXipVHC|M`9T;|KTXKbEf62qq zZ(;4db_Yrf*hl(mdqRaOa*Urpr2>!*;nxP|k7#{5ol_wF%l$iT3cqsx!iPU{1>1h0 z)`HG*A8z;BpgpT@`()3V*V|dQzQxLVI|YP)YkBc}^}&H18`Wa!oijcRAuDx~uH@A} zxm@n0earAgfy$&WzK5Fc>x4tEHLvqL0spf{|(?4uyCyrQK)$sLrMvepHMzvc)D|^Y^{0*4%tJ}gV3ul8G4T@jv8H$=x(Z}wi z`L#3c%Zn}Ujc_>s)=HapYZ`vGwYp)T@@|7d!kKeFi`Msbzw)|rnsC-7VMgGHBYs*4 zRu_CUExR6&hx9)k5Te%h&-r(JA&QNImgNcU$_VxW4MQOu~6I;gq z&1>cyED`k?gxACvPWWrwDL;Jd<-)6_+~uYB>3034e+pi8ezdyg*wlAKdBW8Ad-~&_ zwX>dOX7`d4sE#w2W|KY*9PcSH*-l&CoNC-u^6$Ont^Yg<$TB^q7BVczQzIb*RWquL z#qv~z=254=$Js2UI7q44T`_;K`Nzm5!%efFwDga?QqE$eKVco=O4dL%TLa+p2QGLm zgdey=m)7rby=1VHrQs5Jho+cuIc$KR%wxzZ9WvgdO^KZF<(5}#>*fbk{Vx0*y!pH3 zqMB3uTTAabm;Acx;`_n|>8YtRUVb{K^KEU5&nPr(zcI~rj7CQ0BQ;1DHJ-<{gsWVU zooX47fQ_BzFR%B%)}Q?+m{RtGa7VPkR=#4r!iqx7q#kDD(5?dY3ypDPJfN{HNx*MrHZN8u=>5rS+fJWy*_6a}mMDID{A_>J7O^K8 zZ|@#GeoRTYrL}2CSexH>{Ak^N zwqNV5mp{_Ja8tv$Z%A@-lmu?5U+!sEyWot!b$oW>p!~a=k84(XP4!F$HW90CzCO1@ zKXmZlY3D=V3;$_(Y(3W2%Koz8SdSD(+e@M^q|dvG|B(C=9H?|{|BqsyFJY1srU%ZP z=<{L`%f1^7y=?Sx`?*bGux%gmjYo-T)SOgCKsQjmk2SmU_4>rFL-!4QPbmK}ub6qU zbMEoL~#PnRxPO0D9oucCRCtXXe=QXwRd_3M3ZoT);7j&C>;l}n?du=6D_5W9P0r>WeM?akE9(9}G3_wEy!BE^qTEtv4sDP+Tq9&S!M)t7BbrLntBv0tjV@e`2z$}1;I5GMW%q{M%-SEj-}o*+Pz+mtQSkGMj4G`7VU#d0 ztbg=fT!!lPqbFqa*K2tC$qhclPY3M0{n*b~+{NRao2GI=81F`4LG1i`|55*9%Zcx0 z(#y|w#>&}wt9c~IN9`G31v+3h{m)H?_ektckPp9jFT&+V!9SZ6J_gI}Op#D0TKyBW z`-AuMZ9`gZLECQytC5fBEwGb$qUdey#&`F$SX9rXm4s{jJaNu4_}!H^OA&3|CreIk ziY~F-mjv@XVe#?mGBe`Jwkz9+jzh1nttmL8h7xi+>JWP_rx=!ri6n_viOBi&>zVWVkqYC1+qw~=d?uzL7M6X#FxBeuP|4mOJngM>nfE0n+8%A#f7o#R5aJ9)5Uk3t zzw`B5Q=HD6jR@{2b9enGr!Cha>s>f^`P!YZ+#agI&3_8LdcJ&fX^oWCxL_yjkwm&I z=yA>uL(NX%x4Lf6D^Fswc20bo*irP)E*Hu9nki|kX41_><&_|j&*jb@eXV5OBUf5& zB{u6sXTjDSUJb9fW1EpbZrrAPy~mgCi!xY2p0A(IxD;(%|MF?IZ2gf>{q*B|Hbyr_ z-1_jImzVbBquRY)KDdXc-Tg;o{q!Hj`d%Wp397h~=l-w@74DL^{u7b3?M+E0?NM-M z!DjpSs_z@zqKXcR`OVN>HKkn#D6{+W_<>Z7gNM7j z4_av>R{dioN=nm6r}vd?veQnq_9`gZmU60a*CT9#q)Fh3P4{6JwpinHg6%(7YQxs_ z?tfAqbuT|`5fZfuZ#}R@*V3b|aEEmCo0EOibh=Fb=N9yp0b9!9%&PQL+s!mmPT%yE zg?UrdLipAIysM^)JtXtJLF;ADMY4g6ZBIla4aT7s+P%C+(lFWln!;A&CrUIPWq zq94Zl0iC>4-&z1)5Gy{lQFle}NPN5-r?QcH@Wk=@r)9Uac0}Ee+9F5XHPYw*n6yeiUo#1>=y`r!BJCnPWpioo$&ni$-MR1jj+bz!Z!DJZvazW?|;q8FJ%ALX$%gRY*9wT1ugo#9M##j4s+>b=^{~FF} z=$+S&QH55OuRp|^-cBcD4tNezxS6&`vVWF-kiWj;ZqqlTtGo`UrXEK zbt%QMKjbK5qh-d?SToAY4|RhVu&td>402y9wBEjUT5-%e_uji8Y`!7_b69%))sGs- zJ#afWRS|Wj=DsOmHx3z4AKfxCb=C1K*YYJjtYhdl>0Nu7GP)Ey!`tS=kjuK+(&BLt zR{F@dEP6{t=ourf0#@9B8QOs~ciAA@de4Nh5NuXT0xFk~m)^N0HOzE4b&C+&*z)tCaqC z89(*h8~4}ADX|Q)?>Np6Vmq#MZXP)_ihZN%qQ1ZQ+{wdq>df@H#cNfnD5BV`S?`UT zvvt-Ve%|X=|5qmrKl(^mcZ7ZEpMelHGs4UsiOsh!7+*O0t6up!JMEd=x#e+{4`HYt zLk90{J@uWo!UcoEpNS8m5>Yq>++CfXnS?3*+(#pb(fC!jx$N%PLed{ql8}uU*?c%4 zd}a4@*}nMSR-Q%>eWCI~oQrrqK2YA^ms!hYpTm^Pe%enj3peh8Cmah-6`6G4OhCrGKnra`YViub(9j zv1l+nk!~40kZ-!!J?fkE!fGn9@QT=bHKf!nyf;hk`RUmz@1br-JFPyHGudR%j)XRg z>$SdBo?8zriu3=iKf2fUZDjFiqH;i7`Fx;k+Ak&d64Rx-UpHKfxo&x1js7fF=zjmL z-Q6bLep^$uiZW-@;LK{CgkcKu-R4XyCy1o#z5kMeANKXnFQLa*!-zh6%f42^x{GK5 zdAP-g8eTJyS+Zx~YAYc`p48MJuA<@1QoZwZXZG~PjHnC8IO(#n0?;IySN_`d_T@Vw zSJ&-Bo5f7Z9l9*OuTD~4bvAwQ6CT2|IG5};mtC`VI=?lcifXscv#yimru9ti@`IOq ztN#Z8Q9!Q03H^TiLt1Up+!$Xz<_l!BPd{q;n0-j5MsG~|S7>t}Y0>IIVI$dh(@#Uh zML!W$D8uy=!mPxoBX3`!HKcGP5SC04ph6uW`?7>|Y%w@Oo_WER%B~`iumYgkoOX{Y z31U}b&4oxqZ#J7R6{DWy_@#0(P))))pxKa@m$YDTs#LvAy1TAwzYN!7bO)m!ZjJbo zm10~E*aB!tUH#G^XkQ01PzWR^F9Elj?uVE@4nlc8NYO-qI0fu$;>a-R@XKeq?0n(r z3Xs$QfjzGEn;Q|ti$fzI{K8rkMDR--WxDSfJ|`#Z*hffW*-5bjjyTqk28n;*-ASvx z3RG57QEU*Gm5A4>uRU%K1>{6c@&~_xP(sp*Heb~}k=PRTfI=Y0eMluS#;Gp(xqF=o zHu)+eCv+|lkAX;yNJ1aTQNkw`R28BXHZR?kZMpuj_z4L9ze9Q3`)&RMH(X8SN&bHX%{>>_ELS_rJpt@f~HUm~iY?05>~%P4WzYUYcEZMoO9j!88b{l1rsY zrIEBl@C_21_&n@n;B=DaB+ZNg@qs(_5Sj*nE5{*eL7RNMVtVaGCr=bIGNfL7=9bPM zx9zHG9{oH}ps54hHz3tx0&1NT{((xqzye>CPufCuT91u|=o(`DYf|^V!qO$w$oX`# zyOV8tdyq&Fc1g$fwNn5~qPcLR&IZuS$G8%Rbp~L|$3&HInPRtw4yF=cZA=TbPnXEfk>-Xhm9`D#Rf10@8&ptBTGh=^O9SaOjCN4rtD21_ex%+MD@uY z)jN&Z{Ej`&hp20EL-hVUR^Db5h-C$d;;#WPb5n;fNdXt`@=8%GN}prw%95vfE>ieH zfoGP!jAial1Q^WOb;=817)rg{-$Td%KhI?Mm^@$5Y43Rx;f zl6;pmw2VoL50W{28EFL*VwQ=T6A?{lSq*;TL~R*%i~S5@4}0rP*JfOQLm)*a(Dq{_ z#vx`?2VAV-%fop0wL?edTwy1+>U8r{pXPth7|c=apH|kyA#p-K4gAo#%V&Dg9}ncA zBK=Y4ajk8O;w`oz*I#58`KHnAuN&K?K!#;upQQ`zUke8DN$(jJjJS%7 z1jEDOtO9tAg8PByDVuQj$KuyPk7RvUI9YE+q!D~VVUKs5v;RnKZ=vR=cr&=h`I-2G zmFz4T_kY_J#V_WF*g^wgIRGlAJq`#7=tvw#(vnC87`E3~JZ4>84T*pZOAP{j(jl~r zuR=-~8VeYU%jYN1&rj47>!D#GmY_uuX$F`a9?Pl#GtVH zXSBQW1{64U(Y|)hbu}amyi-#|!(Rm#+6bP@9k$$IIZ!Q1Lu?x=0TY=Sgr49IJ%h`d78 zz>LZkGB3Ae1FpZ0{0$6fH{UNFd&6A4+P~}%K3RShZGp^dZt3nNzvg4@E%bcfc}|~9 zC3)?*FM@`DXkgV7t1t8cEgzs3X{W#rlT`~>@U`Uo9~H-ujS<+u#Du=NtRiw(7IFD zgymTPcBKMMQLE)@9ok&x4oIztr5v<~uT*Zetb`EE`GG2=IJ6=K=16n%Y-NXq z07{XbM?GoHNsf*}GF&$iuq|nl>lp@Gt`klkNi+RX=?EEAae9*g2azK4HQyLiBc)DpB6!2Eeuqi!Yv&b6F-bW#Ao zXe0ROAK4HrN$v)gdk zv;w~)Mb7gtI^YIhr!X(PmG2cBco)8~6K#J+4_1jE6~=} zg#vP#Fj~hK{0}Ki;1V*^A4zKUqJYa`P=Mu<2w(a5tLQ)5PO&Uptv6l1n>Qu7=@)!a z4+WAw04P~AwW3LpSTZc0H~7{Mk+#K*$4TUOD~`PCtgP&lN+KBo=nwo^Ko(TwPtSLs4*DVow z%{&aqYY2eT3NDn>P=K%UT?W^uMgN%vh1A8NN^;|)~#A#t{&TD~( ztxRXEj+It8^{Iuu_1Z}HsNbQ10*rBt*jSFX-M?b{(+2|Xb)@OUtI$#HQ?TZ;?(`)V z2@90FKG?uw7E=oIv4&?{f6w@ICmH4Vv&qk(eIo8VV9t7HYBOUSfLa$=%BKtnBuE2e zSJIzfDL~6o%OKTh^HlKpF2eAf!Y&DIfYx*pNMkG?AfVj+S_D!;+GxHQwDwi~R=Rhq zS2y;Rz9S&u4p1Qd@g*sirT6rqI(?LR?RN&37S5S}2mZ8rssGt=B|ATRJ5i+6cOom~d^0^c{M3%a3>i=I?j(>iWh~|T=zwPA<;x(RCw>41 z81ylQ?4Om-SSU`%tsW<QyEq@HUkxJO7~L$3uf zFZ|*23tZ);gta>5+r75NPfHXEyVqRVa`D43In_rQbFI-PEr9P`t!?-B*G|GHmCkWp zLk_JTvT6eTQD_4BGKKAG2kJ!nc>?*9jE_kzp)fBN%6E+Y2Kd1@gYm-+=VT56Vtj5D z^c6*itQdT8WWP2Hx(F%4ej$`fDtD<8K3FM$c$w!qS_JB;5 zY=c9X9EH;#V-GN4n`7%tKwZECM)0%}N0L0fl77=3)D}D!0TToa(bn*<0Uz>pj0t;3 zVI4H#zhrc^g$%x7hF!IW+`Ktc+7DwhJ(D9S7#^*UpPF%CY7a5@KkIB>rhT%?9b8?Sibq6Cu zDW)i_NV$bii2B}#gDIw{$w!yP_&$4_CyUajD20 zoY7c@Kg$K3I$n#rPlFCj%x1ALz?tDhO~#5K?`&}-uY8*9KvUImGMZ>^ad2x`W^ zc3n+sKmI%ytDXo*b(l~d6w7DfX7y>1_UI*J9{0_ zO%SNE>&IeaeBU4f*`x2Z>NKSCP2d$nY&k7z_}}2^adgl%9>#j3XLW430!ULDbnnl{ zYeIr}{VZ^9Af!7P&a9PHyal=sOs``0wO}>}m4llWr4)i$z>xz$3Oxart}kAH9n1WP zbykx}BIxUK=~W#lr%jF1AKr2c+;R!dvos$+&^6RIU^Zt(#vF^3=kEE<;NI$D^DG&; z&F#}>r|jo#2(zr+0X}mMZG1~B1vBu$v+D$snM>(x@N*=O(9VXG5H+a)&ZMj0-KOB( z$!5;i_Yw$Y8ilh7dje-@;0QLA%eCTpDhEByL#yu7c`YP2biGqcZGB&YGqs~#qvY-s zQJE6tNBk4Ot5E>_Iv?H8c-n9Ve^LMIZ)rQ9JT!1>cVe{DnY^2V+!Ozy&#qVC;?VE% znZPh0K*%f8#00pbulXjC|MfGl;iS+o$!M1dU7N`(fEJrkK7dM{O@J(sMLr5uO7$CV zgXaQHxSgjab);#>le6+=q}~;MsA=ab@Pqr(Gq-z4rqE~Cm*RGoTQ`&1fI_Tr;Ss>5 zhi%z_XJ_~DDnMtA_}b55N3vfFBJ;WfQHht#gJmm{GP$kj^EmBHW?KM6z&A37U3Z!J zK_0R$6^|RLk!Dyh;wZ954hjouD*~*mmJDomP@Q#_K-zQRdY`YEB>rj9IFwH>V`t#W zvswTc4|P6VL}6E#{7`fI*Go5@U1TrnVXqek!0u*bhj2QPS+e?qc_?Dh~)B7O58!vWmjY|^g|;{I*mXifzIXKt;f{W zF83{>g~X!KjjTb17*L3^fjaA&lvj_w!)k;p6ThvDE;)E%ODGhMFKx1yO!+|igQJ2D z!X=*MSqDe^na2h8$mlME(SEPBCE)BrZuDK6yq=1yE5Jkx48H0%G!kl->Vmlco*JNd z`D`F)l8-BnZkFL`yH?nwyDOI{<;&n9(=r$U5KnS+_*AX@muyDDKyYy%3!pG_a@j*S z+hzB4@~1ypswc8y2*N-lEk=Szm1BTW9WQPI1(9K7_Rp)ItXOyIw{@1M}UD|BPOpjgqZ3gJ`6eor&TCM}saO?Md zQ?`1pP?tL2JnG2>XfS%|SQC9ae`xh#ZuE@D)Rw`}+`TD2jzE|lhk1{Hse07JUsFXm4>oJ`RKr*&T6n{rAN&LOzEWR)iZT~wzfl44_ zUt>vV@Sn^hWy_(dXj5EUBeR`PT1Fz$I*MT7C0K!LwMHUs!a{(*aNJ7V(`1v|J0N-B_usBVLvz<1FMv%l51)%cd^% zHkDCfP*~EB+R(oX?5*whW93RD;M(48gu7&MUjSDky+t`547;mMo?Bwt+?Q8xFZjOT zk*)710Wx>V;2cQM7BZ)3jmH7XE*ZRSI@cqCN`3=v&}s=AJh{vKIQ}r8qdK0nXWnCn z#k{E;JUOUbjm+)w*>ESdF30v))@^^Ol*R`!2?Qu0KJ;ME_;hEfS!CLr|H<+#bMf}+ zO;m_mDc(qR!JwXw2h_GyAJG)5>EznxCF+jT^`yluU|p6+C2zFU#Tf_q+^AmT$OB6A zOdvHpz#xUmWeaO@j_YRlD$n-@&@ZnTwn=)m?D)Xu@19ZQ<)l{kK^H3o-{X}_SdE1fqz z-0)tjQ>G5QB-Vgd; z+OVqu1G9BW_hkPPi#$VPfSRWu-4%s7MNkU^^{?uUw=680Eob1c`r8&!Dm-X0K+05&2 zHKJ~xQ0=#IteTV;$2$k#vw=V!q*IDIu+~;3Ufbl14*f(fC!Iic%c8e6v8qA}=Yy%V zYdr^Lu@2*G1uS);J%OOpv64kM2xOxbmU$2De0YWPGw8z?ao{jawnX?cwYlw)Xj!Ee zr+Rhl$HT6y&cirUAt&6*4qs3TzABBl?@`LvjM-j&(y(mJsHd7w%M1SC(S@(S8!KCr zwLCI4^QX-jb0#j#oRmx;A1?^rA|X+rsf4dx4=XLo><)Yf)+9#?Tz$8sRL&hDT$?p>;V0laEzGgcz$ z`!ikyI6U5jfp-!|%!hQmIC_SzEOaN|kiEM&uN5xexZ!r*ofoP&8 zT2)Kod^F<)fX8%ig2yC-KF%{?wFiJIBlMy( zde|4}%_#&@Rj9=&H#?dzvPH4Zpd+Tij)=zA{Xrm^H*7l}M&OU`fDeP4_v#HNkj<9hJipQ#gq2>% z+Y1I%_Z9}bLIq{DlcR@rq{j-X>6RCB=wZ@fRo<=D1afmTs3*TW{OpBo_0_^09s&LS z3QWvPDI9`jIuJyB&8Ba{Q$Ho4(rUi!dW8Es;BVUG^Q}O zKE22bK{=IY@u5X1t0M?!g$>rKA1S=iNS@V5;dN-tz=yujA}>ckX9nn#D*zzt)q0lU zR_U;^<*K1mbuMf;M(gJidUbY8Bhz3Cy{Ztr_%8is`qjD-r6cpCmb{gnn;;1iN-V!^gK;kI}5Tji7Han`sV!pc>kk0AY4Q|OW7OI{*VRt@tSo5aqV@Ss(R zlhzs`C%;JJ7^w21G~6eH*M>a_V*WM-t$K%*7;qg%6`F5KDq;S%S$G26xI}oP@4Rsf z8?AMHS$7|dqSVpDuG1MqL9bKYL31h1w3P-;#i5TootpLyvsQbzV`P}T&C5}=S@=*D zc~&Q-^EH7eJ2v_3r8GC7jaP7OiNZ}s3KR)sfX{&eoY_@8fLE2>n*4nMMe$!4CYPzb z(Sic*2o2zJVk@yHmv!ZDqAkM5w~US3GB*A(mOAPxpe1>(5B*6fxOofEuyg?)=3qw= z&$naaHYHJ+i*LwqK}|D?xQ-Y@{-)Ge16;SH3j;eCUpP{rq22|(* zYF7*zHyB+0Q+Qde$;G#3ARx;ku7lLw%Sn4baE5FhPhOe_x@bIsOu2yLvzSl|ajSaN zVcYtQ-k1QYw64kJY^Ms&0q-;~7zn?30@MiTr9L4$n|w~xsZf|Ips8L87C+4&vjMF* zg@Fp_s5Koup3zWrjC#+t8KTcJ1OF)*O(>7cZ^w#EP7r}$DxfZRE+@G%f76_vZNgzX zq73=Cwo^E+j5J)M4cRd_%MV?`_V#zZK>G{hQ8 z+|Ik;eUTSHr(CpcZ3Z8}9Hf#jC%H3z58x(}*G}(PGyZAPT}nqTj52k1VFWLjO3UEI zYd12N0ExDR-dGbR_dF@aj?9P$#Jth`U;Xg4G{7}#Xx=WG+lyB`J+$eRyF2Ge@i8^2 zy#Hkw4&(z_;x=MvU=ilN`r`B%G}a>?k%KprXzFsj^sx9`^ zDTkLqYe?Fa+W(FVl~u_MlPmq6D4fdOOJ_vBE5mn&sjskU;zx+(`1A(xlKcy=e zM%H_J*3alD)x|NEoF$bhQH(8nm5U#kj9t0m`{I7*1Pz;6JhPlYa%NZ-?kI8@vfwy2 z`AIX%^_z;m(@EL*DD22Fe*>WZ#)e0ovW8ZtVDZaB9#K^%<>_%&Df$8*FYay6k z;=$wppp@!h7tC%_>gVvFr4Z(+4GqXA!xevU($p{MzTJ#;qL9Ibf*-KOP$fCx5A!gE zM+kip;t_+I6BYPr<;+DP&QOPZtJa-s=jyjV!8c9VK;ezk+t z;U+KcJ_dp8g<;Q5rSnG@#gRc>iQmtLlbqjsTrjIq32AUXbieHP_|BtnRsJ`v2ojoO zE4VP2peP91RLWf97QY6}iaPA4nnX(b-}&)-U&a~m_IC3wxXQO~+l|#Ew$Un+Pf!amsk zUEpqQ;71qOfZIO9?7y^5)?AR?BFU{tS+1GH6}WFv(-(FufBlKo|N zDRRpEGk)c<4I1bqV7^*NTuRYLO1c?UCQUc)bBF#AStt^HT}y$$4>CXfI3f4qFV7Go zeMyOK$*6kzT#QN^Gr7xwidu|S*hIKn0=4E){8^5`btQPG&|s&+kQW&29IZ|KT6t&X zdIh`GczocSSu`cfrEPTCtI|*j+G{*(vN;p~s>dmN_2*riSbc<4>ozjB4q= zCFg-wxhDUwZ7u?1@BzNf&dy|Hg1{{b*Q=;q0SMtdjiN^&L5E3?m6uK`bJtj(4z%hn z3_OThMrLj_?`<^`09$dNSvdAhU`sw4BlC8^K<}egp+;#1T0bUp*xc@Z- zx4I2EIiQ)_0a6n=%-!M&trc{yh>a4wTaUyT4Q$wBqeM<9O(vQ_GDRAE%3}*v( z=1fJ4V_u^8g3AQrhE0ClY(gTen3#F^f(_&;BwOU%kWa(vV(;(C%6o4i%}Bcdh^xUt z>i*~Krs3T)@AQ&}=M(Psxpc)e3ItUV0sOo}Ny49ej1~CbU@UQ*1yiFcE&?aQ*Xt{+ z9tY|@d4Eq2!#Y85a~DnVLsZmzEXV0u*+0Rw%;Ju26buv!EY*=*5wmH5Vy1ZD_V^m( zr4CYoO*;W66U5ECSX=l#;m6I#e+wS!5fhi>oVrBdXb)j%&B>2Rsu|vgl&QVB7gS4w zSpA{)=e&aNO1NR7Fxv4frD09`!Ss7rLr{gDk}$AN!>@_K<24aTWM#^8#_qXv`UBlN zA)n7NO+pAqVNQg;EGYl1gZ*G0yW-g?mak6CKkoiie|LG}wDJQOxC}P7$50Fx)1n5%y=i*gf+~AUG0iW1!Rt$|l3B^%vOQPpCD&g?uWviW zlKoGUh704&x{`)N$|60TK;Q{!hkx~CARkP9qPRfikMB>H>}ITTJ%ks;Wh{@SJ_mYT z<2GKbbGLYnrQYT_lm;r0c@N~t&>xaLIRh|7AOg6a`~7f2$`dW!2@`~bq||Ubh(X56V=?!EtgT|n);k|;`tY+cptH<0Oth@L_b6jginGrxzw9HN ziW==iZUrFQpb?l$FW0ckaD;g={^Q6EbD>?KALwf-KuaM*dS*rC6W1BYx&)~Oh3W%8 zCw<&`QAB4q@@hoOH3e}S&Vit#kKd2w1c@0KbdE_}UA5IGr!7owUMi(!P(U-x8eV#D zJgFIeoA~?0!Z7bd=vH}lX~`=fg{G3!u)Us+fR| z&iD-pBZ;eWx0QgnuF*nr4zA?A)>9JMu#>3r*p2&WTT{tX3m0pH0E0#?td2oH-aRAi zCkBmgN`3m-rXMxI7v;kwE424Xp~gTR|New4-&w8;O$ z3gC?BU__vFx9IC#FreSIlft&Jx3;MU$f*Zm>1(}1jm}&-Me?rqeBKq*s#92?#E=S| zI(iqhiAH>PY`J2Z^-pzfoJD}NLKsu!be>{$hq1XxgSb>6XMz3~L?VCYK#}Nrc!l;| z+>aGlt9kbjTCDhjc?Vy(jVIHpm{XX*G-Y248?;Kea?TA`W`zK3mGc4yZumDns%*k? zUVsVKmpwfGY4{?^qo4q+j}8<)iHYK)xYjzO<_bt2k$E))wxgVK(!Y`DMftB;u z%!o9>8{27@rOp*pt4WGHBW~|Ujed_6KNEZEU1{%x_Zi*pvm3j8BYVQiHUGpvQlY?I zbLmwKd2HH+X8$|u6lw94l;!7>kJ5wDh|{5E9a0+H+ipL&_w+*{$>_mg>PX>hBxQy8 zN&S-pqt+N_)8+G4CY&^1)NlR6m)@H0N*C)!l(fIRCV?`h-6oK~juOajWq6Epu#*08 z9pM22+5hAP?mdgwzi40dG>CFP^sgJ7Fqz-{6o~PkJDwiF_ z73fXp-e8#+*PWi4vfMAr?54LYQU+RdlhRT`AfsKIuHeH}=K5J{aZV< z+3a{wO{yn!;k*J}ofl_?^mn@c-4SAaShtqL_a|b`gj67 zsSSg^C>sysJFoIu)TAzg%1343dz4zVvDDSzJ?J2oay9-;iQ8(zDL3~yrp2nHc0LW0 z+lCKIAuE+bXQu+$IPe1|?6rS~`Z_Q@Cc9y@Lg#+Y!M($Y$)vZTJfiDeET zkjA}+ybChirXUzTc6z??My#R|eRUQeW~{|x1+~W5etd&g?Z-MFhT|{&@Er!^8=rwU zo*~~@7kZ4X!P_z^Q18Q{g%j#*GCDR>T2oQZ2a9o86CWfF`EAu$L(Y{k)lymCgJjBg z3;2}tn*5FlvUvM!Gw!0>_g1+_yu(tKCpHzIIBN3t$}5Q)ybqgrmHWN`b!D-L>X!Q#yF~ETE6c>7m=o(nd_cZS1&kQ}DGJxQ`w8`ra%a|Kj{t zfo?Y2+G(!jN>3AEjGiDKn4T{a5+JM zLXILHMH$z^Ta|M6cs#>WC&K-_>sZw0h_S%=wSEIxfLh_ZWKlJ z;J#Gl{tPBRc|C6Sf&t;bs^Q~V1*&3!Xnu@&l4w~mHbZaBj&0}DwyG&V1{3S@l`KJ(X_&>a5KRLy}ogXuY z)Xy-sHYEe&&QM@fn@s8mRs45B6q=<5WJ{}7e(WW-}zs20?jd%3M)GMZ5^}BKE@@)2;>lkBL+$(og z7&itLen#g0m|dd`cyZb#%->w-_h<3A+7iWP)}YHq0A_gs#{o|tnuv4xv0YyJZULz? zt8mNZ1cIs4n*xdmWREL{MSeqmfK?F75e08(Wd8Qy)$V72Cq^Fdofo2Uz*Y7)Z)@wI z@W}Hpv-RtrE6{v-Ro{?g34D-GwX}7vblxslpA;|GIR6XXP_^+8h*S zl$$Dl3Ez}5xokd6U)Xb5!M7ILFpMP!*txZQE3F~PFq3QYGk}9KtgP=d{f{ZlIXV^V z)Z^)Uj3}u+#d~c{J^V`hI@DL|Gzlb6m2=s5icn#&7|x*IhxkvEr;z~gpS>pk$5)m@ z{g9<^rCLvPb}g;M z6;cRO1_2~ zTx=o3*t6#iR#O36|K+$HVHn-_8hiK#AKQ~Uhjp>NF#VKH`r6hTmN3XfJp0vuP<++8 zZ!|^X~zWw`F20z)6BCw$qJlT4s#`^4Gv|I_z z!lv77Ts+`Pp*UHkegN{(+b06%Ct97hV;z>kAdsuj4)TvO$MwktFdP~(1*t(&oJw7> z^6Ac`>@XDyjDNLAt#Hik7P2GSeO*XfLeuQ!z|E5UWp{j&nS?Rv05~1@P+i@DBt0od zN5{B_9ty8lKt3i)>>!K^OMyvHcDeFfI=6J{ZI26>!#Of_Ek90Z)eN_zZP%kl9WZ-v z+P8vBk`$DLbq=+(~i&)ozB%rRoL)#w{$lM0!gQxASf`VA< zu+Z<@2gUupCe(fjWFa@*i>loGrYTC6mG^Yl#GG^ zo|Ai*LEhy%e0D_m%dUl-vWwFd1RHBlROq?+aheVG)JdLQp7*X`xZ8{yY4w8yP2@Wp z_F?TVZ8{6q+?@@8eX+K0Wf#*bu$ahjW%XYOZKMf8JRwZ$5&7BqLvEfGAx>d|XYs{A zqbrc2zDluVY($$EEDU0Kp=?FOYn3p^^|yq0%BYao3kt((yqayHQr5pH!laGzx5Xyv zb{{o~HWBM&THDoA+gsq=iYlEyBfAlQU<-H%8JM+{Oo;M{uSqOR@jo)V9fZ>=7i~|c zhkCX@hJ5=Pz0MEz{r9NxKaji(SMArFsL+kPOnu?r8!*9?Ft%01-Vn#jXD%$0f&48l zYiGn2Z6uD|QDH8BnZnZk1&Xs@#Ve6U`upxPw74rSqiv9ttb-|y_yW@WzRS&}J_Y-URtCxB%xrIaS6yqVHau-ck_fL^ZR`fATY8~xt4V_n(n1P;F(*gb%OVck%$ z%G>G`fdpB2SdRz24-~6dS6+zkykydy28Q>{SAw#{%WT(C7adn(53#oKHpy*@k9Rz^ zH$GcSXAhwjdz(sK6OD6IMsYF;zdYc+;k#L0bAYxT@TGl-NkKs-vs(IjP9`DUmlx> zPBY7ef`kTe+Dq~SS=UV*)wR9jvMfOupt&84$v0doO`B|YbdwguK%T+r%lUH&uxc?H zGrLb9W|O>K(C4wMRT^B%31mBYba$q2{2u8|kYFB+K73 zEuzbtyG8izXCoR~QY7yYkli}V8Cxy4_iN*8iPa6h7mSE`44TMc0 zy~-N`Es=!~>4!Sp=Ft6?8!X%)NblboAYvNKyzHI9D>+}yOpsY7?8i$*GU!9%B zl_8KtiiO9z6hFnZ9acP>Oy2GVFl_qwA&Gwg-gQ>sV(mQ#u{vK1hr9juotO4*n6M3X z$Lih@NHts&sH$A*P9RgOKo$9Jv3?Q%o?H|!Lf<)*ks^@;la=KS0FybK6oTO3(ob+U zF9L%ZC6Q}xX6_57S&j&ks--K4Apc5ew>b_&`=$+9c@1cq*7}*;12ARxDGOIXV%!7k z$Q>?Dz z>bM9D2;BPzj?T$In5O6DG@wYTBS23e$t}DeZBiM&*c71b5x7TTfuh^=n8l3%>W9HV z*f0|Qt)543*TQR}gIBbk+sK!X$fKr5uP(Ua6&|Z!?6AidCJ;!2Y^@NJbPMkuCq`af z+UCi??qi_g@5+*wXLk_D+vsH4kmgwa@inQG%iY3K`<9YKDxf)tyDySJd;ybKl;WP9 zJ7~KCpqA?~MRvBW+-T zd?vS!za}ypKCSK;2KWKo=-qrZ?Bu0@hdp`~FVBbhZk=e0vR@EuW67&)a+N^T@>5T* z1~Awh5Ku_`>Md9v%8B#^NcgAGHGHCqKHWs;`e_o#I~omENhngp*Qb24AXtFG_yHyS z#RVGvXvtqF!8%xi);2*XFXG+R@w0&tIa76Td6587vPJ26q<d<~zHD3$5xUo*>Xn^twq-Ag-gl5Sj#VWsQ zoS@>cmD77+W1;|YK34niRDkp`fb^d;RPe=V_fB5^#!}KV zPhnWetQAeG2|%!S*KPj0@K`lOY^Y!v00XlwK?1M-gsrj zEah_qa%Myo-rqU#a_~dO(fr8i_)!^N=h7|h(*YpPB9Ib~VzU(=+_24gWJ0EfQ}rQN zxonuCs9=}A($GgfiK_r?UrKLG-f=&dNg#_4<2ad*z24`#=j|g{k=Y6*cF-<00%@$K z6$T=uN@lrMxU~XSwB9Ts;@YtgZ}1ITwwdv+!`$?s+?!CFp+ zvl8(3FgF6Br=I1kG!q`D6sqq9Pt~Gra+FS{sg8{SzBdgg5ZOkDNEs@DIMv#cS5_W~ z7QL^X+<3vM1pJ;wAQLpT>y&D-a%HTsiPjQ`d^i@r8?96>>1;C(-Nf>)x!QCZ3<%Sjh5$58iHl21aUf-!+17%R+;cB+!H`@{(1>OU9-Tm zLCj@9WBm&rrm)pUJtUCS^)lQRD$dIWhqj2|wvMsJGrVOW)sNo zCCei(&Ue{>Ra}v0O3(;I@`x<*fzHsm3EB|DkLA<3B|L6)U!o?p7qq`HgCEaFZ@Tb? zACEWKyTAHhmTdXwzO(;qjsf?ad5k@T@pfmr;_X^8!Xn-*kVf1oO(9^$w*pp&pPGR&XSbY2vbC%QxC$VWl+oH1zhUw~4;I5$6m5qI2R zG!>ofY<0y$AN5DJ4k}ZD>7MxSnsaacI(kbpfgGbY(V{3(FvbAbi$a{{0Z7aNLI_6i<5ibV73~HmCORXl2 z;u!6jbaA)AMij=k#bLLBu&8>k1v8=ryAp^lnWAn6oiX7y%=iX?xGi((M|xEy%BhV* zZ^sC3Hpey=VVU=AVLCpv>BJv+R}Yn~I`%Vyu*kP{FBFKq5&%NoJSSA}Caep$^u;sEfFCad1oQ;82&x8)`e*%B$24 zh5iE?ii49MTPuo_OA!SJoh0Vo-rx1S^Ul3_Vw&Mj@4owS?)jbjJNM(<>vvkc1|08Z z=C18O0 z>F2}GU%%PgYi*s@cJycY%Zn$+mQNLLpSxd}8OyvI9$PQL6~w9*XoHPkf(*^Vp~Y~0 zWdGG!?F(K~pGMW$*Qv4DVx&U_tR6j|UyG_eZnZJ4==yfJQ0_6SEAn!IfP*PBE4>$nyl$Gz~F!)G6fNt2NgsRLIwiIF|BQjf(nX&j8T~ygg_#i?$#D% z2n|Ara8xD<%49;a-^LzJzkAPp-@Sjl_ty*G=eKvqj!fZtEuGA z&?`QWAA<+Vl@S(S@vhCgpUlcgO~XjeK6oS&)Rh%QDgCoS*UX!J~9{ZU&Q z-*~uu*>|egG}z_l0oD`Dg^e2J=K{7~s7oz*-Far;JbPEspm~bsdh1Bn=w5=8_$N~3 zQtkcR!F=@QOIoMi^hhCl$(cWuPFCa|WsH^0tI}$!HxzD7eS020M2*^Wb8={WO-+5r zKO4545}s#o7j2rtS;v%zgKs8rn9u6z66YzyND)v?$-e$i)t7B?z4^;3peZC z+1J;o+&PRlH$1RYuJg{P7tOmhlNFAC|NdE@>5IxOm~*E7L&_t^|FLuD9%E9(V71`P zE3||W@(-dw@t=)?2Jr{GbKjNb*WWK_oL4puSg1Qpv@<^F)%KZ6(?ZJ8@fH^i8WBI3 z{b>6xl4IGkg;}Z~`!VPG1<_=*sbWw^y)`LIg4Dg=hUG5FM5X* zd#tWq_qRwrd}F3ct+&uz4RvV>hW}a3%N_snY=^LR*A|XhPQm6JeEAE3mTt`Axz~+5 zVzT~`UE7P()#Ue*%xng9G%&cJIL~^6#Q@v-nCRJ#{k5$UrNE=AkN;h=(bdeHtI0-f zZR{KzS0DJ6c@+O=VKi6Qzmj-@<-NeNTFU>bE0&_{gvVJqJK%7(t98S0SbHZsD_a~6 zXY>2*(FA3%1lVdGQm-WCy~_XH$D{sy9A^d60zcUQd~xFVUv01c|KHmF`{RG(=XYLk z3IC#vcgEQ`gK1T*{&nGGYiDnV1!;p{I{n`ADs3yr)lPxkv&UI|`x?A-{GBJTr+@VF zZ!<1IiM-bab?` z2b=thxb5GG|IQ>x93*WA4%43(AaPp|J+F3dO-U&x1D_gMrzi8Y2 zjkc}5lauW##L#{Z2n{!eD@ zajR{Bl>fN+&%*wLvI97mR)w%CcL%%wowEJkDF2^?ZR_A<1s0-PrSVN3b~b;K#5*`x z;eH=tM~82N`WM>{ebD?z95|DF%-WxH{FpNM?}^ov!r!VBDqHV6 zb}}jT#L;6X!A0=LS3bugV@RG!=|@&a%L#8|^#`PYnS_Z+iKoCHpFD#922K0Gul6OK z0`n1LopGy>^e1qABlSnq{wI=-?mu?wh_%1hb`Xd_9z3lN97{h1{x;ArBKFwPQy_n< z02PJBuTFdHzSR`cAV%PASJN$jyR%unTkX!bJ8&W5?N*ulb_XsUyxl6)eY*pvJKpXu zD&Q2w+xxo0ROGTgYis{oTib{+a~!_nSl8(o!?Hx39MTU~uQh zhy^vK@df>r6^th)dvGv&_veT&KQIw8qj2bWSHYdiXP9+ZQaZI6mF(~3HHP)n;gezX5=$~IHncwdV*w63o1y`|M6RZA2T1x84CDFXH3JeLq zoPS}L?@piHuXryktTt}8tgEPwO^iv1oBcR_6MyFC-k6@_FNzwX=dRrU<%hb!A7gvo zZ$8qLAb5C^U&7sS$w0d-+y=uguKt&%CxK};Tg=XMJvv{jv};>p%=G9H{7^vA+^*zjca;u?{J73$n$mv0 zMK3fa5w?k$YCrYnXj$OI_i9)7m%LaCQmLN(JlG=ScXdQ0yuQ$9Oi>Kd<{sRXfo_RT zD4wG9)$Rp<%57rY*Krn2t)$AVjGA19l!-2rrx#^t~G zI@NUnNLssQ`t(01{vD$InWf&r#`#~Uvbr$-XR8f_5$i)Skzn?7J5Uk)wc2b~@#A+e z`nKjyok#^2=YLAO4wjDnBkj61k+}1~f$gO9-;=EW@5$Cd{MwD(3_c95*wlo?lYg}J zU#6B5Z0AcB#9RRz?=GT3# zXA>9s1F{v{v^UEl4x%;iGK-Bh!~8(FyfC8#m?GXw!}6Md@<34^-- zk0tEz*ZKvo{_j;a{y!9%u>TJg6wDr+4cS@Qp|Hl|-wEK)O`Q$yUl8^mY_k7`!2nu2 zfArt>|4IMBR{m?`THTQT%}7}J_XWM}|J=9Y|LVBIs=f9<8+g#L`=jGFcDA5(^k+S9 z=T#v8zc&28xAk92z~P&b{4X=ftT;jOp4=6rA{T4_3*~SQ54moXY|K==8)Cugpc*Vmf*qi?%WNDjL)Q2WJgzKre%7tN4~~-Mlkwk5$1TYy^*^u&~EL z4SP>Z$G<7$c~cfxre;LYUenS-j$U8iG#FxV>Qbicy7J^|~5F4O^COMsvB;j^~1*%dN~ zc#C~?GFjDp+qRO5w8DX1BfOYP(9KUa{W?~T7x$nsc*Pxso5~t+WItpALHHk)PXo<2(2Cy$J16L$@@Uy zF{h2M9X~mj7`&*7H|SC1dScGvzIQ;Ft}{t7*4HtH1Y@2@I+U5(%H3aD)Q;d7VQZa! zsysK)>hM#SmzEOxOr!4x&+X1@^Ng7a&WeHp#Bui?1+e&=y*`E5ujAXs9p*I5xxd*iAZCThBJ)c`Yur?DmA9egJt#w}UFQc(Fif&kmf<$QL2t;FWUyjA)PhnY*)OKUN9!yLCloFXlgCQcAP>Cu)GD z41;oSo3LqPj2=YY)3|i%NpdvB4R?X zv3Rp!?L0*5o(^}($WqOMpp)(ezLv-RrjyveaUJG9n6MX} zOh);XV51BqpE0raK_N#PB1#^2MAO0t8U_`Ec-E(i7i$!eo1go{xW5r~DqyZQTIeBO zb>sGItn!&0hZ_B8PplyDv1JS07v{A|he8+8#k9;wdoco!SuN3Np17_Cf3jUvh)s5{ zIj_u4j|-zIvnoEMA}^v{lV+YAlE6-2_B(4%og?Q-Q!0rIJ0HOlN%GCHgvv-aaKVn- z%I}pN_3tLXnIRt-3M2$h6)GiGH37d`v3|TO@zV)meLuQ8eZ3!(2j;rDSw`n0&=1SCUqV)K2lj_CDGC$VS}gNU{L zz-TPsyjq)dz~qQNE)eIFTYhw(!lz0jWMEg8MQl5^q+E-dD=}tb9x{1~;dgcs1GgoO z+bW*Q*Ki_5YU|@Ua95Srt&z6!#A}C^Nn7(5Wax#MZHyc86i&A|xBq)efu*ZGfw_SU z@C*+U%Agz{#_NaO(P@u6B-m!44w^PaWx3a z>2*aPBp2hjsr3tP1{-{k96ilTD+8{K-me|InZ^@uB!i-{X6#Yl!JhlA%uKG|Y#Bf9 z^6c~`>TJE0x&iWoF1L5U%@JE6Y?x~aZCg6bN*@&CHLHG8y7+0ZuPk4^#fZaB$mHMG z<_nd4IL0+?;8D;6`WD$gu6=iM&GP#@ypgl63_kjxs!Tu_&Yu+GiD3smZ~<|hbSCDr z@~q5M^*Q2I5Hr*UR%2hzX4+Zixo+2DOO}R)y@drPoga0Pdm7QS{J1`z)x@j3F#*sL zm&DM(^J=yF)NiY=q-lm0_Bw2aMws-cyT?4zA?E{p`17XovU|byiM-grQ$m+Ab>IUC z;6_RFi@zaqUU)|8?qoL-Ri*9S_ds=pknb!)klEw7ucJwf0)CC#D>CtGhc#UscAxL1 z1}yuIqtgmVSus3o4dYtQ^eB0Pm`)-K465KgpZW&6&~}G1?p^q@Ggp;Fow3hf#9$lY zs082;SSRkgclVV|sz}9z#x81rxc&u1dwP9y4X9%n9`>tBPzf4X5pO>{j#{gKPPIf$ zca6aMTxeaJJw#PL&!@eSmtc_pzB41eGnX_wt;Gk6;np^s)$#toi&Zhc@zMKqSrKh> zE^_9}Xq4jlPxa4loHwqXY+5E|)6lPxWX2}66INJ}5JnD+U*EDQOG(mNU|8tIsQXSy2r=V1hJLV6E@wd=ji4BP?zXMpdWr4_vCrK+^+l8W6GXWy}bXWB@#sWT#v zPTjvCdl*PMEe10D&dq*Rq+fZ30q+lVTav09G;#JFV+KFm306+)J!7kB66&D zWWXMD_)Nr+vI~OtLW59Rupopn1A!*ciC71x743&Vb`ae6CI9Qo_gz+2s)!sujqu(yuSh!rqnl>rX*_Qd zFed;tM<}6+uIpqc=8HVIC{^rUWn$nCp#usmv>VXO0kUdO6b+T_{VbBqqr9Ml7+KcBdu|j_hlTNgSwD`_1Drb z#x}i_P7wsNpUth%qgj~Q%v&hSfT3iM! zW6_?J+aTh9R#NG4GO!+f!x+e|By2}#aN)?!Z9=jLoDgz1g-S@!5{kRDYi;{5IN3(C z+b&R2Y{*YQee=lRFd}E~tMjDwGb^NIPHnZj@f97Db6I3kQm9^oR=903=LEvtr?J%5 z^T)N;ClpbKL`M*6d$4JvU@_zv-%hu-%%HuO7medCU}T>ux5FglxpCsXQLhh3#L^k9o)5*ZdSWv-qN-?-V{eDVVUe3yQ@UhjEpIkJFXLws>P2deO znfo&RAZm)`GGdr9a}bSo#jz!+ZLTDfi{+$Ev{X6VfW;a4xYW?BW>Q+R(Zrr-k~gQ* ztRD1FD4>A)S#i_-aU`YM8Tx_xI%dOp^N5?6*U!%IHXiX=q^E?AIk68zegU9vR}f|) zlj25Ba;9to%`<}XCCg-KCw@>i!bxCDEy#6sGR|>%ZotvWr}hVDQt0~IrAH+pU%xUo znd;stI%3T1a{uIBHwQxxJ~~4fL|{3BYEYVY;PrTGi7>$dK`4>tekz&zn&WEQw&?NV z))Te5B~bZXGS#iM&w?n|JU}pyb}DH&%ZDe()wZx-zGZme!Wf86?vvCYE?o5edgF$z zcedl$UngCl+eH;G*6%x^gvujFJA;U}uoBT}p+b!LDP)3_WewxDZDJH(ps;i|pG$%L z2I;)u?`|q1J^@=GAA} z0H5h|MDEcu)X7m}-VXAsM&D7+A`O`xjY38txq>mGNmlGv7?oiII zS(z)`hneV%b}|QG3>d-~xfW#iD8>W$uv@R?>)IU}!0YFy4KlGqa*$gUyO(0JScT;} zVVMYRH$$4TCgU>wVc1ZxIW}F}rd=3QQldZJa;?fqA)8o#x+jn#zJp`&d`jcYN zW3Q`2yYg<(6YzwGGe>T}!fz$L-L!Q{jLgR|(+W95#^sSHm_bAhA6WQw*}CZ@&K2n4 z1I+jn3QAuQ%$M}SnyAe2oHvmqcVXD{4i#DNxpCW|4DtvmuYW4HZ{g+!7U0==XrPlw zpc9zFTn7}mBRuqs9RqK)8n=~e6T}Wa^x!ll)ABy2*5C0y>)7C=!&RU`8Bd7D4-|Ol zlCP|!<$*Agx0?G5`o&oyG2v;E!}&ZrL=GuzT=P!tq@Ez39;GWcuAR)mCY@s)G)!!& z%fnWDv_)>W5J(|9BrjTIHz~YC#$IS61Nv%tR0i;6A*Z5d7pcb(9<>t*KdWiZ?yqC)QJq8dW7o1AI`U~3Ll-hnBd(i6VatRr+ zc3U4HNt$%MNc*>D>nc5p(sT*TH&9)ctIcfEeLLQmGTMXIx*{)05jJOMSNy%y^V`naOT;TvRr&vVqZAewL=9d%IkhJ4Xji zvQ$9y%;Od>QvK=&%?1RvZ!0iVsnZ}}lyug5h+e>swoY%d8Q064^@BtyzkI8T&^t)n zrF++qH+sKDY3Z2KKa?l?(WhQOEHH6TaP7W`n@jz9+mJ8hwghRxU-t(u?+`F~Yg51# z+NV*3*Jtc9A}DFTrKxO@3M8jNnOBJC`AO5cpLON#8qW%{B6X7j`IP;!nCA3C$VcsJ``X za#CC<71nHT+ftsDQ3V)REcw3tC-1kl^$o`P)zsN+?Tn9JM|;=+XtNLw41hMfuR<(( zchXD)uus=_IaB~9Sm#B`EB0tzpTRX=FPF7EP3E7_EsmodAQU6Hny`DvnUj*X z@)Rs@-tUdAx1_S3@kmc~Y;`X2^g2LsbYgx~yq=mQ2sv^<$I_fkD6g4gxC18?pBcQ` z-BqZ>?15gNGwOfbA=MX8^?|lrYXI=+1!!ubRh_)|-hSnBIYS~eZw{ONLb|5PfdNU_ zR+(n`xv5OqX5$mON@zOe=|KtTAgGg~BJ%Dk-J*gPG(yz~g-vvy^3tN8-CiZ6d(@0~ z;EP$Wx_mAfF|OtXslId<-c}6W60DCWG{Rbt1xjP0Yr;r-g77n>e`P>B)fM&h0C{mK z%NR5jb|E39B}@0Ug0**|d5|Wap8Nsdcr||8$&WAB>8>I5%oM-l>Tg$vI+>%UKEvD6 z3Q;Ld*wRnzSYTYkq+zJ<>myf#3@%YHBqo#F0Sqf77ddclhYOk63*%%@iBDZq7}34m zM&^V1B>;$nGCanrNJ?l9zz+(J&dLOxSZjsM*IUj~MtLr==#V-irvDOxLVVU773)4V zHy1Fm)WE1(z^2EkoH9cu=Q}vVoI=0KcNXg+H(t31N0`Paxo+#+TOCViF1G79(@Cu< zk*0J}gZNlq5oqtnvO|Z@P60dh<0&&;td{68-o8aU-u%yC>ubVJhQCM9!PGq$N-jdp3uWyN5XWGmQ^U z87_0eFd|wk5vv&%ksll3JbYQ|KGj&?@>%(l&dx&&?XZbFY0!#&1|v%Ei0F9E7nw&M z+vtr!149Eevq9t>*Jg;WS8lLozm4N65_z`IEEvyOeBo>Pj;<@I(tKZCCt^qMS#7+0 z2Su9(7gx9!@10OB5nt*B${#SopBzg8Y>>V$z^I zYQ>C;+*`1x_YNDVHXGw!1~g$S_Mq!fS`qhIcg<1OuWJX=DkWhKTIlp>hPT7~_5uI! zHaa0+0i~vk4ZAALHJbmdz`>&3ER`*8gKFS3WlWH)e30 z$+kFfACRboC|=nXNWd2&Hn|XTL4CBtl0J1?o*OqyHKq|B4jgF2AR*}JQ-=58i@DWi zg%{mC-a%dM!W$8-fM1jG6=lCFUm_OoUc-1w5gMQJ4c!Y!__tMbUNRhKA0Qo#&+{T7 zDF#Y$?7N6^FgHlQ6%jjO57~bRnu(xN?3YTHJ|kS}q#H!@)f={oH<(M7xP#a?$)V{+ zBb^DAdalacj5zU3+U;;e%CyD)m#j{6bcTvFSBP#xTCuqX`yUY=;Wi)uhJmET>QIhO2##Izju|M67)J;$t<%q(m<9b&7=g;)0tnSm| z$;p@0EN^|!6QdJHZmWD;RmxuTKNgGtA?euJ1IdO~HKqDH6!a$Bq>;93x+Vs9``x<( zY9iKFmwm`!HZc6f<_N+RRzr$gP(T&WxnNpsiOdBSgb*ruxO#iy zuspnn&Yf2e@Anwg6Y%|Nfxz%bkd9*_0>J-is63_!MIW1z0SbaXrP!P*ug>Qo@ z_vx;AiazRePz?B9oswFh2#OJ%mNjJ+j^lf~EJKvO^t(?;C9~3f$(*|9!d!SfZkM<2 zt0`dU)r>t^v+gZlpbZK5!yoL@@ni>m4o7((@8zKd8Xx=^KugF73u0EW9 z0|PoeQ8Z#yM>~Z|f1bH}sppl+d`41u)d#t>uL`FLF3b%XWXSCntUxv;IMXnPIliWy z^1h=j{&e0%Tnh}y$^)XaJ%)&p+IcDQE|P?C4OpYLWN6pjcl7BW1Jj6jl3QrJRkgS!!mbSO6)=76T{X^t}1o{V=Fy}HnB zs2i%i^>!@U?TV9-ZgLq;?hCoAs8VVxe^@%CW~jjMu;4{2scV6lVUAYQT0U`U%jXcTj zd4oFmfv@1JCNBGL_d~sRj1@)w;+G${P$b`R-oYSs=#u@ot-%$T@*C7r_A1thr417H z$W)?*<4hIvDY=g6ilN7MxU>U186_5+`5wDLZrFL{FF98*{Mv__hCLdaospXZ_LoIJ zkSu$AWK_7HmSCUYJcam7*EiER4$^%fqvdp+Ry*zm7waHY^5vHHMs<=e1I%doxX+kN zH}OiR&vw{)+Xh|+O->7*TfTbM@(IkVPZatVm9DBh1tF7%w0tkGH!+53%puumr!_EaRb(4u}T@S-M^Lwl8IyW%aUUijW5Yc+?;xaebY%ynfe zo+`JJL_>UlJjl@L`-l)<*867hnr_ z)|VXQ!ZKDo`ggO%UgQ#FgBEvPaZn6l(BmNvj7QVAO zp^C47FvGEa($^j3L2^RyJzenm%`RTBx4Ox$zMR2_Pj&W(FIF?W4{?>XFl_8D~*IasW|;3NyZP@CMSvAc6~;fryU^ zNbh4&L*d+!v}0B)DVP|_lqG{WVz^_6NpIpP_33v zm=WJ62XBDRvM>A8U=L%sKO*Cu(Lp3s=sQz9YOojdSm_j7(y++B#i zcH+{^Os`okJ9~CmfoBN+#}WuBxAJ2#%B`wE7p}c(G2EuhNWQZjs7#9)e9(crQQlS4 zN$-9op9$;C4K;N+?+25X)$`&w2qLWPxvHqhX08XE1@e2Ks}7a%07KASxK*fBs;3~7 zT7vsiMMs%>dIGtQkN$=FsUDp`7V``dFlkURTL3&g61HMJj^K`T(hCodT4Rs@b1t-B zOB`=XjD?MbFcxb(N5C1lw+}oKzWKZt3TbIh*R;a4_Z-ygsTcCk6NqIRI1ZzKkuB%} zcLZATI#zv0l=X^a9)rriq7%|I$x9r&f_t}kj*oD3{A@!TzX~+eFNbAh0xO`S<>QAB zZsIT*JB%;>XqwU>yUmtfQ0qIP3mNw(H#ndGnfqJw#$sk`9+unQUQ$=pZeJHBjbo_Q zA^gvoYmUHd=rs7nxKamu4nyk=p)A}6>7t?Yy!R}wv1-*MiPn4@Q{0K>AoxZd^GyRi{Ww1S@dNNWJRB%EmjrNkzU@0$|7wEwi`00#L0_3vF4wmNm1v$cJB%n<8{f*G;~pkXy4Ka(CT16nSC4u!3X}cO>RL zueHyH{RyFN1==~Jay4cBGhG8j3CqX){yUEL33vxhfry0<1JbHPnUh3Qoq{q3p^=VK zH0Ce>f4>7@{*ilun}XFrQ#}|%00NAwlS6R6Km=ze)8=(ctWI*>MfW0<*ZaPy!R=HT z)K!#L7s{a<%+;_#*Q~ON$D`yzlAYW?ApG_6z8-J0KP|?ikkU)SB;ma~Yz+gKZeJs? z{t7G-ne&+3Ubo0z)e4=4chwFWF^`p3A5_27Sc(TzU4c#!3fqT->u$UryQq2Sz)cyS z&&Z(cd$J)8dW(TOruz0ny-Aq~LK|vu8Tb&8B6I+E=u^Y`(Jt^IwE#OyQy5N7wFg6yhCe z6Qy2L?jl$mj8Tf8Mq8_%y7f-0$?t?mB$d5XIh=WnYX^>&Cb>XCMhKDRN6H6xXDJz9 zQT0f@gJ>go`iVa~KE&LAHrdZx4|-fDAItzTxm>zYz{F3Vg^eb^CJW_zO^pt-9497# zab$6YM^O6a?&OT!&-h`(HOcvrkb*JO41_tfs7QZbXsIU30pcR^5r|6Yh%nMA)E0=x zF$L}Kcg_H{N$H{Cwrk$v3V>iN7hw%rP0i%{w_PJ&l(4AaP15!ct zlWu;Suq(?^R^mBQs#&>1_ruOl{W|+F{t0VIpzGS9sOgH_WB!sJVw304>PFQcJMpP` zAa}LBu=kgT0RFNEB`>iM^lDR&Or?`0{StF%x&t*KUq~J0PE14Q!201u5m}qC7<`;l&K;!VdsTuOI;-zL)aRA9BXOcL4+EM=K$%Vv$)e% z{LY8Mi_le0HIei|!KAoz0d(AHxsEZN#*55!!kDgb=Tq38-04j&Ojl(^b!-`ewrwqP z7z2HN<^^Z@h)jKyQ(}Bq4At>bDu+6NCj@qM2|l?mbPe-xLiIdUeU;y;zB;a(-<(;! zPQlPC4BXOmPgOy^ThGwL*Zf(01rJ@Xe`Z-LHSljR1VQ_M4?&)9>{ zchNqrBOC;Uo|V+}lV!#jxo#01UJC!X?WDAh=|)tndn5S`H#g!=}ROhIWRPCD|zfn1$}POqe<7fYj10*x&Ic9uYGVZ!-_}WO!*2 zz;auKoR>H54K%c*FEwCI$+Rzd^fSZePn$=3zYRbzimu;*H<|Xx| zi8Bc1x`YwJk8<+Rk7>sz9v+#fj&Ktu_JL!(?&KZsBfrhz9zvQfe}-(HIGfFe>ew*@ z?Ba1!?~P7zlH>``WbQt=-?*N>Wk_WN{wl*3QLCP6l0##>k`rDYsns_>UCTuc`!y0x zVM=efWjZN{4gSI!AdD4%QMUDY-?N1wza)5eO_qP+HEA2;F45F(2RvpYvd!4>lZPnb z@=jEU@T`Dmh*W7Nl@@t=8>NNYJ~$)a+3bsHtso14bJ&BRllblgC-1(tsJ$NylD>6o zdO{Yx32%H!Ne`!l9bCB4&`{Mgbx8b@3Oa!M@|>qDluKGtj(3P+Nf8|XJ0vf!xOP)b z1BTks72Sfkw5R(cZfeaffxdAzLk%1XyX;GutzghZ0zASzzsZfpzK|PD0@rX*yhFIH ztP}+?yGXeHP)&((^D?>47x==|50V+M9_g+L`{|ALk&8mJ+L}Y7ZRcS)-|t7O7}Uk) zshZ~C9uvyD#iA|VUt`souDXWkgTW|>OGq+JrhOaS%9s`$y5u2>wqg>T76M6`0MHKl z+!Mf|4RYs2f(LBGK`3eVLIjndBzUm)Ja7jEtT4P&BC!-PD%m%^Q5 zG?lp8gkO2O9--}^DDBGd0QZcFM>$B73tyPgXNoN`@)5>AjQb~QP)19h9}@G9r7~aK zWKgTI6|SHj5hJ`EDK+&vClq-KI+3H@BF_E(*`#|e{fOq6C+_cpqCoTCUxR>z{*R{J~*$i4#`ZQrt8z>0U7@YGyc)5W?#u01{KLT zF5-Ke8&@ELly%ace2`VuEi5tM0PG<$^-W9e!S1D&YI_;G1}Gl`V8&clBm;Fpz|19`-LvP80VOJw(|_Ux6{@iyJg zQRGFu<_iwXhpR#3!ur2Hqb&z-2rmd@p!X?0)i5|qI!lysx|as3HzK_eMHtW9sHb7g z38xBLVKQlL!tJvmuSb)xA&Z*{)TLB0>Lukuymi{gZRM;UAC!do6Xd#N_{L{U-O`T` z{S6ncDqeB2$R%X8%M8x1^cZTAn1eYq&4Dpdp#&dr#+OiqT*@x%+_8d*nWoP9RK!zU z#)c-C6yD&u zrLP3(c4zcbeN=e*Mm_mgEg!W&6CW25&PmN>G*qpewF^(~b!2WmEZ^x(&Q#XPvcBMd zG+?+m6-2xXza{^|JocXQ$PwG~Go0zbm>suI$8urQ*gjVZ3poebtoTUpBm}vC4}~k{ z8lj)v49kV^A{qNRusk2r*CO&nKcSV`pPZF3KV*CbCW`Sp#YuAVQ6O&eDQoDPFn_XT z!pcpO;XB~H)h6s$tGetFsXIS*L^Cj)ni1Z}i`WXB6ILuZd7X4m1OghVP}^jW77~7NxQgUCQ?aGsE-(fFb}JLZia8bh#s?W-IIY_w`1Hjy zCKd6;SCuko~fC%)b+m5!SdfDLvifM#L2QOt}1#BQFqJWUs4@f}qtUXt6 zs%rrZ0^;%oAT8eL$+CMm1^BIF3Co?f_&~kBO^_>*=^R)Z^MiW*L%nlr{5mC(%7~iQ zEmTHaS#s!Bbgeo{C-e!y_|z?d_eSJC;X4LsJ!{;-tWamgJ+hj`&4a4BT59Z(;oLloYz z^m3m@rRnU7H*!+m+}~^_ft`z~@Eym^>v(CLfBD_$L|~@d#Sd;^J_kaqdg(Hb?uDao zlZQ9t9T{58cldGbChGm?%lzzh1s?B7HG@02OJKw(QtyfT1bFPVexwK6CJ*>HG=x5) z+ztSqhl2Jk#GiRe@1DME$I)>$Lku9EuU(K$0p)KuKf7ih&oDlhK(M|6)Gci8Ose2_ z6D|(~A@eUHa5T*q@)f=#82(@#66hx$VAEWc7Dj=*PwXkzmwC;$qv>~~VmkJV zT>cbdz3iGx!?>+STUXte-z3A4wsKW0a9*W1EeP?$5W06HgzSfnSHzNBnBA#tnJH{| ze;+a4Y^(d})}GIbT8-21hJn)@G1NYp1m9~Qh=aM+q+}1x#AbfUNgr(F3|#V6e*gRc zI$I4q?j_B7pIP*Y7(DjaU1?m!D6E{_?dtM!R5oL+7R)g^ppp)Ms&im_PLK2TO-{u> zKy_pd4NV8IfKXoQK+C5Y(*nTgggj|&$nwrO2-1Cl6RBlzVbcqfr#kqjo(%1g=gQNR z(YKlY%$WJJ>m8VcX^Zhh=snl&OINGHB2iD*@4PQ{@OYwHK|0$FH--O*3W(G(Fi$EE zwim5nLn}Va*1k>k=uA_UxJf}Z_A+{9Gb)`qOWLBy7t#p4nfDglO2y`bZihk7Q3=$$w3Y?f{##%O_OUH;E%i6~ zj(n(Eze&^ObV9l{d6cS*rLy@7TGR$Gka^wB+#}Krh7E=GfSctt3(0M6=&(n^8^%nX zy<_UdhL|f#wD{B&M>?PHUsiBN@%hahQNW>Qwt`MZ@{JBx-QDLFdDd`neiV4ABCCr~ z@^lZ;0i{6^t8*a82zt5)VGKHel=QLexKOHuX`>LUhpsyap5x}Jl0x$hbp=Jy?qw5n zgle@O;un8jtW(%m7I~3q!?RRjd5kfCPM^vu&+eh^sh`0U{TI>M!;+QaY~zDaT?oNw zDIZk9%9yS8^oCtq}2rJoZwRj(7ci>kB|q$0+f5fe|XB>$kE+DP2nsbFd{0pXxz zm9)%^G*@&ojXYt2O3FknX8>-O2c>5z!g{HLI{%qEp}x_0seGlxDJH(dm2pER+j?jo zdj556ck*`DpwGPU#%Eoc7fMlaNvK5bCIdlBJ@I#Sn2lIkUYwAQL0Z=(zJ^?9RL51+ z^Fat<-FL!y8HUrEs{ zR?d#?GRW3`l#)n1fFW(=*JK9PUB)IjMscreSP51b#giD0xcl*?@_Ede4r}r%+!ZF= zJ%PZ4&x~bg3O2mbnO5%o-lt}&Ls<5+%RGW#|ka^Di z35x_QyUjZe@nE{SB>6fA$ksaHDx#1z93_GOc9V; z>CxatYsDksw#|h7tw$xx7pwu!Whq_zlYX>Ua#NhR-$ZB-Z%~Kvd;xLr5RHf^$v>m+ z%RW%rn-;GP^3+bMpfiT0ar_$5EQ^}Y{7Lb<&(KM|a)=?813KDwv!}gqBF@tQ*Ud_Z zqe~w*%S@^uyitlPS;*#LXkU&98Kw4eqG{^v^3f-hVhvxEUoS;iRnA3D4dyK%jlp1u zQ_^#7aB)L?2^|3*59qI&1slr| zt718FkZSv|=?%F7haMmSaA5NW{QFvp6eUvg{u=%q=13&$9okDWTp-`sxP|GeX<+oq z{qzAKtj1+f4EvECK`2h+S-H=Gc0*BT1H;v)I|~QnK#M_l&9>#Z%89v>J(Od{ zYa=yr6cdJ;PrX|+mRoBg9!IVjrNW;P4^GG<2G;THLdVFbCoU}80y3VVjyf$>1Hl;j z&e!A0u&q+Ae!hB4`&;U#Qq)=nj!`99VTGwHcilHibt?Id-$WjlP;Iu*h!K>ov?}SF zf5zkt0JX`la`VH1n%MSR6~2TkH-$G9ob+~3CNW66HEdvZX{wsM8K@pk=jk# zN|vx$vJ65eRU6>NI+Ge2H5?lWl&PoW`8S%Zn#EfVz+jgP{wgwc1W2WhA(AoI=H#fL?1&4qX>fu}(O87aE(dtjC3YbnhEftxG4_xhv?z4k_GB_b(BJ z(+D+^(wNhLDsum?*$)~U3c*t{^=Gr&EXX&yei5IM_i#Fav=Pi-J@0^`8_R|O;LVg2 zU{~>LZx z1|D;XsK4UF8h%J3WdKvBhqM1Nkg3esJ@?RCc1DIiYmb?FVj-sMC3oUW?L2yQ!ekPw z^NgDTK{c|%V_b`M+tMgo_9-_pW3o2(X!tyy94EtsDGbVkw&KI72 z_Z5T{AWB&%(*rlVF)7E_45N$&W!q9w)32>#j>KC$fIQd3HqUdA5pFHAX7{QT{q7K^ z>#h2?G_#JKT7eBGiCCk+3uMJPro?0JYn=MI#zniV=cFBcT2i2T2@S#6mGcgiwgH5+m zs?rqj+frAGOkxw+0p}wen51M{($u_o_Fm2i=m`D^!Jcct{-*FH;Ra>&W^!jX?dqWD z)-vhTz;Z}|qO63dGS>(4QJ213!b@ll!*&bR6z3!x&oUk}o0t}&+ibWjFhAZGZ30x>e_(K?gw0<1E^J|Bz!^+33r(kgMH~G$O zSD=G^>Cx+-kJ}P5d7E0u0h9KhUgj}3Bp*aD>WRZN7;0+T0#y|!&BZ=&`K*ZAs_%Yj zq9Q9VFmj9;-}gi<2so=rPK#I9OsV8A|6}dDTV?nB2oRn7!|X*~RCJar7}ust+QA-J z7p_DIse5qLMxb0KRQN(@5>CC4-!b);@x2+MNb|B1Esu6ob|dE(F{Adm%C8~p@}*gX zNG+IV=J^Pjw#k|GbL(uFfU~?+Qd9O2J+4jB>)eLtY2N~l=Ho)DgFstj&8`tPp_)>i z%+R^3hcQK3Gb7Iw=;Jop7(t~l{Cq4Ln4;j}* zlx88dOq~T9{+jLo?96Hh;Qq~iCv>r|b*4Ar4ZIEEl%+6WBHL6=sZc45!C5x5FF1DWk8+wI5f{0jf1cGxnI3K z)6hc(mIM42TTT_qfHs!~!-m1*d0{fmVcFsUD?b7nt5B$NH@X292oA2p^DlQ6M%-@$ zvta?8EFOAGslknpl+o}VKz@(x&ZnsCTNEVV%^yA^WG-OKI!S7#_|U`D6EaEBN5s^oc(A zr?yLjpl{-KkAjMp{tj%1jN`Na4uXCwjZS^By{IE{?Q8HT9wyVvR^Hm$uUizJZ;15u z^l@^FvecACas35^N8mA1ET$}Zg;KlCq7{eoc1D8X0C{brhFxu2lV|@IZSNV@blQCj zj|5U6AwgOQ0-*?u1p)$s)EE^U1+h?+8fBDTREo4n5&~m^sN+~riUm+gg3=TrCIMld zu^=^q5Q03TNDE4nko?Z=|GM7mydU28!})N&OHA&v@4eSvYwdY*sHiuP4DyW+FBNTr zQ1gKY8lM9a1PLyNwS#kxt#UzB7yil2uG8@IiDZ>e`zj9U{o--)kfW!dE~-2KClVnW zT-zL74^^~wY+Nlfa0J-xf4VZwp?+`)nFdVl2Gq_;aNOR$i&)#7l@>S%5g&Flka_>j zz8T(A=e2N7?i*pZMjXW@C)74or1l4J)hRr&n%^z~OhHkK%W&-|JSwnXDVhoHz&WV5`Hau4ou0 z%tyaLWLFZl9227$|7&2O)jYSfR8a0C%h+qv9uUK-JNNQ{q8FlEJOCexHTi5A?4kbs7C+pE)fE_IZXL#Y&AHQeSMOUmm33Q}Z$$Ukm&bo=fDbx$OVwi@(8ZBd|(&tUt$+ z8}d}-M%Y(f4*4iw8VAbegBo`O@%D$r_L%Ge&Co|o9u1V_Tul3sof^7xeVYqfv2RVB z9k7eiM|*$Cj>V$Oxy;}kXBO$1$|s;%6AT9=U!d(<`Az5*h9LA2hPfcwAQfk724Ux`Prfctj#|s9 zG_%p)CIG_+9~*9XPf?aBc9^Y`+=v9?R6AMxxSJ@P!M0b)i)EQ2bTpJaebzR3#q8?F ztlR$`&LSUn`^fsOAz|02XE0&BF@vcG6T&LpDBF~!^;c#hJ;(*>2r0GW%-dD$*t5DkOAFY%^Cnp#HFMQ zRM4(-*^bEx^9vRC^8yxMjpU8C5Fa>e_%`-KKUBo$06=Aw;CWseE$8q?sJv)KTUXS6 zbB|)!j4akhUc-Y{Gp%I`mceS5?uO^MyyK30MN~?h-5E*V8Ug#2nQ4vIy6ewVm`*+2 zb=C6+=AhF46cZjcY&EFuFWr+Drv{&44tAYmTQ-^sk@mfhsijc<+BoT~e${x-0LTa~ z!F+J+D{(X1sMj}`{n^j-czKg`QxL|ZE@}I%EopwLH8I5|3aWFQ>-M3BMVutGF>T*$ zmzMKUm~RF#?bjDBdkZ#oTgmK6bk?(*;KmvP1gye;J>(FX_a>JQTBOVuIgU#n((wIw za%E!wP%Ntw6i(NEE)izw(aNQ}{!N{}*>QOvV1jeT|8RqC@cp^Ov^e(z(<#h76I%Bo zd25<7cC6lnz73p!l`njM_xyzjpW9gVnQxq)W}-Ga*5Xq-PH4zwyAaEil2)N)d6HcA z<$B-h72GS({PP+1Z6R7J5@sf3lkC2v)G>KYkSCof6t4 zbM6p=;ZcCpU)#NtOi}M+m~CeNp62s)_Xc`>%v>~(sGPK#kJj`E}>kYZ6DziNRLbn2`p9?+NeJBYvRilHNx)gYtnvN8!w zhekUP=YO@vV~LNo+vJ*~b~xNn1Zz z2h}NRbsm+Tq&7sy8ih`vHv9^59coO{twKM=drWFE>?I(mNTx5DxEHU0-8Mmj&UKWM z>;W3*Lr^RWN}(3DehP~FeXOLP2C5bhX>G7Y{xWqBKF!-5@@{>s@wFqDm^d}(pciU$ zlD{mZd}M)Q@ICXOpiXsc86VaQ0VOJYi?Mz#FLhqj(DcMdXGsGtkjjknG!$-oFvdnK z90+6% zmP26=W%Pw4%39sWw^QN5IIp-g0H;w|Wi7;J>FDhuND|*?A#DoCOqKp~W$dhnFxOW^ zeV|bZl-Y^3y|`3pYX1ezv~Jp$6VPJf!t9?pW2M-cC-{QiLMRWalG7F>ObsW8nyuI# z#WNna3@#234Zg5@!*aWibb@6fsJV9#)BY=i@<^w#?U~j~0v_)eRm5D7&?UL4i=#tH zdSLdi??oN8nu|z!Q+@X~emr?!jdh9lJ^hHZX%oUAyyIBCIyt0k({p=3paWGFfu+7w zzvJSg5;O}2Dqou(LeMXoIh8X6 zBkaDj`eABah6de*0^vjMd!>E1{>;fRyN8RlB!3@&vBf>^p8mPlFwQrXj~DLu>GMxQ z@qi)qE%EFmuM}dgPP>Bwr(ly@PFoynCVj&ws}R$E4QZc*ahKiP zE#@dXA^?Q#omgf~pdYcnT@MD*emF*e$m3;Sbt|j3av(Hu_}7M4y&S`Dep4;L#Zdr} zPVXN{A*Uv{R=0JK7_D{(q{Kv4uC)4=dsaXTVLZgR31Beq$J}<|ldBYX0$Na==HqfU7QT1BC zVgi**KIXtg;7CNjT9#E(v#Q4|AsPqNi&jfZlq1b-&xJ7t;BKqGVSsi=2qF#|T3g9( z8~wv5J&}XR*1k0>s6b7O*L~b*&N*rNOEV(!ChZ z;KKodADtuYLr9H-rTw?25Jc+x_cEEZcQ4x*Gz_A9eeWWS`X$UadHG|PfBBXXE`Ya& zK*Pp)Aqs}<&05TUONN?u>e2C(59m_rR4{e51nhvhjlZH;0(MJZ<(dfP(;~(Q^dj{02x~c!Jn%U#y|sNQt~5qb4c@#5CfUji9n3#x$pp>;4YXxj$Z9 zC@+l}&T?k=hArGNN$GO<;H+V2szPNkv=uj$ca8-WVNYJD6%>JT(0bwp%U^@}TmtWB zd6a!|CO?ebox8+nbMIfey9hCPs2KmgT@%!sv(IO`eE z**tpv)V!w~xehk>*8dhan?55r}_b0c%6u}LUmHvECpI!`;iorEg-r9HI0oE zrfnta^af9DZqt1K;0-j$^!DL64UUWOR3u%aWo#j3w~<|AlRu5>rc8s~}~gxzWw?$1_1788Z#ZyR&3UHaFE6 zR_U@@!0?a9h^ugtG+ zb=j`dXSR93L+Vc>rrExrdTq^V=cj|fo5oNB!27c$|HeO1Hzct8t#TYp*>*|{4zCsTJX|p}va)`J zZo$CEW2f~fiHkAB0|qpOzO*cgHg-k&r@KG?G`iN5-H$j!D)RfvSSq7D!&J0};%KYo z(pT#V#+>d5RnxsURQeIX3&85v#muwX4JTiAY?^2B*pcZU&ZkB9IZ%9CU6#_uDWc}% zC5w}yFk0_~eq4OpK;JQ-Fyxo7vrpEoh}9y2l*1n6AHILf&XTXUGd7ngfXhsOrspI0 zKaBP9ss*W`ix?&;{E-5`DHVE0Pg$I&iAu{@mDYhiua|gi7l%%bRR|h)Z3@F!o`x%b ze)@KG{5vg!_>jg)hQ9BHx*SHn;zK6mR+}SttchHA#=6UvKLyig`)NKN(%Ice4#;pG z-M>3B+{icY^D0m{k|zPzm6_-TDPG+!m{N#$Zpe|;x@M3(8I{?60D#LnYcO@>h^Zens&UTp}*W>u02`taCrR7aNC&CzSM| z8HZ1(j6TsuN*J`D+4$Ez0`XuR!gpZYtvRE!asN3a~Uz0MCOD6b`v7D)9NR_R4!0|HbZFkMXIz51@)Z?~@_) zaxhfAyzU<9gyyRxE&ef$Tv(A3+mDq2S?3xAfC?Z!2UDUwgjO%R;Ttqg@+a&*uCI5o#?Y`} z?~3o*>FBON;F*hZu}NbJQD?S8Yu3K^1~d@7EG{4dRyx{%R_BP&ETIB2;jQKoxh|W_ zd!~l{06UB{D+vnpC!C!BH2HY=Q|R3|e@H`=M|?0|!|p*Tdz3ckM{&utI9iWfK`wJ- z543L>m#gGb&vE~ec!A`H+arIhzp6e43cxFFguj;Oy?_`JPf;Z5ucY$6hu`}0y;NLB z#l1eep4#8kUpk>~rRjr903FGJQO(f?h$gzENp4O+iTK7(R60$l4RdNFJT!z|Ss)m$ zz4lo%6vv3Dn=&0{an$@}ImCIo|Ex@1KgJ2+e?`fYSV@Mzylgjmqt7)&7L@y4E}lp4 zsZP;pukZ>q!Lx32Zd>WcZe;gmaKJnDv-Sj9hJgbxvUK_&=Co9F*70J@v&>ZE`O|WhZ1}6E76M zOD9aXqMM3I<-P%3B6Typ<37iHo#-`*7}oW8<-Ie|rJs%2HI9W$;qojK)B zj8y(qwQ~#L+iZ@(a#rl@Yg=fNDrF#$ZvsnE(D=aqEec>ilE;%X85m#adq7_P&^9b_ zSb64x3gDMd71rKOSX~5a&`t_*?}CSP%M&#CIltY}r1ntin7po-l>^=O9hC5XduqC` zaOQ~`AI2x2CY(V@`nlm1dV-7EgMwE78qiZH91bS3r4JzH&(ManyEPmNwZ5xh@1{T>)f<`1`v7= zg{aF~mD4z4MPy4_Tl>b}`Pnu-3hmN<`Dz%%4>Wf^g<9HaZ%?Kwv2C_V{IxOl3uiuM0}z! zB3IJYg-@vy`nKxfwvUBB3FL{y-u+o#hN=Z+O{G)W&!dIJZaX056z|^@txxsW#PrTc zNI)YkT3)OLAF7k>t}vXt0LqCZzZ8NWhW&ezr#osh<`~$8j?R{;@*B?^dm`+akz*eI zPqSXhjH|j=hlwxZ0hzc-4N^S4SOtAg#aMS!6TVWyP)A`xOM`UoXwROA5E_mhmitc6 zXtmmXF{2*|O;zqPy<}|4>&0*$H@?!A9a5HFPA@Ldouc)hZOwKcET&J%YKAVVHUY8S z!e7ELj$f}h?bt$-_{7n7ipMRywLQPWf3;xFG^(wH-%1SapaXDqqt?hW0LmXPuMD;= zDQ;4|WlK}@2309D5tF&HAc&iexg zh)SwwK!#cog2xj-Xg{I-40C+kZnirWT8iXIGVR6*%ftidK+8xcrBte=w+TYs%FNS{ zT7^KQLvOGar_HdfT)6nOY1p z_@j!hU%~opl8m}m0?6ht+hjl(b`Il%bceb&E!bhaqDNSMR@Ogb^cE zw6fETKHj}Cbt3CE?C}x8VCvvDNNmLc6e!b~i&+(d(b6f#3DT?q<63*v&}0#_O#6OWVBzhKVgR>>fC@@NQja zDfL)xv2jbx3Tyq)URYQU#yWd2m|$G1zFCoP=LF+FPI@h%x-#ASHk0>+>niwK?%;b* z*c+}{FO^{{28WMw7li7XC=jWiaUz`Q^n`(yp(D_9fY1$LYfU{q!{&Js`VslNb>mDN z)mgqHmHXnZC}vWcCtDaw={k<@ISJD-#;{+*PNP=@2Wb1Vk|BR~lr=Q^H$JVIN^NJr z*l~|59--g&qJG?Ly)0TDovYaCeE+*o}v-7wlSTiVO$g8Gjr*fe3@p{!z$)K!<J<{SHK@>)!2gD-n%0*2FC=0Gv8{ zW#?}n0M5(^se902zqB5_Po-Zyq|s^db0|gE23ITeuN`y8O8L$RjgNM>tH_j{H##=< z3@3XdjBg?a1oFXfcC@5e=}DrC-Q{)MtrQiY&)@g3qSS*A*Bc99$D5mwgHI!Lr}jOx zI9r4<&tWmt)}zaK^_o-a^jC9Ph_8g02Sw$*2hmaoF9-OYKrc_=pe0P`My+)7Y= zUuLVKZg2-Kygc zlgbcnKh7sFKyMKXoYvJj4tP7Y_TM_#k1m zF~b`-h~hFPT>-V{4#|TbD++6v2`e)w%{um5tnK}W{r6g^BfC}8|!x#q z@2H#Tz#+otmj33Wu*mi4Y3B7g?a@C}@&z#;V8Sy4Me;OaMpWt9vh6{DX)3!Tuh>yN zRdsvIx79B@ma-%5l1jgPC%-Qvb*F^^%fBjX-!O=_JX;jai#Bn|sf=ugsJ;hMdKT_b zR8}~VciLK@nS~9YI{FnWaT=Rl)YwDSZ;D&?US+%3;fj`hpaa;ztRI-|S%)Ej))e}H z7>&gkPc~o9Uq(8R*e1blv9_(&9U;yQ@?7%pfrc#Bm7CEuABq_@HWe4=XyT{eV!mx3 zI#5l@HzW{?HQap#)UR8S)W9QOa$qbJ0oIp9Omi$RqtkHFy((u#UPPhS`jsf8Guq5@ zx9}iSe^3@AY1Y69q~GedXrN?E)@()whR9Q&yX+~%coV^zn08> zg|dduou?_v*6ZuA47Rh6O!$yRe<(kv{VUwOAYQ&?A?Hjjpt=l^lkDF1{%?d7dR);- zss?87ja^?(c1dZdip8^XBb(K;BczfxF%4Q?1)24B+GwLh<-w@t&`t;%gyuq$3wiS3 z0b#WEYe%+6w;ucH%P{WX3e~a)5ial! z8e6mYu*-GH;l^c77vWF4+1c+e#WQwVtQ)ut3>;M+Ys6cjZqvbK31y)K%;8UJ<-xl7 z+(#d{84fDbIBEt9kOyui$sf}cx;_)yc~^#(b>}rSFvvL{6B85ivGyb#7V8(H(*K9s z^#2$W|1?;(wRNy__}{+E|K}4bzzB{1$9I`z^S?c}ZJfa9lmCmp%m4F#{r~$e{~QSL z|8kx-FFg%syiAK^o3r|v0Pz^!!1B;_LZ1hy%ep6jOH{aGV>+UeJS5qEfXg@{iWZU; z+LXBoEH;9!tOv+m8&!q=@0~#!Ps}OCM-N>{0q55#%vo80USvf5dFsA7P(1cj`uOMg@r2bbyC?Yy$(fWoh@{HN_r0j z%Sq)XROsCKf1vb-y^qiZ$UdCQwh*tVj%9#$92A2m>8R-l&V{^>N47Gd82`Zu%8bfZ z6t4o-8jgGk@{>NWh1tp1@`4#2h5|1Z`6zxL`5i3n>AF0U-hmOCH`Ay_Y_R<{s={Ch zuH%kyayJ4k>Wt`<|GaQ(_lkfu|8yaa9DfaW1NUB~K;BzkBNWT^n!tbluARZ#Nh;yP zN^fBtd6f&_5Ujw5Vs`jxy0E-gPZUE8awMGdNJApgr?iZVnwBKnr*C$qqykG1Hzs>G)bw;`d-GG~FlnU~c zvHlQwHNA1us*pSdIV~>D#;U!S7XEO~9AEaimMqO#1%2W>%?$_Eh~m)uVGEEXW^o_j zs4Et|a&DT+vS@OPX==k>u8*ZRfwix0LgK2z#lB!AI?C7v!`3HZ>6{H*7Bkn7Q0AC9of!qhwHw%C0)ZERhuReA81}H+)Rmsu&u~ zjUYh+V>Wz?CF#I|(Hgi@!MiD|EVW5E?jV)EmR&s-0rMdQ=AN(-d6{n>XC?oXtW^4! z52>SdXA&B!$E@K^RF;m1bjizt1-zx~I;S}KcY9j^w`30wH1G$`${Khd8L*n^J({b7 z31{cg&DIUPQ>#$K(Z@6Pc18=fdh1?sd%bW8w0Vr*Cm+Hq24Di`fuG$7O^aM>!HZ}Y z-MfD*0xsJ3119_oXYhs;OlJWb?MP}PGDhri4i|dHV!Thh-z+{ z@+Tjm&lC4(k%m^m$K5+shCVMMZW*(joAW_Z{TaEjq`F1FiY47iE0ad|HR%Z_=;+HZ z7wkviC@^vkvwd+j(<@?~F0DcsoRnCjC>DnG^W+y&i7#g)GVg`k9nZVHkLS z1Cp9nL2Q}c?0YjzETwwtzmexgjq}?2YqiDF@cW8b%@tDUA86i5;iP=mWkseDc?41v zGxD3lt6Yp`?RBnlOOkXfbH;+3f%M^*D3}zj+(Jx0 z_4G|F6~yi^W`>%3p^E1jHT>rLv+TAdxr1D1QlOm&0E-^1qE?~(^t||@ zU+ypy=YVr_1I6=(O)f7s1N@>&w`@>w&~PzqQnLh<%x4|?X%8};WrBV3fLyr9_Ea&V zZ9M^_=xhbwu!q*)_(;U{T zmt}UMp)PE~cHJY{XU0^5iZNU5_46GUQT%)G`HqL9wF@oUD2G@>5Iyrj57nF;Aaa#h zglxw`KfcLPLzR2oM#$d-G5Z?OS*)BSvkU~Aywt;sJQ~_IoI$z&*DBb7% zTlv?k;t9Z`*ckq^?LacV@IZKc7O;WR_96EOp^$(YyQR$wBJvRj5|ouS_(>}MDi~NT z#!A~vo#f?rShob}2U|5=zka*j4dqIQ1D0f+u2Nf$k^y6zPOhQo~nIs8k<)$jLIoQ znjbdd%op37fzADqzXJ}&?^s->5A=MjBY-@-lY?+m7; zO3TVQ-W{bSpxJNBY%m(?MSUkV%q@>Gz(W(6fKqe7iWe_O4Soc?B)&Qd@S_TLqa0of zIcoS)dBrpXCIaE=dAR%-Jv<8bNWc(V-Rb%%$|V=U&-EztH|AN81u*P8*{qc z>?c=O(qcCr2R9ZU81Si6D4X$S00(B<3JeAGacs3)9}CpCpIqmh7XGLnvnOYHDB}S5 z`~y(_^LVX231Z+4WN##oyNLidp&jRfR@V&LcXZx4S9wnEOB{Ya(rQJH-45r3H7RuJ zj61beKL%Q_s{2@^^hf|v4>?tg_Vum{Nx8db@q^oi+4C5G)(s!l(=srKVyU%6kQ8Vg z2i{2K^r4X|xzEg6a=pt+FP zh@NF!(1oYjuKPMUgOpJn^mpmB7bbLR1$ntzc#)>@CVIqo5(G!)s7Cwub0l%p?4W2b zGzi$_1B7G66Y9=T=VUhx1V=EvT<@1pMgOes?G+!=TUQ5cDgrcy6hZ+R0UsKA4C!cX z{R-Sbd*&|qru2k}O8@*mx713LDK`=NfRDOI23Yy{rID>yiVz0;qg9~97&UQ<;n2Ak2tc8aaAhk(@_||<@;{X_1!ZHPQF1F<#6~) ztR0d$4r;biKuXrz>8?v7BUG1~{iXF&iQH;i%rEIHdy|*NeKuM**)Al6$Y1#V@zm7J z8NevvbA}@%x;_KYb}1j5zm0#Dpy{IbOAmf;rhRjB=2jXArIx8g;YFj$C333I0BW2+BF1witIJTO9htHoyS906b|K?mm^L^U z6ov}faP8%Z5Vs;V;S3^j*TJgFTMN4Rz`rQ!Gam$@B;B8GQW?fq2^CR-rM8?2qyelm zkfV$y38-+8WfAYH^g@F*Bo?sHJ}2GRZPm&<5NGlF@9#E|CB08f8LGN@X~g+v@T6CI zBBjnCtEhM&?z144yT1^*^~kb%C2@9|oYVN6X;u?iF-LPTs>xEAj8sw)$kbf893*wS z4g_>A$^i{PZxvG(YuQriFAJ$-Y2`?YMtNL5v1~lw5N>xeixIK{J>z;;i&x08B=(LJ zp-w0mVxO2aqMoxJsvV~I*V<_A>*J7g=gGfqIZ)q9|M{%$oUn4enCNMCX6&U_MrDCZHoB4)o*%Ie)o!oVRFx&k?VYQU0!D(`ml(9j zP@hbio%^>JtV$KLvDg%ujdpe1LT0{4=XfaGbUXm9<&F0LBrp?`S>Oc#kG}FeBMV$% zPmKMsa0%kc?s_~!8GyIjoL5NS(4}CD#RO5pbTmqcq50?s#U@I?JQNV+nCtU@1L5-@ z02Mwst{ANcJDb7N0C5fd#=?|&HP&269*6iDY!}-*ixIZuVx%iW5I zub~N1yU67N-pp@B z4|gvn{5by)(C!qwF|qLf%7Me+eoY^WnRXq9HZ?ELPKwW?Vih;!IF5{D>nP7!c%XIf z7B}6^u)7Ufc;HYh{^B<9ypcSMu~(MNI3F={E`-{nr&ff+_3Q;vRQ?B*g1lF{{ZPV* zx!4>@h1PCXmx~f>iT5+Xr$P0GS2|*GRK`Q%3**X!=x`%PxcwBQ0MN2~rT_3?k;2&z zlJ=@WKxwmKm*-$yzIYJq`Qg>j@8J+QcKUV+DAT*#8F$5j9pSx-SvT+L{v=16hb5_Qa+5RbZOn|&9!F59o zlK3fgMF_!oS9J67{0R7;;Q*<>e_+kMu48%EGe_#f>g3`W7LXsJKRf*^?220g1)qW) z=|VawBs$dPy1t3)$ zHmHl_DvQDN`#}5hA~jEShRHF`UG@&d-joqfrOO7`W)m>ZX&aTT^)l8DeZKSu(5oK5Cjiy9l021q zku@ElN$NsZsSESMg{WHY(%3}6jhm77{LP6%24)xD2Ca)q5JltT5}O!_C(wF;q>|*g z1|VYWV=VL9a^8`yXbLSX?d#-*R1pv zy3>LC&@PsOVl1}v2Mo6x7*Y+T9Whwq3VR2%?F2)jtSL1enw3WQfOu^Sey20|zG>SB zXC0@hz&DMUW=*>H0qxnmX3yh}OYD&ms83D3YGP`K?@^3zrvAGfykYk6=~N`Cd%q|x zL`W9~<{kifZ6r=Y8sa{BWI6%FX>~J?b?>0hN^-H@xF972AuF_vo)tYL28qT$o&K|{ z#=$`3qF%H5Vr1YtsTw4kp3tgnT_kI1K&4Qf0J!)$)uY305lwGsjI# z@J#Z-zemy5Y6u2+*e4`dxL3?g7%3L1nRyJPw=cdL5z{s~1N)zNBFk&bYjgB#hu&<% zCkRGBitAUr%dap#4e9ix>Z_P30XbFdxpzOiTEh1g7t1_|NyOI2)_ zAXC)i+0Jjo)>P>2x-=*B`IvG`iLyTT>b{jwO$lsS#Q7BR(UJU2W%TwC%9(}VIABCu z+v?5u)V|9cx757%q7Pwijlih}Ne^-*qi5X2n7#leYEUzsB#z+MV{{w_G4bD{{8ST-}-tB^DAR=)6zZmBQ64?;B2mLPC?nc#8deq)=O03mVv zYpUe|J?;WO_Y?G1>EhqtoIjB*(3a#6Tt^pdmm%RDB$)(n!b$R^B@oGXq2IsCQ=>7E zyR#@M^`MUP>55Rg1m;M@b+E%}El4Z$*4g4Rq1Jj3DgjA_8~8UNC;n%qK9x1Lqu86$am7F{ihDU1Y^frc=t02Gbq$?IAR%NElWS!`$Y4WD=PUM@>YZW6z+Ghi7i!fjV5amJXyRO9?wUIR5~3TkDtfJuJ) zT8zj(Z+Vc*SEiWR8$zlj4%idmAv+)zr{z&M357zl+-1L_Ig$z>J|y8807m)!1~Ck! zEXqPeS_#5Lw1zQYE=tH48#U<;&X9rE@I=E=74FI84*~e`3%~f0(r1S*4l>*@BqoB7D^gE!yMm3T+PGk+lR}VATYu$rhu|0ZF61H=rX)Dz|OOxoGN3 zeo_+`@EIP;Q0_d3@kl>tP^E0#PP&0~pUF}fIc?Zj24ztfn9tuSg|Sy6L-`iYM^%k0s_ty1ET>E_#2glxb|p zDLpx{BkR^TwQ%nDORvpG%7&ugy@2J+f4sF*9Rh*w>F_vuOEe$GT2Yj+9kbiO(iVw9 zYg5~3LDpsOBk!^{FiBN|ml?o#Z7W0o5R84^E_|^`pXzJg6|p54F90`AeZn^@R}57X z2ai8m7BWv-y2~Fz=?Tk;1NQ-=DIGpn8*W35s-K%#dBgbPNGduAE@=|l)n>-M;JWo( z%O7XftEltoWPqal^X0fvW+LO0F zhKs(<2&sym`vI(5{`dKU^nV*@ ziK);k1$2&J50Zat4S-d44Sn7fN31q;Ex2BR7Dd30WDmw9XWD{MCK0MmaP!wn^TauY zykTu6jHPMyJtED;+*J5ee9w-g6D;z3dq2rjTD;9b!XWzM66rqc=27--JILYr;{dZk zJ1*hk%*(*5w7H|UL_y9w)@8NyaaY5`P zPX2A2iy0d2jkeK%#IrY^!2$ant5)@KbKKyv;rH_jF(mWXKtD(5lw@3Mo8brpe4%u} zS`p7JkOV*uwnCmxIn^r8>RBU|#oOqIL9?XWr&ZM7tk+nqzr8B|2<|bDv-WP>kqWFi z;2DfX%)<;TwY6g^jrN5dUs#b7w#fa7A7K2aqQ~>SOWhd&;5;^x$f1_M0E(sTXE0$D zl)Fl0TwXZyaW%po>&H10eqwO8YsI{kG}?U{k&|G@=vvo4MQvM$MO4<*A80z=a=T6r zMV;;f)iRc@Ln7`KH2t(*otzz<7=FFge9c^way*6A^p`IwsFVn2ro=0pM zEeq9@xmW?RwU^PnEGA0g8O6PZWErmSFf-U{&${(1Gr3t5#(d7YQDBPHncqoz^dLX_ z^O`lWr5;FPlY4mbl%n6Zy__bXE@z9QG0FQ2G~8apq|`6rd{8k5XYTSG^?9pCUHRg! z3q(UUExT-C0b9GQoG{J6miK;oqC&G}zb-fjwV!+_N0pNrDL8gqe_(34iP!=ExQdDi zW{{`9gMx~N#*=O~7%o+l>ahO<E%ziLgc6V$aXj)EC8W?q5#+cNtQXfi}j>O~hR6 zOR!74w7~2VNc>0=T9CmMMdnC8=H2qrUDRpy$7Q#*k|*13020Oai-T`|=9|^E*{gC}8 zq5Lu`5t%Ve-Wtc29%;m-bQrUmIl{PXLWSxAnfj3w6efAFq=U&8g@eTK#M5w)BK^6f z3+lK60ZzPH+pZHd&T`av)#BA{@7~QM-9%TBOaI1x z6oCmgvomm`+>vs|Tcu^=yi~X*8T6wZN5{J%ng<`z+aAWcXNHAeT(A1YgtzhvJRalZ zyTYiM3DjhSuF)a2*DQ}KXq&|eplnTE$zp&Q?q-NAbr{Mj2gI3+iCI&5rQI=alohfg z()VL7>ac=XBZQNGFSd}DmC^{TM!Lw#gkMVbLm4x)CI!Vz^;cmdn#J3288CzY(U^W0lg)>iE<0!%Lu0SxRmMO_ zC)oe~#7D2~JTRD&x|y0PjlBTg518-mq`eFkjJ;%a^(zVRPAk3N_qTgRwSNM>F!<0{ zTK29PUq5DUu#9d5r--Zb0w3xj9pENbOV?Y=+sa@5?7E&MC0_G46tpdw>1W=NxYbG= zc*HbM6K2({WUi9L=kH<}+%_&KW3&Og_<1p6PjPhgc-b z$mw%x1BAJK-lZ+U)UB;|V3(opW73WY!mkW=1o37@3Ok%JCqrCHZM^5s(h^aqW8m=q zp5+gi+kVZbK|ClY7_*J@?OxEatYF(;a+_ld)*;aLfLdkR+n$8^I&8o3IbeA6580~R zu8IY@%Wftm*j&qcwsyuHJt1v&6FnulI9$BKbs=05CK!SlP*2|T*xOTZWFaOSCyfhY z83!2+p6d|DCtCNh$l$>-EHQ2ZNlDKJ%QO!N3I(1x;jn z9J@V<7xz>w_kF}25>IcHe~omVTA>u7Yta_*?YnOx>gaw7a8|s|l^jdivCRAdDD~() zCW4#rz38fWJr9k>$eQG7Q3kai_!XR#+Bv)+N}dlTGKdFbw<)I3Ce#fOKdFqS0t|x}7_#EQ&x>OBHap#{F-sZ)=~C(vK532kwH0Ki zwHsLZKRWnuikbfV3^IIi;=GF%PRJ^N2MhyeIq3b(T77_p)b{-MgQFoDE?Y35efqc~ zdl2cM6OPYYx{CYzrS#vpSy!sXkes4k1a%t^#cn1CdEsdTsMvXpm(u8&W59=Ar(38J zX?7h>;4jxsle~82Nb2}o+%qao@P^9s$E$H$Y}k&npGdYkSnGdWYjA#FI6Rgr@Z1MA z-Z5MnF)i2>2{hlk92j(AxiflwAT?fIRn;ph_2~|)BzZ9Cv2Ns+)x6p#@C_GFRi_2L z9u%S|NvvDv)75PepyWcarY%~b4LjDl@0jb?Z)bpEZV9ar@B?j*#JM7p{>&-XOYB15 zFM*bNmNQQuAhO)rrOyJdT7&DZL_np`=qjB7e~x0(ZRj81!Bmof2_$Ax2Kl0* z<0!@*i9h*LlH1l#KrITrx8_}tG98Hu`L>g3Zq=dBtirNByp;AI=7UB_j5GNWH=W$< zz0#e?2N^2AMN*bp62)7p+*5nyQ!WFo2`sHwAdZNNoddb#`ToMGk6wlt7*nTzXjQH# zqbf!zTi0^Ns9iJwG5ph52Tq7OB6}+QdT#IF!V}CjXpEmQtsfZv9MCx$h0{qh&)J7r zgdWzl+0N+Z?bn{HG2)3LCP9_3KWFTvL6x6a*|@*8HW4#AN|1eV1kzU|>q==gFb7oC z&B|c0s_hJO9!sk7g8(tS6>;s!G_m`VDDEUQ^9QT|6E-4;IDeMA$)qmLIf4s-YE4=@JX7+nztR}a?t@SC!`kI@FD zg8X4V6PSSb!=WUw3wOG3|+D=M*Z}!xhbhY8qHlIrs9wP zw2|7yhx2BV3l%0VFHfFLEE=GL-0B2CRlOh(GeSXx$@iA-BDvT$p$uzsL249SlFWGw zReH?S;Q%Z)mh-byN_CoaA>B~?PxHc6;W58^hh!CH*Y9Phmu_l9p@ic1QqZ}cC_^v} znjlawQR@`$d%ysqnOxW2b1HZ*(;o5#NZsRw=UH*~)Uk&wc8X?27VvcC=1@XpVRlCU z!i<*vb%sz!$A-S(Xi-1L?v|N2VeZd&M?X*Kr0!IAeEQ3K!A>ybsiLpICUw0Y=~E9B z!~x)6&lA0M*X@O5zo~9&fCiAJc1762cBeJ~e49EQ1{8PgJJY7vr|6sLXtoxq_nTVQ zRkz)SgL&-OjFALoN3z3UuqI2p`?NWT@saLJoF2%+nyJ1M>h zkl;*CZ+d+%Fn?LT1C}jh>0f?gOGW+=0k|iB<^J(^{VW)W5@bOq(Z!%4A40`z8{_v5HXp~4SVQ|( z9{^CNCm6rc&lM^%<#+!t=H4@^skGl49w`Zd#DpF~3xa~85D*aQAt>l5U;{=<2x6fL z7K*eCNeGOMs54_hDT<&V5FG=Ilt2Q)%vcyHi6Ddk_b4?%X(lB5y*%%`&RO?b=X^LH z-e*0or4%)NAgZ8Zl5sNl%(y5;=SF(x)@E3hB>iT&rNojV<3Q%;;AFOB6rX#cFW z-ge*nQUC|Vr4M5UEVLlM#fhg}3vkpxZeeO}*j&TvFU?0cTz4vEn7uaI84&BCxuFXa zZh)toGRz4^;iEIXSp{N@2Y~Am3b!#8>s#!c5B0od%o1pgpf}?DBu=gG5e0zB9vi2- z{QA=$Aj&Nejii*w%r$nF>Q=V`eCZv%GwYBQ3Vz)Ks#2_6>5{tg=@R!?Ak~nca~PE z1NCc74hE$nL?eT~&*+pQ8`on0fwJ3sLHiOSUKe>ttHlbmP0pyU92*QAT?-5L_u2Z~ zr)1j!sgwsZcv&Jy2-j=?9tgo@gkV1AG5aLlN^@J}b7DwGW+yXXM>aq(*`9?nD^#$Q z3t&Znhx-LFYlX=jfC3k`W`y(rcY9>4>%MIvGb_d0+l!@?qqVZs0XHVj)5NvmnrfHs z3xS9;Gi&b+P7_+Lb8m8kP!`wYU?y6UfOeAXUbz73-QWCPm4A|9ysv+2K$0nXNd`&# z+m91fS1edDSE|1F;duL;154j*ckq^L*D`^!fN@Z6pPE@YgOd@kqCMbUJH<5kTGHCH z*|qMC<)w?^`+So~8qN$|*1N)3$5U2gk5+f{bUft6s&f_FsEOc=Kip%c7G?qLR+j0S zSgS2hAN^s>D!_Cdn?b!md_8kP@H{;$5AaHY0oSm%C6j zAW5Md%8z~#bvJH_BLwIa4H&4Fe4y{T#(-%S#@SSMCj~g=Denod97-aQk-#6z=rbw> zaMP+wg!gd_sUWEh`Uc9gE7AC6!(>;kn$5>Fy|-CO zhgg6SL66BTz$YOyz7gUA+mK^t3_x7-W18^fkN0PaX6@&48bJ(|#C>#o8Ndw+ zNzd=qe-F;5f!s#$=F`c0L}c()Cl4m$^TO~#ud-qtBpPdgJp1U{?3Pf`%qhoXX3&gb;i%bV7S`Dx(vD>?{gW)+}dx@8K7@mnE^++P>oWnBf8 zBETmBmHg_pA<%(st-?lnm!%I>c&k%Dnv~*7G&_#~qUK(LoFPF@Yf8A*8#Qr5bJV?W zwSk|rH)sus%M$7ZAp_M6Vf3BnTypGB<4f#2$HD8z+y|ybOZb%WUR&g)J!9Q&rWuR1Lo5M5IG`wO9z)Ksn9Bg?va3b?ch?*E zY8dd|PlP!cTNHwz_*yy@X*kc75MQqxTkJ`CBao~NfjLqeQ^4}TP=H*&n%Z@Z<)x6S zbzn+7!Yw>;qju!WAjA;`vD$Ql`g*7y+#08enH7!x{@2`?j^w}l_P#Dy`x0TR*Z|Kf zXrW2o2A|dR=Y!K6C{8^k!i0(T2q7?VZC;WuNJgNUJ;&xo2YJCCj-|(;m8If`g4Sds zw_HPHlNpZa^5p0%ejj)ggPj=>V6W?VI>DH9xdhq`Z!d9@~syuv#E!?2hyzuZ5 zwZ~p1(C8lbpHgI6?TdznSE`eWPA9=kCSNPJ;8IxJE#w<%zXdpyDa1>FG7Zzp|8nWY zC+Y-K_wdc9O5epO-dy?F!xe`%)KY`Ai zlUC6JV(HC6335L)ayYVJ{R#!n+)(g#dxvgxFG&;C3E+R5KIQVtKI6mHqrs@a#HkD8fxo-_^=@O9vUP12NdrqBM{XnLL(FGdlzTnfXzW|}_*zTmVy1yC zlJ)0VBlajz8cXu^)8|HlZ9q7`kdFX8MApG?M^zh;IGBH_{m5{+#`SH%_nha36Aica zSNKR-q~B-1m^cAx7Stg~p;1K1z$Z>v>&H53{$Zp4?g%VH|81e!J)$?{S(4sG-d|bF z%W8H1U6prr*V$BzO(Ahn25c!1-7A%zuNf|8xU9g^VpU^7?x~=eukC@2Ii52z547yV z(G>ok<`*mY2*Ailn2TA-RIUcayI$F~djqZ*K7Y-Gnm;vq4}zixQaV&!-XSCJsE&Jw zIn~4s0o$vYX>29fEPjo`ywcSv(C!+Nhp-#dueBz#d2BzNxy2j_O=KN6wTZFsQq@$D zdjX^yAN(bnGGwf&AO5Ys!f=ieg)@|vAs_#CWgRw!nt_WAn@CFy5my4nRKbFYw{m7! zn`M70;{@fpU9zx`7n+gl4Y>_b73bTMD#wb^TvtsmE>}DQ-o{g+Xi)*8MXdJ;UY~K;%9^kjha&#fGex79I_yJ3-e6sO+ z5iqIv7^q7usm-s!Kbdqcts)BPK$LuwMF=q+QPt9ZtbvE=Qx1*K^AhvM9;iw-4aLBI=gdHXHk+q)cm|)5Ywz>qDx7(IMB#)B1S%;+vFdQj zAN>58)xedEI#yODlP7dds0dz~Id_TGgZAZ6P8SvAzGfaF^#LQ<7S#>wa(xOal^p0Z z>P1q1l`I#kHUn}M{r(!%NByFuNC;9;!)x$=l_nk~Q-S0KbQ#bJ<#t*l;aW7d;wV}C zEtUDefYndIZjNqd>cjLw_8wnkpo7AAI5J{x2>0YPAEV^BTWhpNe@-_w62zFTPx=0=4T)tvF7DPZ!*hxz(VgXNQjFq~Te0 z%w4Zcgq-`F3k|gCzNkIOq6lk4KGMaEp7@)Z&=7Ljz;IZ$h$|Wf-FY?D{2{vL;+-L0 zO)k_pyJ@SzncKiAc6P1Lf~9f)*5$CuTbmTP3vU<9CGuF)RX-i@^TI6w$J@`s4SH)@ zU(>~&R3~W7PM!|6I8BIq5{O28E%C2owa!I#xP;(OlzNTb-cvCs0Il$6gUZz^$>2eq z-RQ4Yj^AA>FbC=6DQY%NV!+LbFOCPmo{ZBU>>HbB;y{dOeHghl1c=q-oG}{9WJmwp z4sMr=DhU+_{h-Q2bVE@jJh2{Sdnsg6KLBL*H+FtyJ9MMa>@X5sl+uCma2|i^E)Q=5 z8XT3q=O|yIKjS1BA&rUj55nVqwkhun)PM>Ptr<0R0K7 zjJwL(e8fG@d5Um(ZZjwq2~CWvG7jQ=_+ zp}E`4Rm`Yx{%c8g|6Ju9hAGRQ8uYxBq2hXFH`j1xqiG}N`1mYz2*{_+gYyc?W@ z66X3yAMlXY^DjB2uXt)mN{{`z9gG#g;7tX8$5Pn)@Zz~l`4Mn=pNJzo|5y7#TuLNq zKM16dz{Hv$v_{KPKJE5tEuUJht$(Z9&6cecw6elk{@oZrN(=P32rk0upST;l2U5nkwpyCT;nS4QXfP48BX7)e*0%5*M!uB zPxx~^Z6^VxE$soNvl@i+9Ts$j6y?By%8* z=bfHJYkxMff=gzSV-2amj)GIg3whvyxu_tL&>0q^ubj5DKUN{I=Ji=X{LE$4SsK$6i# z2>htM@u9qTwY^C+Xy0x+C~Pw3F`4*`lOVc+YOVh1@M>ziqokKX0@f=Ph{{QY?i&>y z%S!kX{S)+YWsdeQ!G#!7M{P-bggA^7 zmn>gmo&a`oE^ykbyk_4yCU=Sru{rrVq$^1GnzdT!vCJbd7LehMa*v0lxkR~3T-lk9k16R(Q%lPV2%59Ho(ymXi8dLLtc(xg!F$d16;z1&^0$?; zU(z2^!WTUQ%q+Xyv+|RrjUr_+uvF>4=x$KnIxLT5;LOQ$r;}Hfo3DbhVG4lR7VgWv z)0%&MgO6i=p)gX^W2ig4cN?wu3PV<7hkY8{%||TN$M@*Bjmw&vgJ)^4vSu#5fdTAy z7;LNlEp_&(?W!F>8S$>FnXScjct z2AI~&hHZ}uxkW7>*YaGKM%?w6LG?!zxPs?Hiuy^kI%W94EhK6B#f(Uze2gK8LpABn zG!C=hN-uBxos9+Sweg}Q4tbQ-hsZSqpbphD$T(l%uJ9d6pjpZj6Z-WwX{KmF#mKap zM?rXKJ812q?E3oDT|gjWrg{kgmBn#fx~pbMTWJ~C9=+Kyc0PHXXN{75Hz>EfSHIcU zm-zwoY&qzLHY>Ecl-4t`q5#+Vfqsr!YT0s6%(n1grgkqHj%y$us)slNe8wrahQ=~p z!_{>B1B6Wqy#v<~VoJ(17C@hz2U>;H;om5qUIj~uwKa0{HTY07J zV$sO5MEk|wt83nmSOcm($AuntI5BA7HgY1fG+Ugv>$PP8|CSSs*{eWu5>~jmbaVqj z*+#q$zXjj7HSQ*|cA6BHwF0amPbtVm*Ng__#-8I%mwVB$hDYxBP_wVFz`pCz8NlCJ zZq%M^QRRdlh&jBr-d zmhfQ0YEW)2fM1j-s59~$7(eRGc5x0hsBMrF)R9iH%K%JQL(cv$dDo4aml)<0GV~49 z7?|P(+-il}+beh^va|%sPPEUcX@0KLeGxKCN(IZ?oci%QX>TWyVc43VAlF(-dp8we zW}uds*FL$ebT8Nmq6xR+GNo}eBh}8_j$7&*sFT~_7S;Db9d83Rh~0Dzj?AsG>L?}W z*hbyM4_s@X=sLoV;vnhA@YNF#&jSb>sqeAV1Csz%%zKrj5HnVut#1u~}f)~~vf zxt{{piSOD;7B$TA;wSrEoKC~1x zEPvl{LKnV#Q+dy7((&buDF!qH-OtPXiYmW{zgH(_y-ABMf-7}=3hjr&cA}z-SEu~@ zARd&^4SFAsmLGe%J*8M-lJ^|_ekm#QGn|p@$*oM$G@R}VUWp@=dseV6{FBJ7*P0{G zrPVCI(?Z;S=CdUW-~KNX?DtPKYz9Q}x@fROmkRlsT#LulS!V=HZxb$o?@gQa!g!Bb_^o;f~2L00kso=MLxdt`M1|lZL-yf6|(dv6)Re3?Pn+h@R#3xvy zdZli>VJ12h&hk(hW$y+6u=39#2v*P9NDJ+$T1=f4e6@Io z0?#KFQbF+uNW!X}%)2jRLMu@4AfE?d*|i$Bu3uHYuR_|3(FXcGF6I)x9~#vNjpy;; zwH3l>R&urL8}szQ&zkdXo>dTCZGGMgKW7!H_VRn!2g|vtJ3A5ti>v$a3luetf@aN| zSBF1reA!@X`J^=`E;EU45#))Vt9N?&%cOzaeXuK3-q=6+*$DqSloOQpvk=={p+jBf z_A_@c&N(YFJA}5U=O}X;&T%=Trp>~*B#dz4=xR{#AK&#@CT=_i9J$IW3~nR4xAn%} zwK?AY0A?^bmf@^0D(LhJeyxM_ZDkl%4Q1zT9A*u984^`92)Bp~;?a3y8j8V9(r_n+ z^J`?pe^l{@D6I8}uyYxd=hz}LQ>T?Acyb6II9}UJVRfE!AOWXQsE}HPf1D0NT=;O@ zp+v&K)N}F5Xj^w*TqD4V+&7y(bX;HMq50f$Vv!;%P0=~%h2ttjJ7rCrY=cSR(SAIvE<-P5KhVgeg_H)2K9wiLLHf~u_M zZC{Kr4}4s9lJ2iPXU(YNPDmdP@2Re`xT6k8I_u5BjQ6L^cM7U6E%|SuPnb~Sr>Jb zo{J%zw4`$9HoslPXB{=MZk|_A{qtlQS1sWQCsS@k zCamyK#TtnofWHY;6`hB*J9l?HoZ&2m5x@8h&e1~W-Mq8~eva$3Uekq?lEFU_ZnU5Z z;ka(qP{LJb;H>RM*Itk3T{8jpgb9+G%VUO|A;qUMe60Sa#W|QZEH7vkpF+>%AAx#Z zFsFUSZFT~L3~-{KityG!jWTGj<&yx!txlgbok2`G`voz5614d{rWc}If(dZH8y$XlBuummXD~uo{iiZ zd)5JE;b>oZdF;em;yl5_T=noOI#lj*n(jGj|o7z<^TSG94X|AFm z2&&pY4Z2L-!wp93)2i}Xd(5nIGQT2eZs^vZod)2_Y$UaR+i!jY7x90%y=TkAVtkq^ zUw9$XX3K_R&uf;H1fZASeRB6e%{d`4g;$(SwmolamEl9iJ*+t&f3I5`K zR`2YSfsr7|=}^P6?KqhD1!eprfI;V7%-At+9ZmmxXaiyv{inyV+J4k>wTx?S#y zl28h6>hIl}Mz`GblK@ET3^;g{pb@7&q9ML^AS?k|TEq7{M3IuYEB!W5lqWPJXSM|_ zP1<&J(Z1&}4d1NGaydy?&RtwMp{ir_9dYrIdvM7T;FdjY<2Li2xte)jYC533dYOdi zu?KyS&7#$ldDmoVdQ4Ig$og4UBdVKR?Hi-GXUx_3_=09BcL0(Bl{G_l=jH(8eOrat z$13B=f2(#=Q)U1%b-6~yN7^KYGEh;88A{q9C=>Y?}N$=Ta3wEx*i1c4Jv_mt<~ zFgRla3CpepZ|*mNfnm^;Ah$3yDRtS&LI3$wTb&2k$1LL>+lLI)4|2L_r99xztNZEL z8LSiW28UlpZ}2JaTM_!{7Y@F>lhT0jrf-J@Qw6NSiEHNLrLI^$i1u@ySj;xrF9Owj zfh>2KTbufzooq!lp}Q6h<`4cZz1K-k*IIk!r9pezUG_;gmn(e%8g}VWGN2A{#s9P~ z&*2wu%)fvXLsub`?;l-hcY4L}gw#*j(qr0x=8orU>uXk)-VKEl(CUdaNw;PbKCC|V>sa#9uZt;#V;MU~V$a-Fwc`H_}9@g*y5J;ZUoL;Oho6U(a4 zxWB6&u6g5|U-n~&=cqx$=)&#Znarv5wrWk7)oVFn|FdUfLQ#M-P&Mu{pnsOLTiLv4%?7(B3t_ylhQSpx9{w zNS}7!*;!?HO56!Iqo&9G4K3JxB$a2+& z3XXu9U`ZGInkv7I_`zOj&TWQ1dP^8UEt^gPMvP){NWlUMD^on7?%WM0aC9cUdc6Q? zySO8`&wvd=QCl|)=$TdmUjw=u=0UKO zRZ=jWb?_+&@qgDdCoe!s-M|P#L17)n4>2x(1}}17S^lN$=K4}RM=)>a`lx~!il5wv zMr3M=CS7K8)Ebqw;(QmZpY!4mW1aY4APZ2((=<2io2mVnCr~Ko>Dj*FDdk5g!Gki8 z>DGy@bW@Ka?Hdd6Px8i!SpLKKrYYY=J^u%Pfi`5-KMbX^ms~Gc=KJLJ4Y(iHRx$6y z-yF&6jr@w*`|AL81)8fI-sHOP7Y)NsCju=znD););QOv^4lT*SL>HbLP|i2U>)cgY zK)ICtnh(j$9v@;2i?3uVD?1cyikeQ9MSVqxdF0AnwS}@aV{zr+$*z$q0C!^uBNJR; z&TH3nY-^c7V7KFT^%-p-?I$jy;Ykd>ddvCA^=69vi1Ka&%uguyf-GOeR%sgX_==+L zS2_sp;#Co|OrMi>mZouH!oVmO4CXNd`%RuhT??S*Ef1_wXQVeQ9_>WBKlD(CP^)|I zJumurhapp5caX#}r-$S|>hE#ESDRHr{}HC7k9-;=({k?@bH?0H#-S zTcxq8CoW_T&eGE(aC{$j3xU3e&iX^|YzFaZPmGH8xZTZhB0Pfm{Y>E6w{|`1>dkQ< zslYUeggy<*l*gn{Z&t!GIDjfGz!r8q=fSJ@_6KoczzFxRND|fgBBx*_vaMS8_qX*= z#AVq)7)yw^Ib9#aJ4$>3S=@mQ?5If?RvPZ-E-T2PntEQtiAR#bZQHv^X%a7opw42fWDBb8B~_rj0;H2b@DE@j+S|QR_2f(Xhr8z;*HClb^gdLrau8-w0M6 zDG~bJ#d};DYM%%VnYvf28Gbq5;O@^wI2oCsVQb&^PYf+@7p~Pj`5AJY{*&fB(ChuD zw5?_z^$Q`=eqM3`RQ$1fsromOFQ0fr%4WfUGGk-u3I0F)Eu*D_Z`PeBS?W%O^Y^QE zXk=2CilcPLr$@KB2ZbYTo6F~+NtYgFqxj)6cS@EqKE5^hHFGPW3ED9N2YGj5;Zhc zkUP@IcxFrA4lgU7yv#-Ir>`+BNRbQm^j1&pmeq`A#S&0?u{PbT01>?TWci!F=)w+URC>6LQg zJ85#Ht)^kn-22I-RbS$Vh`em8at?Br2=q&Vhh%h^@2+QB4jfj0`f7rZ%!dm3=wv-p zbRTl;SYQi5DS^hXgM>r?0)zf>bn5f7WLb zwL0^}&w648OKS%KICNv9G+ zXcbTG+z3iKK7FITx$K6o50tH+ePUrhy#0jPkzNK>OWz^JBvBnU_Wbb9v+3gcwJoEV z9n39>j;cYA0Ll~h>nj;T1|=wq`G=J|SbxAyqJsqD?64zZ8kQIGU=?g`agAgk6>$vA zL=y);P}{P2OvnRF-w`%ARHH#-vC85x?%N8J$;WG*IdjfPEAgh{@A*mD%-bD@3BTT? z)~JJMe5ZjX4_KH^;4=;YZ34pPL&Ly>D-W<*#u;c#;C+KT+Et1}kfe&rvc7UKn<>g- z#o&ON=NSlZ}?i0j`pxbhhdW6;ztw%wDjNPwb7?BYzGo@ zYZ!ifUUGW&;C{?28m^aq%G1jm;tFOUh(ww=tkt`}pqC>roOq9f{oXbbt*0K zZGj(p{Ui>K+?a{F_&e;4wP)%yClnYC37)|jXN|4`df44|d(DzN>5D5dwhZ0cQDv!e zDHVdmTb8SNMMEs>^MeL8;tVTgW&P*R&J3t1k|JdZ*#frWssC+6@awoU%e%|Ih##(dI7bWrOkF3a$;)I>2u$H_x~&)>iq8lqU~zm`TTc-|9088!8`re zz+L{=19$n)fjj*l88~>A|9Rj${(ay#j{Fag{o8flM(+GyBX|8@k9@~}j{N_EN51pl zNB-!$A2t5FNB-@ye}CjV2>VJIlI~@Lf{F}-$ z;&0G|x?ro@Bfa>ehd$CTrAPf6mzUJ_35WihcyrWTt|lc^N%jN>3N#KX{KS zOU~u?N+zDlSH0yF#cHyI^@qy*YTHgEE79D7wcZH+Tq%fqhAUeo^OC%Dp>uhIzw|B6 zc~4N^s{}wTfXp~kK?<;zfgq|{H=D@{;t1s76>WmAV{HQ*MhAQ@72+gqZubc7PKW}` z!)la8j~@CDQ@T37QYOtW^mFNE0u>fqY06#asI52zsDCl^e!vFhH$v8bs_tWHHtzAR zLP{M+4W+~`mD4FMH=xjnSJsS4=iCNnqJvbdx{$DhxPgl2VjoXPSf#^ zbG0o%RXx~`;9<@~qt2Uw6a5zcZ)t>!g(c7s!n_;BWMmu#YG}mgr-EvSMs;(Junw9T z(BQHx=%4re8dg%F_b{~7nlRO{hUX`Vauhj3B4$G2P!(eTEuB}+-OMk zzZq}&zND?BgC^}0l0}_Z8wi%D-vkxSm(gw^+W>ENEq*TjY2k`jEyhDnM5p0nhN7nU zhzoc$8VNY)k8@2mr7jWj+rQ=ll}zLG%#J5 z5#Szcm=g-A88i%KhWzStZK6XZh86m0OL#=y*a#0%R)Iup6mJV1*C5>7Ocoje7dq-<$jrMGxm>12iF)qUST86m=bRpZ zB*_aQ9}Pa(LS$6#xhZetMi=xusB#B&ejqH+T|PY_d&&UE`Z)BxCe}~CUO4E0;4huk z3~GG3Z-em3TXo}y=3t>U8~L6^imjdQLtp#V*t$GuV>07k1%^B4L(VFqp3ZQ;f67~-+kZ~fPw z7|O2uaP6wskl6lcEw2s2M+9;|HUw_OLy*-aLHfIPS;u9l1WA%|)F|3svN{df?2&RbtY3Gm^9v z7cQ#@uB$mrR*IqFkZ$O++645%qv4+D&F+|^OU*Khs*)67;?IGUKGK6v6@!W?E&wGC zRs148a_omOP;ZP=O}9x1}fa5 zKq94!xQjTOPuq8X*bFPsD7d!rRevzUT-(GiEB=vNmi!2LsQ2m#+n025&|Ie4Fe~z( z4lw|&+s?~dlLLQx*$IM7bJ7qxeiHE^uk+Xp08b(Ck#Wi5hphgyN7V;ifa(?*(-Ti0 zP%eR1w3^V@{UIa=>h8yQC5U^e;##54m+Q$Tu+N$e_a%s`vAY3Ve%N3ORarcmbouOH z+_2K8;B*HrpD2ai6BVJ{`PVcu@41s{Wt&2z-ea#?NZ<%e0I9zsf$PVqU2<#1R;X$ z0M>C^@n>Lcs;C>zu}n|9OS>gcs*aiPbB;918}I175@MVc)jTHqK!8|(VtkrtZ&znb zjKk}x1f#>l%`5T+Bk6L|ZN{MtDq^Zim@s#E)3R+Bgl-xTL(ns9R=?MbRHPA3i7=N? zV?g7pqkfo>D;4$$mIuGQGM99x9i4w zXj&*$HR3b-IC&?%y;cFP{IdaU?tg;(V;_sUU_Jx@c?egVgK%bndiExtcB5}%;tAXv zfYNvCR9=e|aDIMa3z!WT_%84(03Ty67iputM}Tp*vmncN1L<%Z39obx3-@K}@&3fKu4_HBZF{A@8&k6VKem)cR3{Jait@PK2pa?hVFR|DQDIVLc&?us* z*nUmF$8I(((%C%cDt5~!DR3M522?!x861av4G z3gmV=>zEE%Jc;nX;t;VD70&r5GZ55Vo`@eJox7CTx^%>8T{3Y6gfM4NDoNdtqF|Y% ztpwmBh9iOni2c!)Y;MAV)6MavV-dH*I zz3hiuKri0&Ic7&huEH~08Xo=zKD}rXMr>$!hT<1=ABAWBjixZR zOk#62j8W;IRw=+%gx%_vlmG54u7Ph+w{vY%!OEvy3izy*A_tnp9m?OEXgX8==0$zw z*O&Awxn|8kLT(sJNQO?dkF>H9dDrAJRzG}t>)%NGNz~|{>su)WaduuW7O~80|D;SB znD-y5z4=|U+-h4>x=K99eWxXd;DLy7(GYb2ur+4D9`zB(9AY3c`SE1L ze#sz^8hT`aDWEWlc~MF&gKsFwi(5Rq$_A~pJg`I>h;#(-C?NX$7e06=sygo*15AA; zn!dne8lmYdGVM6P^bnC7!)|qpq=vILiz!ZP_d#vhP8Ced%AQhfD6^ zw4f!WE>u?|Ek0>KW#DX@oh5Udns86a2(%03#VJsJ&<{EG3G~11I$nxJOlK+ z0VsGN^Rv;_))1ej(#uls`O*(ebLCvu9Vq`FRon9SNcVyjXjs#0p@?PuV}+*qT{Vb( z?+DeRnj8U|MOt2Hd_)jF!}w~8eIGE&{g6kR=AG!U2ebbXzHid-0{c(!XO-%J( zBz?KOx(C$;25{>l1#VIMWWx0njXw>cCA_OcsluQaeZyyQ4?&D*m%Zg{*~*ZVK2%0* zILRR^iwl)Z?9sF;K9@3(_&N?Kl+Wg3_aBO^A2&CX4&Ikh!a}}<^P6}{iA>9m{J0&f zT@H&r_eAg8llPb11aIZ{ny5w6VJv}`aTRyF031ab2aykWs8}@0KL`{j6IO}rk9kuQ zD?gyZxuMm>VOErL_7ScaW-3A2N0HDiIY~nZ4y2{2eC~EuZGxL4Q`FZ2H|7-%RUUi( z1TQkc@T?8djMwW~o!fPYuVM8WC!W*lUZMM%^WcB0G}dMB7w1#Tc+q*FDP-G*GmkQY zb-Zy62HL7GN;JR?dH5dLrd%jXP<}aEwe})HfpsK`; zt6T~-B{#V8HiF7bLBlNa(~AV4QMJApfw8_! zXcKh4+4j`WnDDeCtHr|n0?J`XtE#LgMitESKD!1wa^pa@^E7Fn_2pG-VAzdN-)G0w z?k+xAgiwgz8vWPa1@iaC1^bfOKRoJw89#S}VrPF`3`n^{3&1kZ7EKr|d_rI4iDFK4bum&7yO z%yIAh;1OM@@`#0keCMj zwx2wE$mJDpQx&~uvv(hHh_VwV2tryviR^IAS`=0Cg{1KkS1_3qV7m0sD!IiY6#M4PbXTll5hQ~839Q&q^;E6b1>pxw#dh?!svWe6@8=)q zKOL6`ctSd;JBiyO@QLjn*rSt#l|by)ys<%@S(^&dL3nR$kwraK-@|lhsSn`juPLA3 zW!?(%f8die$l)0zdW(0$%rl4(SG(r$nUXBTM12H^R|3u&_H5bD<_vxMO7NN8*r?Pc zc7+csY9Q$^FkZ|~c6UWi`pmnsPj_z-?j|U8zxdsJCw8%549FJe;Hz=xCylm}H0W`; zwx4ltFD~jx>2!P*C0$F-O3H1x8C=USaca215fwOR?_vVsM6@d}7pX%_p2@jbhe?*q zNKQ+6i@Fj?ZF(Iqc^NEg*(zTv<3y(P=C`V4+-}dy3&Gp!cPQ zpr(~aX1GVCc;ppqU;y1~Vp*voefG_7(NXrR@#SuULT65@i`KG!Mx5d)ra=kFzgeJb z_X7-Et>GrU2iUK5JalKyGtIzz(CR)LigK^FMXi840AeflMkJxO;H2sdzFk(cwuKtu zH9Fp>kSL&40*tet0JLi~d%Y-kfSSZ49o@=|{)MX$DW)~~ z+<9n5Sd{ei#5!ut-zw>f+pGJ5BECFxWNO;5sE|-&`b-_fXxs9asz$x1AV65xR{@D; zbDMx?IFi47yB(ra7`G_97^ZDv@R2$uWOQ0oCcb4vwmEBf{q-y*gsGo=2}L`r_N(&+ z)s7IF6|huyjZ+jrk5vm9rBmnc)qzFuCZ989agTZEtOFccV}Fu`z@Dn9V?0&j^m_{=_4VM(}*=fNZI7kr>C zAh-?l8RjFrjJ5bcxZeQ=e<=Sn~p2WYYi&G=R7KLl}b5275SHrAj{7gEa zoWYUC&9?k(=LNP7LGeEPHIzrBYFxc^AJ)Pw#c+x%i&_1AK~M(7k&i!9FN!rx$2Tug z>laO!RYcYR!hj#7P=qcePfV?F72(jJ?zucYF}BUPr(UZpjbVBhzDk~&srR*i`SMOJ zwKJW=Q%sWr%;spU&|P1m$#Iyz1KIdKefivxm~DrJZXNkH#8BWnX*s>HB#591-Dq$o zH`b9f3Mf=@WAri~9y-#yU{BdfzS(8zKY*jMQQ0>DA36hy7X!~TSjpOLj}@nY7CkGR zArb*sTtJ4uu3@Gb)Cvuyi$%-<)q1EsIu#1BTmTbJ@!1FL{J)JDIw zk8r&)s;g$a%Vr0$&a?K6X(vgm_4a4Yz`<3gN#kvV=t`)NqW%;@Zlr+MkEEua2O9t` zkRAe(l^mE%J~s!I2@~?+Qm$-)(RyOOp(_@R&R2A-=`qUIAFl z{cbE*YIHdq(uil0P8Z@u^>s$(eYr1Kb{j99U%nM-+mq1ZeGGB#{XZ@ExnKKLq za&`$OF||gIP&zzPFI!5baHg?GX5?Bjs5zJtrN``F`e?^=V9>Qz@n2Pc#CNHraV0dE zFsk1sg-2vl?D}o@Zw=K3oC;kUksG*0aQRgT4zNq~Qr)#EDi-vZ#Pp-t01&r!m$&|I z-q>HJk)p3Kg~le`rI)|o0@zC@%mvWO^{~2&AJxm-$a2U-6Nds6BGFRYi_=I0Go^^z zP$O&8nTgRxMW23M0A)g)0{O+79y$|qpz5EFEFw9oRD8a|SetT~a82NQbF^HQ$iT7s zewsFGB{K9~FUJ?l1C9paV>WZgdLHR5&duhXvD;ZLQZ8Q`n=4jP+`$VJQ*s+`%Axa% z5~vXJyys>1xX1oG9*RKsFiqjUe35KXreykzye!32AoG`4aw`vFy-V|8R$W(|T`!I+ zxqJjMQ;M0Q>A21nMAjVPHBpYGoZ5{E@lGh=jDtG8#le*RJ9Q<^;aVDA?C3`F@>66J z&`;94u#;ho`T2}-&sLA#oUM7{dbI^LOoEy*k7eDODM@1JF`F*a!&y60_808+Y0+lv z8Kr%k9EkjX*n97=rn;qXSm`C9OD~~F6$m{Pfq;P0ivrRSq<3l30t5nzK(GJ`3MkUM zH0gvQs3;0ZQy_pyCm_8f@5cMSz0Y%=bKdKDzUw;QUoU@zwP9!0tXZ>Wt-WXFHv)*l z1F|;=O?WBIeS{}HHU7|Q8qZ--Teab#Ln7A}%CmNCVHTY}3UR^&*>!(g6s~$UMAeW$ zm};e127<$~ViP@Msg4^9iCGTqe|+ha*dJ-gXV1-AgsiiCOQPaG#9{Ct;EXM>+QC}x zNc$y`8w}YS-S=RJR4FC(h~b7_nta~xx^ynV$lX2{!&^)HGK*x5>ID{?TT8&MgiJe< z#a#+!0a8>)BUsI1fOvA3SgJ3`G2>z&)gL&R1JwYKWAOSc+Dgnsn-V)}B;oLAo&v1q zZBJQBSY#va$Q4pJXkHmW5(q}_gq_0)h!25KsBuBfdNhYRo2UzFg;-{Zg=k?QuS)!5 zQP2$A>)xBGOpxU_rHTFCS2kkJkTm@Z#2a>w0auBh- z7Pbn_NIaxP0UOC=@Z+k8!DCi258zmF2dBbrmK)QWdX?)$p(@i+jCMo~>&t!&eSWUp zV0({%$~4C1SSJAHtMNqzXp!wq4acO1Rs^(Ap+%1R4sn+})CmX?!Z)6J`;Crvq5yba z=V7{`)``MMTjc<9uH!M5J=|AFZzG)WRc>mY0#RU;fs5&yoMj3w=-l6{Oojnfhac|M zkxtj)c1!OGd|`E@7FsD`zh{cqyxOeZw#-i2=dKinik+uM!!JJx8_hP9_fn-N`zlF- zbW;NWnlN%|`?u?F4?{aiMjO%V%1ur7ue{z1Ae|w5sPfp#c-hT=O(AgskJ;pzL=bkyb9O*#gpiYjd6vh*-Xd*d&`f(I&s6g)IMjIG za13g1l0*r-`oGMN@KG}b2lws)749l^C&<8vV7V#`2!Rv==UoMi80ihgR~v&4IdP*T z?A_=Y!V;!WlCs@Bo+OA=J*y=~9eac+wXf_p1sV5wBu)>b9xR`$tkRD5dx*+}Pks5a z%b?0Yhgg3weMFUIkVy&@HS3Hw+OZi^WB);VLo)?7gs+cTv-dPqe^A~-*l9uW_qooc zM0uSz%v1>b!H8lRg{MVErj9iBc?>>R+u|ZtTSb-=!WSYch%U3N;2vD60~C;&EEv|o7g8qbdHHb10f?+|e!<8X|+ z(qsd5?4}4xhcGm5>{L246d^!?>Ve> z(~m{Zt)sokCpyW2f=h$a#F2Pp0I0e%_L4LU1~)Ia#SOgByE*f0cV$~0$J@ukOI}KA z&PJL>7~QaFeHn*58maqcskgUQ@rej7%QS$EPbdWzt8o@}NieH5ew56GTIou?V&g^5 zL*LZ=9h4d&s_F~Zj{6o{28{G@&6fbN%p^Z(4Z?}mtx9^M z=&YFxCGX~E18_2jyy6IKQ|MEP+_*gY$7(7fz6`2 z9AOVZiOP))(MM%N2v=E-wqV@s#FyzVi2;Xe-V(bp1lZU@o=mkpiH&F{a27*dc@6Nf z`S-~xkwrp1P5R_5jVN@66PeNGdmsEdwM)95kt1u^?p z*4Bg0?Y;ftMehiO!zEOo{4g?Zy5Ly@m7DE}3o?omf`&I86&W26tksj5QXQzGlWfSf#M?^`f(wS(0d_@%mw!8ycdk!?zn1rn(@fkeqkIHx$M^8_x! z)VrZ?){Y#p!2MYayu8 zLti7hv^+J${#E=t)U}G&>S81ywdrh<6AQe!TBrBVGjI03ly+Y>O1G_K|qg7lc)K4ns*0&N#ywG3uz5z<>OEv>2v>ao7A(9 zQ*9qUTeYwTfMo6)I!N&rGeWo6XD@oLG+Ih-yvInr&Xk5Mc|1Vd!QdNjaP=*aV>DGQ z-3`{OY6tg}A{P zs<9T$CMst5X6AgLx=OtR<-1HFCxdF9oow2p<>yq4sBi-A>7vrfIC-MRAc~$0E#V-e zKywboFsqC)p*@LeAWZ9p3>ZU(R>?;hz(5XMR0xP{{0Bnu;N$^P>_zo?Rru`i(s2Zy6unT&mOf^pSuC7($Vh9Bcn%61@5|7H7a`^zW z@8hehswf9wko+(QJ!>b0IvJiz<+i?`BVx;U2p=J6lGPJ zIo#~N&R%VgT&kTX?<1l?XhA14Iucx1c={?3CJP!9vxXS%-bYkvC@p88FFKl239wD| zxs%-08%#a4V>JaAQn9#6F7oW#Ik+NUQlj57@fI*b#vKpccqorMl<0e(`LVq3ZxI5o zAmHshbv-29Ued1|LR0HbruRMOSpdwq3{*S8u6Lq>Pk@Z4RWp(Se4s|9J1bV$iv`W?dzHCaaI`z|9>zwQ^kj5@^oBCEVU}UOh0_Y&MV@=d z(;Y=;n8yu(2V!c3XG+*7P%QRw!k28s3zV(AtkT ziWpG)CN%8XA?`Y<5FZjGa6eF$I-zdkFuoUKP9RiH9AAro0>Q;phNDo{RWCsYDJxPp zHO-ZxBSYW?=KWPjdrIE)*Q;8=0DPqlH#;P3E9%Zyr1%j@5sZ)0nM2~3txClzkW;X0 zGp?<2ULI~lHF3@>_R#=x8*;D_4&1QPNqm(Ks6J&uS@mXU6ml8ghDVMzI=ZG~sy1xH zH7lprc}dRgEE5jMI&)SIn_}B5onb%~09Kgqlj ztVlX_AE>U-N#};S7qt4xl()pjsf%iu)xgLlOdnM=&%NQO&07K{A4!-?_S^nehMXq+ z{FDn6MqKw(9dd+T;wIpqK!%>LtjNsD(os~-?kMZpQ zI+*-*Wx8_NOxIWP&D&UPL4BP4B&0bWT!e&Md7Uq4UF8GEkn!Xd-NqTb1TpMjo#nWJ zLVIskLJPX$&c&Y)tdD<8zpfIlY6A+s6fi$zU)cppYH$>toKy(Na9tKreHX)HuF!(? z?ph*r{e;$HXD>KH@?OSq3j2=c5C`xRLT@~TqBp5{_&(;)auFz4UDZb^lwX51y4H?k zGzqXaCYx)VG@(|Yq>BaZpCf7NhJaLzaq?IoF2m;OlZSv`C2m%2`UIv-jktkt_b2RC zsz}ftEp)CrN<1SR&MhtA4qZCe;_0x2qR@>UJI+`b>N5CNY{vR3s{n5-fjlp4l_~ZS zs#OjopY47R)o$ss)9t}uTd%MT*JT6?`y4axGK_;gk7I`+iSj2i05q49AA&A@I8tF> zm(`j2PWjrg_`%1a7e87m#-P^BRFKI!AS$M1|&$1eKN{YQ+*j%tChq z*h9u3w?WbGQ?p4XLLo)mKS-bP6>|g1kwDF2#nizBfH0lV_Hj#y!s8@yVFr=#(`YQVUcb5ZrJZrG z&{I{(lW|ZN+PvO|6-$VeCD863I+F3P$;9BWOY^iLXvwiEWHncApA7j1L1r)WyMvCp z3TrZ%YksJA0Va$-v~FlU2XOFR&|Jqb5hnE5lo9BW8Z~`;9ZU!YOpfP4ET%AE6<(7n zEFtDNF5ctDS_D$&XaT(oWoxs=5|5IrkE~LSMP6HhM!P zHb&3bMy&}zMf5Gof3nSwB24c)Eeg;!A9VO)&_fv7TOBW8q{kP@!D4W%GJHQQj1UHF z>To?pZ4?bW3*3`ElgEascr=Z+;sG&5-b{%b#Z)zTo>F@mjo?xJ}G8W1M#3}JCQRd z`)ag(E^4%qdkx5clf9tuSL%kW%-=Hl-{);eL4OPB?@;#tWE?v{``=6Gf0nqR@ZS?R z6#n;#8&c9YAd(jD&Vg2_4ex$Y?4tI;tMxT%@HR^ddiA3PZcLW6u3>cotr z&7YR>$HLPTuT^8=1y>IE7kRuNM>260xcI-K>2v6}OAjTKjeqf;!n^IY;^)3?gseyI zrKL*q*F*!AT*3r+rZ4^gasYmWd}wVtTL0EAgZfNvMh<2?IZ8aB?`k}1=soLXD|_e{ zIJcY7pmIEv>cvyPr{?(PMsV=7TM$mMa#maxO|2Qrco%f&4A2=@hA ziPqh^(Ptu&N8!^}5i28Nl_NNV_c64QeN)anQL}ft>VD*ewSC!bZ`SMWjlt#Yv`@OK zy2QypiR2h)_GMRdVs+^jAb@s1f_CEhPgcmtUeM+$w5U4Ay>DwXZj@x8O!!o4uTjZ? zO-?i5Z+>&g^OoCwZ|us-i$eR?Fx)=A65)Ew=OBS+>elS7A>=1zDCr60mABdAZ!ZCnk!}{@^?4ds1NVziE%`VTc zQL=^R<2z6!ce|q#OuD8SF=QG1ZSw)`#obRs#9#0h5>Y40$kdJg6ThE#K8ou!M1*c1 zRr6qr(;ky%jcu2>2nsHISgEgW`*`W?%B0)z{mnV#&2Zj8#G>|qzLm~Bk8!dtshTUE z{T1n8c?NU&*J2(PdKZ;k_{`;FdPy>`(ir8(3EmKXK_ZBH!}KIOrWe|XRcX#s5*!;4 z>Vy%!>`f58_@Y1bIf-h=bPKh<=P~Rso%j2?v5?FR{bp7wSlGT))R&AxPl5UI8SiU} zCAeI=gh!H_jC{A>p3(bkQU^F`=e7J^xbNm$k7to}Fz&oi*g#>#M4FyiIMZFO^!qya z-l=;0t*2a|QD1x=MSM;E1*Rade%vsqsFv^dD~3n-_l zgh@5{8Jvd`fuuxa8qgvpwRV@-AC`2Q4|ZqPbf-sr33|0t&hb;7_4svG7+*WbN6&of z^3T4BJ5nPWpN*4o)G2MNGCK$EB^Dw|i`%coCMG`Nis??4m}8dRcRJi1?9oX* zGH?BoZv=8HiMzj+JTZ{{#tLjpmpOcoGeh@IkI)G28t0tQqY==R`!Hh(Vf)MKLxrDu z#GJfYHJYK-=e8C}k5^cv6=;!ku|2z#On$+;Vq*RbNBtY3dRuhq`{qoA6__Ils5_5s zW!bWQ^z`nPz^+n?SufR?sW!jtgDze$O*H1*2X(M_lxo$@#v5}c0x6s14>s*CQ%qbp zkaLSsx$k#i*Gac!kUR0BOJ8Tj_R~Om{lhiS%ebr~n~?><0sVlVQGt2EHu52{82ht( zw_peiRSrvs{p!81y6a>*wPtSGW3E0?*_ziAJ*&hh zc)zb+P&E7`#s2!Mfeb@~pyqXfm*gt)edps}K71$c#CC&?+VM@_JLHwp&Z|`sAE8ck zE3z3q_Ss47%?~y+9p%z#89hPv>^+P<%aL{Khi?pSb!RrO=jJ`VYy7rO&TeN$dwtk%<5P@(hAy-1y-Z;%-ACF? ziYIz6j9x%>F$F1(gFRya3LCd&oc9l+1kKC~^h}dkDs;4?iVp*;?9A9o*kq7kA=U~( zskAI%`m17UnNB{;q(%Sf5}|pD&NFVfTL~KV)bHJ~dUQ89xI#pR#SJp1bu%twk@?tuSiAG_Yb&hTkhXxT zO#(%O7mVp}Cr+t4+ke>~3z8f7S{>7p6_Uu%`PmZHYj#0Pg)2dnG@SpDroRlFD1qtvLActVMd(pP18G3?sY$` z&ot&`Sh$61tHW-&@!q)kKqB)#-_%83>wpKGRH?7NQ>0{t>wPi{mlPo5zHm3;Y5Bg^ zzRbqnrTOlK{u9x>Ks(gywzH3YvhQ?6zZ)`b-xwUZ=+%V#(Jfj2sWF?TW4qSONw|Sw zfMe;KQ(e1gW`k(5d){NeoRLfyy4^tS4~tIb$nuZ3ZYw05XY&u)ddQF7RqU)8^h9G7 zch99DZhtW9;~)~!Dxy?!Q9^T3S&KR;*ltjWvT2&LOF`hnaQv6}QOMGK6o%i#q&~lTP5Qbj; zIY+gmpG`ON$dsGritv2L1E-8T&}h8d(+d6Bd!=se2DiE{@Q#Q|G?PiI_{Y2!A=4H9 z{-Q>Cx$owK^^>n5)DD{aC%J=6ssLK`H5fm|63YLFzzkT4iAqVfH%8-*@9d>B4Gmw> zGe$vg^LtG{IB9>Br|z~?zRBv4&E;*(k3gN7KF5jcvjDvt{vPgF?xpn_#q~MaXss&zk1i+S!4dn_IslmQIlVcQZaq%)bTTcII3B*=T5c$n8%fFOektVkS(N(M>@ZTXTac%r8YRF#(m3D z^a6UmV)EU=#XG6k{%STF>`lhI4BOvVJ#*|l1{6YMBkt0aM4{YX8j4K4(y7&JWt5OK zXKyfi%}gRHxHg`*0&dkXK6~Hqo;mJ*U+vqMj_2j1JXcA%doDbOI5+fJhSX%qRTOM< zU6E!Li}wEUHeHs#KT2_qUN;qRj{4rs zId>JIA+28qwQ9ZBqt&7^-`iKdGrgyS*E5QYvNZc`?)wbp7{GqlfXAz zq$cH^!n@v6pE`!hn3jyN;_wfxb@>s4yKYc}Evt;-Rpr#W@1Kd^n>`K=N|BrPYbw+} ziXPMxz~qjy6+e()C2h+OK|eF^yvTTS=!*@iJ4AD#ZB0{jZ@R)?j;L14nTX{_3zHG$ zhk0kViPcjN?3L9${PE3}h?L4syQ`F6pEa+8??a5*xyXm*e!btZrVzb_8@yz$*~{@) z$IVn3NH4weCs#W&QYJBk8!DsdT;%0;1SQ-r71%tt{ANJyG(Ntw|Ev07~tF-k@DjFL4dF>srQK|0cv)?r?|Hw(|`XUb!y`H$N ze4ABIgkjVzj$~j_B%67Yo58%0EU<*yKmP)23;z=yvonvFlCK1_Cxs9C$RMv@kULIgXlz(vq5;`0nNiXr8A*<1wywC-*V_&N)V7Bi7B|5x@P`}U7OvL zXM7zRPiQ>+zIImwzx+D#)%h2e4kV#-A4s}|bh%tvp?nM$d1bh*Q5JxMzPoKL ze*CgukD+Q)bBgl}gchr2z@dyKOXEII^89OXCkFihsJkG-!u<2>((zd!m4VW#$CXx4 z?h0L)x=$agYibgo9A#a7bz@C7>tcwHf8|tW<(#y=kkWf4Wruq`1(sa_$~3#Fx@+&v zo+S1jFZCVSa}9XQ7{cipbRmz<94JNG-SpnP9j++G&XJpHn_ix5!)jud=NGg~gy36b zcpzF0*^7zA{~*yhGuALjCb&IapLkAgi*cX7FDC28P0kBGiHyF^)j>(mpaS3BE;^St z1?z6qn@Yy=byxb^f>TX6-CpJ2NY`bxE4T*Xa^avb5t|?zF{Ns#-Y9UK`WEXzY^n7X za*y&pVxBfS*^9K)BcEm+^2Pc|N94Ux%E`xbKADZ#S^beOg;FwiVBFIyl)3!?5idn-7;j zZ$O0po$UPwU$`m}!7C~nkwFvwV&&KDwmG!kEy1~&J&ePN?Dag}Q&UJ-xHJYhS(mnx z^S|AWzlsWp`QcL~%||lt0b?~uUuCaNx_zHVRb6zYspfo>1)R}YtXKZX)K=vD{p0&f zj~HiZKHmK3YEuK3Xl@K9%hLU<8;DQdF3$XLs}h$?`N$i3uldTAF^`TX!qwj_BeuVD zY#wq z#xlQuaLo+bocZkMx3urG0yB>|7(N+F4q8$)9PevDXs;W2%+Aj~E~HGDHGFCAdjp!J zP$>_%U>zAL_vF)+MkzliB;`{GjRq`#(e0F8pJ{}*c7g1<7o9A9cvsH~`nZ9^prMpG zsjM5yZX5>1QmmsUw*!F=Nt3-odMsM1GsJ#67Xg zD>j8xkHlKG&eH8@Dd}OMA+IFwXsexFyz=56isYXz^b6jVOCZMF+-4?Z7%p(>EDEN$+*8?0r{1oWM-ssL)7a8!T4)oK!zO*Xz*Ia*meyo5SuvUd3wgXQT^s8jynSIniuzqUsZ-C1?}8Dzryx8 z*ov)1F#bYcpu2Y0PVos^|4i&L{h(EOSp2IwU2&!@CT)Y8a$Kx(GfG1!Ayo@p6>E{4lay)ESAjbGCb!ECw54ZH&QH8uNh&A*2YM8rs65(bT$m+ zyVF3P!teAX#p&Ifxm&Z+8@a|~Cwo7$o7FDUY<2^Da!{_*T&>ahmrhw zFthm5yksKd9(M4T3zgkFEstWAX_N0p-P<*y{%&ij`TE>Tn%S%^(q5T?9PPIknh|}) zH20~7MY3Jex$gmRi|4HChPfa)B5+%hXc=F8@34NTWWl6Kfv@7DK?2xf-`;HIZK|!-51A#pp7+i#i7yi+tN%FY;1G>}0g1ULf3dCl)i+tE+4%Z! zdLj1eu-;d|He8bbRaROilJo1%yh!qK zWG!2ChWn39@a@C`?PcfU4}$4tYzDVj`XAn~4)0f~E4cIReO(^e2=BVI-xtRmv z$1V@re>RX%>Fp;!dTE$5yvk*}XVwbKcM?^xB9glQs_fe#b=+P8OIlbT`_s>{%Gjx- zRkb_(gVWj)a{Xx&W)mmXUxc+->;e;L0>gQyc%IlM5A@wR;;jflNwm1%I$*#R?Ok-; zBY!`4jQ0^YUzVC{n+@~v+xSsDJH^u~_2paS2H`A{$LQz3^2YrI6(uh%{|}C)zi^ZQ zT&}--7_d_yB~z-u{agN2Fj=UCqC6A|pd_9CQw}C0Aq|B>rT(~d@}>ejai?yWOTJVl zRR7c4UH-ZqDgn>}ejxw75#ai}@6-SPL*M^+`#`zvp64Eev=~G9Ogp@o~ z0R}kMB&2|$D8L{9Z7B&^**|&)DF42Ykyn%eMtsVVl%lKz4EAfJe~$7WD9fG_0^qhJ zWTm7OzbVWAB@RF+(tzI==tBNfF#l~9e^XYJmyrHLJ>;b1f6eo6SpZOK zzX|`}CQ%Cd2SVM9f2|h@=pVc) zz~ugF%AEEN^zfT)z`_2T^8Z+?f6D^~14QcRA!A)m(M-AUl>(fEQ zWThnjG*f_cR@2uf5cu*fYgvU;b7u# zvwvLy>swatba20}fCVWlf6D5wD_{x9%KxSU=)J7`Zz_PU%F6$y0w|cQ{BJ6NMgYs= zFDk$+%KnP3_@nnzW)yytIW^C+zr5?eO#43$`?TXfhy6bUiIkFY`OD=l2bk2qa3W=) zfW!S4NTlqkp*@c8t?{OX(6>*Z zK&lWE^NaDbLeT)OK+ZWOgw(XJ*dz5;uMpqlLb9`O{#U z0SuaETWs820~;jtKN@#*+Sk90tAU*c%=}~IQLZXE#F_B_e*T{X{&N!eH*ssfbn$de$xA8x zy@mdN2;cg>&=h`eB)~TE9}DdRdinS3>z~561WOdV}m;V9W zGH@#R)6B^K9zD?dkBuIn{qINr>vZ(@bDv<-7YPH?{eO+X3;XNIQR;6qt9W`8`QMpY z;2iYl`2V)Ciog{8#{}?yGp~yO1iowENdzn_027dhK>AoG}xLJNCGrq`e88F=N(*)yBC#E=_%WOdDl8Ost%R6u{_E zS=CZq_=q;^n5T)!d#9y#X=CHiU}LnPy>7QU11tpqpk)!TEQAB0ejWGS)=dspUIHF; zA%^Q+x(!Wdv1r$vhBi*O<(kDLvAw5+9`xcl^2e@5N-A4Ed;z@)i(0m{4~Kwl19r@cG+vm(|L~ zkF{-N`q*NB@D?)7OV3o`D-YjBTxJ7sN0hs$&JhyI-!w2jS7EE*57}>w4CyZ+NZ8(R z&^qz&FY=2+K~U=Ffa(D6!+9`zpv!JS5M3h9TDg4qeP8x)E4U3xT@rz$zGA}{ZQ^0` z0z=|C&bY~;*EuBNOCO_RUe|%IhwGmF~aO+i@aGAXq^Yc zN{#+qbMg4T{ZPHGlUdfA-HoB@uVbjQp+S)Wly3!-sc661JEDI_zDeqz@|<{2-X0$ zGcTQe*voXb$fEl#s)i_F`t-zZjBUG`O#vRYy^L|7uV~lm3~t4M6SPWBD?vZRGm~^) z`rBn@ONg`VS7CS(CuI6A>Ci+;$OleT3N5~|#Z98`+$SR1G@Fa!PZrMdlBQZ8jZVC| zd;R)n*aoFgJPr2clFm|Oq$1gd|89E!$xB=_?&_5H8Xp^PDwr~^_3sqNH=b;jdaJlYRH>mXJXo#j!=m-~~X zC^%n17Z#_bG*)FJUTPaEo9T(xamTi3vq}wGlY$`6A4=(Kq_QHS#@thvwij52GRXI9 zS#{MXNFI>6kjJ_{1`zjrK*P;@E53mHM?LPf;npv;>aaSuUBT`<$u^qn?75I{)8<#hX(<>1@j z9}Dfd-ovrQ1h<$~LZ@vaiG#jSQz)3uoTTm)f+_k-C^!Ux1?U=oN8MRs&@=bjn~JTA zcR1Mq#5|%}u1ykNwA-Q<4eb(@2fTG3+0uAkkY+HU2})Uz{+;JoOA|?UPj)elV52Y! zdoa)RqAJr%1}Z~LxepoIt->H(g9(I`PI$_i8lmnBHwyt0NVI#UlSC6@4Zq~i(|e~2 z!a#{=G$ElrsOkw|qea_S#q@Cz!=?bEaGD1R$E=>%2CO5x)9f`dba;*iO!#@$ajZ*-*iF{g z6!c6&Q!J*6fPK~UN?itgg{*mpH}`vo6QpjLhMl&)DvnDQnYhX-N;!)}%Mk6lqkT!I z&)Juky$9!xfT6E%QR8<@7g5&Rbu*pR+jI`K-|W=V4~JhLga9U0n!>%g+bwiIVPm@i z&rZAWy%L8#(E!vQ#2A|veNgqp(cNmECa-W_#+_4WYl^)-ofYah*X`wHo>MW3RzkVW zpe3klS*@7hZ8bBGx{UhIIMCIq@87A~B6Aq&8nM*lL|(&fk5-yo@}?~mkKD$9E~n8xg}*KW=ZJv#p^7J*>X(I}l1y;e{ zXl1am{iqThvO$3K@$eij-$&p^>L{{lwYv#eZ;c*jP-k;IZ{8?K19eR)gZ$08O(Oy} zjy=>|&Q_M&LH4ORByncmPe6#cvs8jTzGH&wGr95m0Gi#rKJGWYje}M5Y;Uw3iyUYi zig7db?Fp+WFF-KQ=sZ96iH?0KX?e{eCNa?`T6cYJK;`%{@Z)6=eVkoRqw_QluL&6PmFa!MRZ0JIA4%#kc%)}4Ala08j8`yVYjPJ9p^ajke$*MLij-3JSDsiarIc5+97vod-TnXW5I`E zcqE4JHF>Hh0b>&x56zdQqPBvIr>pYHCXE9&cXPz$ra+qb1QcE|4P==8B9UX~(b%4Wfm zo`?_^(akB519ukNTD^3C>mO>8njMHe7z6MCCh_7KAvi zB2`v3H(_`$02zG*{&_vaR-;H&{UO!mOd=eH3fbp;HX%Y`mVKI2-cX9IBY6hr^cnn~ zbcz-PKs=or3=LInCLn)Gb-{{lin}{)*TeHfRjG}YM?KC?^+nW>} z(#Em!ml9M+Zf91(!)#S!X&4yDA+ScM9pyG-e7$%GmKenUVt`mcj*S0Uf-~>3GttI6 z`*;Ma1Cq*xJgK}5>7zjyasaTuX-UV@RICUfhX%(nzJyU;G}*TC1jv+uHFeEfv>n)@ zy5A*N-M2X6K?|bl!gratKT^syZDLAbB>8tw{!uZ-okB)gjsUbEUSKFn6KLf zl)6XSB4mFFVYaM zNC*deJ*e~HjQ{M#c+YVb`>&Tnc+s@>^&EHyNoob}20RiFQkkaxls_T3ZDlKw1xY&650Owh}?O`09+Vc4&cL2hsuHLCZkrygV{*GRg2Fmt%{x7?%Hwjq}Hrt z9txoH(Y7r-iQOGdXg@mQW})-6fyUaOM5(RMPJE>11yCx0q80UO^OSjIyJe7-*uts; zjD{bk(T{LMak0l-6T&nH%)}T)uHAD<3Ry)^v=?WjP!8XBPGl=ERd1VTDT|Wpz;v!$ z#%h(2Q0qDnj){YJda#)2odHNMY0RwSdi*2;mp@|?Z`=iB)Q0lY14)$yUh=-!ql<`q zq}Rn!RzB{}nbYd|q9+iBKn22BDIyN@(y`>Y&Wff|kM`LyN!!(sqiX7-Ezk!IL}~lf9?Oy< z0P$kKZ->jBaID12+|*0#bEYKWreFqvB)g0g&sU5VL7U&WA#E;<=^4%K+rdb zXXueApaG!&LzvgJ7iBv9>qQRl#mKT3Xgxog2j`_LGTp-7a^xN5lF;hi=F~ox%yRh} zb3JO>hSi=z4!Z&xv>&oH{PN6qI&gf$IyREb zI^?xHyh)7e=#C9}wzGvRQ+M{Pgoxaj6>|=^yLHt^VnJd?6wmB;v&BR5;GKH~$hal6 zAkqUstfw(19F3VjNL?at05YIcM5b4)WLQ>?(3>*nMlfJ3InA|f4aBG_i#}VI+zzaEtBCL~2!i8Y%B{ zk}6xeVcsS31){@IYK~9G+XM+_CVtIUHI-zkf38XBqaaJ-QwDZU00wKT8 zFJ2yb#?rt&^Ux~H+^p`7N+0j`ag6Ph7GPr`wev}Jdn!3Y1zoEew~37hUd&4q!FlQ2 zJrCQSHtH)>ZV<+aJJWc{p5ZbbzHA=_P~h7Oci${VwU$j!lmO2ONCVZ-%9HZo?cqkj z$%QBuKTHI)_Q*IPFqEH+E%&;gK?E>%dD!;<;pp4LnePAp%h((?oAaC}N(Z!CPUSch z-8pqaIZl#ebii_2+icT$_uc2dQxr<6gjG%@W}CA6n@Y|RGu)LJQ<%*5{=M$s<+}Xg z+J(LMdOZ)1=i~W!u)BJsRmX;BL?SxLf6sNJj8mosiI{dB83#w~twyK(Fm%J;-OTWs zjDBWl(QrA%Nt?T7Jzpn2iZ-*^fS?@VB2rlX^|Yr&Ro_l=Ql19}mf_M+ez-a8zTphs zAs))xV*d*Mh7Xb6!4%gqyqmMP{`@)Sybtg?6pf`QiD?sU;a-Y8wyXe(tY_c^> zb0vneniI-jD8Y{8G@OQ)kMAeI{}I+D0X<86qZ>Hf+w%wyok?E!;@Nzox| zG|P$cu*>S+npFuf2*f+q(8prmD~q*&sf>1?(SWVL8M52!IyTV3c;@~gLnP}2`Pi%{ zC;Fw_J|E-exK7|0fWg0|JWQ$Wv+Yr{H^fy-@1=ekCI=K)vK!o6=`V$^7n?(|2iH|FFo`xBpQ#OzJC}wR>dHd7Pkhu(mVtkI zddgX?uefBRATj(U!D(n#%SQ$bFibsKMrVNGPKIUO#AXMe%4W?@EBupp1Qya|3g7Vb z5M@F3=IQS`46iQTt5Lw?y=CA9EK%EcNK8lZqHx8j;Nu|Z|G^TVyT5I%^-G<;^=SWVG$I9gOU zwu3w~OA*B&RvMOYAC-|Hw!sG99V<#sZ=KTlb<1Oxyh=WEa6Q$*ZPx zlp}v0QC$rzL{6QF6-w?pMe6#fEl1I0F`qN#_dJ?CW&GMAvo&0nt@@ai`%cc9CodZa zSlbR@Lkil`KN|;PtU9=G9YH(-=O3>U#PD2_q$H-~5Xt{JNHpvVBYaRkv+gdf3FKB2 zZ;mM6C;LaC$g_^$T2$Q=JGOD6&(&n`M^1^@UJBMjtSLU85-s^ z4aO_GU&TAHPqvO;BnDFSh=)#6Q?2=`XI*;h3BCn=L%}S!v0r(mni~kfiPFxCi1MuO zcKiqrzey3%%6CYz1&$s*E$W!T2B>uc*`*7V`iX*j+xKh3DJ5o4Fw5*QU!^xD?aqY* zOELVYZ+J;g{q*VyQ-9<2_XT{76qZ0u=?OmK93`5wpao2x&QA75MCz}3cnup}Rkbkh z&aN=?!TlEB0pB|MOl{2@f?`_vl3NL|m0iFz{G<_+-C5`$pa14bcV;&63_j&`qttT6~IgU{yRQk`$2DPe;9@6&l|niZimcgq~*Ef$|s zvHNqKmbl!@c*$FUJr?g#N!Fo3=&Bh`2e}LVLiseJ%8tjD|3EeR z?}ouywcIG!DxC?WN`F!^tE1@3{N&H=d7Bb`#EYWXiS`gSGPmNp)SN+trSXI6Ux1jTVP(TaSmqO zzBIT#lPMoa{M}sa@Q^ zRhbrIf(ORxuXZ22@ZM`J{y={E?>?5Tm#Mu~tI0-@Sr|~%0%2T@ooL|pyeZZr)eYBQ zHOQmq%aZR@4?m%lCjrSIFUdkRnus~F35j`uJWo_g>OrGCo8MB#IbjLwIRYc4g@^mU zD)H52L4zZSJ7L1ONEKB)&5ye46qDrur~L_H@9(n|%Rlx6sjSTEJW|1VOs}qRQ*i@# z(uXeGF7)a$Y<_Blv=06R55p0=^S6@EY33n!b*B#_5;2+T@91;%v3<5R3=g350G1D4MNJouccKXuW5ZUacC%LLXjS|G(eR- zjdqsFPWovjPHN1H*>$+k2{0isxRxR?v%CP~9{mt|XYa_qrKTh(2x>d+l=as$6_$>w zUnoIzQ943&;AZJ}b8b@Rqm33vpyhdfFa)7Tfy>mFxf+O}`wkU>1ZIKnccRbph!6Bz z!sD&;C-y=kbNwTmFT8&31MX1lf*C=0lPX6%pRQW1p54>czI+E*8Yz>q-cnWqtKaAZ z7qK}5Z~th2MTS5vPs)EX6oYsE>ER_ws%g;(wRJKf&_&)XfsyYB(#j>cdzZ_Y*k*TF z8^k&qT$O6G?@Mb>9yU-Vx&E2aW7T*iFLs}m-u=`-LwIawi%`D_!i~hc^NXYp`sYYj zH8=}5|0)Ext+)Dj)IpX_aG?pVJ8Q9yia-|_EWcRS4mWbjJSx_|k)b`G~tbLMl-NF2|C^#V~nWxxInp4U5wwur_0TB(etVhM#MQ%G*2rjdlV zq`2EDyHI|Zv5F0^Y6wrFrxfqtZr{g|jjj33@d_fZFdqj#SIXW?4J1-U)R}V&!qdn` zf4{S68OsXBXrB1{Ev@t9uQpjgyYo+Y>i9bI*m+bE#a22EdBt3=8f*=_2NNlaw@;V&G%;ahacB+ zLW$rbDnT@mCQp1DN_JQT~=Zier_m7sB0- zeYJA!=m08HH8b?}gEB^ukusL?P-hIgsgEKeUXzm)soJLJ+F$0Xn+>Mm5->=|l!Knp zA62SwH`>gHlC6QKX=rQpVX{I9O*Y>JpP?5SN6LmrqLI(nh1-S`DvopAXB|saBO_K> zZdPziNY6Q-8cddAJvnvGW@1$`ki`AZ4=RdF{Y+&|h3~kt{x{qt>qL;DrBV5BL@Og>8S$cG6>BI)CO@s=Aq_#R4oKx+)oh1mC%umK?Uq~QNyJNI3AepGAT);_J-@5b={>`;;*e<6V}!6hkVhW+w_M%wl5^W zc=7rSjDzW`p@NFEf4NiYozZ69!SZQ=$xf9btH`aFBJq`maH<@09QLn^KA}+EIP{$8 z=nIt;TJVAu4qwUNIR_Xtoun>WhI;ym_B~)gjq0;qh~EF(g<@cK z&I%Lw-dWY5vBr|20V{*~7as;*AjqZ)$Fm|;U}Cc>1C~nnL_Qg9KqvL@H#0545DL-U z{gyU#Jm4zTG1F+(55%F>?lc}KD*SNEdd%-AAGy!(edDw3kp)nS zgxB?Eb=i?VcZ3SA*VNM)zTG z2ArKZfeS3RZTGlX!UcGUBM?4jUiGmuntdj6a#cG!6U<1-C1GjqzE{gOF2uJ>U#+7fYb)eKhuiy@-XfUFLv9X~BEE^mrQn5)D0+k?>Jx z36@v8(`pIN)ox@b7(QdD;tShyRd6J~@MP^E3zjUS0#LeMU^T5J`>*+*gWVpb7{rg))rGM7DKR>mA?}-x54RBh9IVD8bC!V+j zV>rGTH`_CTdq;g6j4U(V6^8}huLe|N^+-m_rNJ@hhpXj%?HhOA>L1K+V7Z-Ky_Obt z)c}b(zPMqacWADO?QEum4F0BnQXd4?C*X0-xYRO_~$>Ri;N z1E37{rdq8Z=d!mAKQQh)>j>I~`_cxebFOS=xl%voi$YFE?MIkUcGZ{K=f@Np!cS@P z)i$z;A!n2`JgdSck^0pxueQrc_?A=i5sF=xh^G;SMZp);`evIqn(I0|SpOSu=yyj& z8$&8(i`kqWh=l8{ zq7zm^E9mrBgx@ZHg;nVhAE^7BRJH2G6bI+8QLHcpsas(GbdM-g;YKq9mWO0b&|zcI zLX`qML$?gAJGq3yuFgY7X^&jXMp^Mjp%xf7=Xz;?qwoccpUn(J%$-@gy)@xzwGuJh zsLG$urK0LvkXA%@MF<(qt65tgz^c@TX)Xp(%2Qx! z^XAsY(T4{#@U&8N9L;L|52LKVt`sg~F9xTL@Yg1Qw@uUKjf<=4Eegndlos=!@!wzN zLp2=ayY5u04m?}-Y&^QhEf=+^5_nzyF!Kb}Vm0+o{nCO*E52&$I>~+8P*b12GFNkXBt7-9Qm3g1^y%BJoH-talKE0zVFjEy{ zP6_m0&sm>+J&3!{{p~Eer6HcYejjp(LM={8Y-q$g zfM8yDHWjv9rMKKV6S)-n8ZM6() zL?M>gln#dTQdIag&|a6%4#lrO!^L}>;%1~8!zF4mvIdgMGCmmlC$cf1wT|Eu)IF&z z_LxFj^3(jyHeOM>jOz((Qpm}C4TWd(ja_+^*rJBU8?yRA^)aJSXPX$=*L;_cV9{E^zmS^9GEuJ^0$8g-1+_zaumfms|6a78e1FLuJ8 zC^XUKFz$%5wH+2ZvBOdCItOpwTvPX0G@UN2JvobE{e25t|C9J%dFvDO1b@i*J)$U? z%4POBLN6y4%@^<8yfocu!P0YYeOS<7gd?TG4r|MBm1`qzyVs^`)r4O@J*P89Q2u6y zw-sgM#fkp4;*eJ(YQuZK@IWn6Ctbt(Q@$Q+yd`wA^+tNL4$dAWr#(KY^O9xLoHge4 z^F2C_x*5jDUZ%u$mY>$xJG&L&Qf({5+*kP4*N;?62vTX!vvFadiW)1$h+&)O8i227 z`UE(2q7wLDoY@c9-s8`cvLQ$`e3c{56kT@Uj!kQfK5@PSj=HLT|Fa?s);5+ukskK@ zr&S70%D*srBjIjtG(hINM{DJLb;4djm79Wpcy+EGIVd^NW)8uqEkVLOsb7UBk&lBnP#M}aNn^@tfPhvBe_K>RfUSI<5RCemoSt_>R!)Om3IGauYmGIV&gCRXlm z8+K;mX@x;b`A7JP4MR3&6CS#F-kH>qY0))Yg39byP#z>%6P#IsvUBYV5GR9EFzdi; z^XhU?zbz6eVy)fnzdQDcB#<&1wi;wQ=pGri*)SBXSqelc&~=` z*qKm{m0j~3+U**iIp#m%wa~^3#*xxlir&x)1ZGP2sp{xw!_%p{_?e&UN^0D;wLaDD z?S|eJUPbvRVzuqOB=M(_pSd64UKNGWw}}HTL&Z zx81&niL%ctX1h{k49+}1T48(6I)o$t1y6Bwe2N@0)BUrMa zofUp5^E86_GK&&Uey^10>KQ^nKmxi@ro8>T5j2=QUnf%-010JFqHs!|?>ctV4*oQUrR_emmiG=IY6Gbef~XSq$ox8p7`ur>Yg$lE8@*>x_u)q z$>VQy6d)F2YFbb!N#$H$Ou++~kSzI2RQC+gW)nY5{{B)S864WJIoakgeQEfq9uwJY zroW111R?wTlNye+&_67bs&UK+OCx> z9!cHP?{;QF?xQx!uGBzS6GF}o zJ%W98H%m3c_-d*(WH-dnmq{^5XO;vM8*=|0);CviV*$QpqnuMD!A-w5sIz!zCANtUI~%(K~AMAYEIY3e5g=DX{TN#B^i zF-j4IX;D#X8NEJOA|^rWJ%T@*ee?Pn!|S9apmIFtfkE3_=3PX|{F1^;5-p|OshiU1 ze6QqhW)o=vw727Svv1Md1mj^i!PEhzxvtp$Zjw8MH(whkvl4REsp`&G`U^dW76B%A zKi-`_VvxW2(45E>caC^mw7o`oc@S-fezsZemeXL37*q@cAboqvls0TT!01DNoZuyFr%g^g+3Kt~)vC0|>@JNc!%f!n9_1WzHN- zLaLHetr%XmK6>UX>$7>eqOOrEG_#n*3eAk8Tn9ewR#!D>ZB_^ZR#nAzVh1~}J? z4*62MJK2@`emK%U0JzgE4t^?!E@%wLqp$b&DDLvqtMTUfqWsK!M;ZSh(MhLD7gbpU zSJclMKEx0Q$wNnATy|OR6$GVitK1o47n(4(zdZu{q03V7@zaIVTtI$D~hP$|7K(>gzA)G6F<4| zaFP8u=@3YlrE1mj=%N$d!~MYL@Z%3sCg?a)x4^xAvmj9>PN|Wdms;74`*qge8|;oawYkZ8#w3_YsY$v&rah6;}6 zE0bkxnB*;GVkM&G$yiRylwvg|n@g6S0&OhImK#({S&TZSDfSBKU8bK8bMy?=A&UL^d@16gh_F<~j_} zd==a?3s<$D6d-^0`Z03f07z0ZJEfJVMgCRts)*H%ON=_l3hum_@#3#sz<*pg@+VCRZ z4#7BH3|Djq0TYndx1`I1ZDp(xjHuA`S_ zz#VEWZos&YTs`|XeYRHLp7Nb=rbpGI8DPfC9D<6rUKX55ID zUAh~B5w@pr<-quYK25DA;t9<;Jfsx6amo;1e7=HVEf@T-;Qz;@!=aqc;AP+6l zhT&8}v$0oTW-2Wa&p_4t=W2w@UUzs|R=*ik8aG}&4-BCni+d&9jp=FZO0=pt6L0Rk z^ozqfuGBzo?frqE=vND?&GY5#;=r&rU|jE^4XQB&Dy2KChH9wPAw_)e8~sB1MstjP zN14JDkweN{F5L@;G$x~CcZnlHnzE}ELYHeHcl*ilrjqUp`qD6hM@w&_Lte~U7jd|f zS927t>hPVAz^zzfb>w^e2`vqFpGMGLjVerjfEpb-`PfU6u8c--LNym~&68RGYu+s7 zT=UrGRid#b2~KkL;zr-ahGmC>2gAp`!wg-FTRIjchq?(I+)3z(b*ToYqCeU=r6*sO z^YqHmgX_i!Ck!*ltTaQ7&$P|vwTmKm_D8^E)AH44Vy~u^=-Z+2tc^fnY(ZPy4zYM1CX?*q2Z4M*V7jEZ^< z4C&H%v)iG(eIQ(+vVSRYZ>N~5X5I7Q^q);7?pHol_oa8R1bsLuJcCzAGYdQ++_i^{rc8yV{NOMsd(Z0pT))IheRhxt z1LyW)YV*cobi6oxUw^N_)>=y+mGRSam-CFgCY|&D(f!lNez|L8W=`^q9%{TO;@D(T zv6{irBj*=Vj}kT^tqfrUI(F)YUSGNF{9CB;dwO6KYa5&bb8GP zxV!GBZpWKf2PuS?n79T?Nb;EF=Z#Vx${s;$XHcK20iT}Q0Ap`Z`~4q!)hD`-@-O+| zAk@a0%Xk>e1H6-q^F;q0@%BibLr=Da_}BRVumLppI8esOn7-P-g%b%SN?k+wy<)l= z2U_exbZ4?Fq$1h3^m_9ox*hshsQG>kA8c@>#LrE;;wELTzY1hQ{vSn+3*VWK_fFTG zQrs!oZ*cR9qO56=V1b449pO!0bY76{;;1{)$>5!4o)oxR=%uAVsN)kp7zw)KIm(LvM>Xupp*C48;HiDTE5Vq#{t`Jf{ z)3SMB9Ab223T+<6axDLHSZ} zUgYmC({3I#cK!7q%2V=k9_AygQyIP4Zm2)0k7lJ+gbOcAJrx{%3_bdl`aOXx(2tni z3hb(-Nb7jC<^uGff~@L7?=>FhRqe&em_cSp99MN4F#?yL@tKzL2UrgjF0vIoMI&g1 z7`nUj+3ZjEgIl)`7pryWgqp3&ZIXr#F?_7lM}3lqLS{FmtD6x{Y}l5U2+*k$AmaBm zBo!dPDhqpr$e~PDw!jQ~TBpctdw$KzHQB3QU-+HDsyoN8lsBS{5J=nmS5%v$+^Fy4 zbxT?FRvsUhQ%nr2w;!L$RIO6_5QL3%?)7O{Ax3j&T?jufy@N6Wl1kKu!CTt3s;N`N zLl>HL;DB`;Ekp~(*JQki74 zlB~Z#3v9n0T5fzuUK?^e*HtQ*ndg^xKE3*8t|Fyw&9MzTs9txSqJ`WPsm((US)bbA zvyUlED(pDy3W*+!$iV^$C9<=i=Fw|hfkc+)F)tr}zCLd#azP2Ws_k&I1q0K&|EMzb zypQ=b^KgF?N@~nBmnEX3a11d;tKO7P;Hwfj%yTzS>=<6T3dv6^q3=)tW}Eqb3)o-Q!H61-6H@L*6+6GHY>MPqNq3_oCRrd1gVE z%C`kML(THT^4$Slnmvf&b9)EuRkD1B^QX|N8!S@|1o6hhsZP8rZbON@RO$;Scf`*5 z*EcM=TPsu>n?i)3kZX0?c2?Ld%@)qoDfAy3EOF$^k;aQsP0O~GB;|%#>~^NSke4s8 z@80ICts_aPS}wAb1xK)}6-aF(DABKbUj8JKGX6fO7XzFz=LKPK%#L=lA394ruAu~7 zg1(~$S2K}T)c;)n5yoZ0Qw{HZ?}v8mAC%M;$atslORJaL3#P9Ezv_%6q<=gO{~-i0 zr1sD5;WY^J1^V7-d53FbnfS?(Ge*Sa`rRsgfW(A*Np2vLdcocd#?7!> z)u<5zx}gNv1G1K57*%={;ib-PhYDA9lgH7vTBI z)B$C5Mp<<%<=L#80cWhLHTYrub6@=Rf~6YA-lzv<bC^wIa=ANcQv`Q-K0_+`X z7x63XB{`~5+8gLVP5kYuqim%?4^NtS`Tf*Q)DbyEP%y71$gcngewZYe4rZ9u&gBc1g#u`jAB z)7fF}S09MB!9h8y5UP< zaZ;;#bw*3^3&!KfqwYfs#-i z>&2Tx`&Np^G=}e+AuXsbB1ewmuL-;uZW4q99*Hm#3td_wae7oF{M0c+0o$s+$=B zlkumssfX})P4B>d!KB}I1P=maCpn04tA;a@R5d;yv%J~&`ZRr#AA?rcpy^_B>$$4j z_op>N{0ZXW(OJ}#`e%PL)K47ADPs$gdK#S? zx+ojt$Kbjh%0>d(^LG@z7O~t){Z>(!eKo~9cn1mpMN4<1^*na=nh(wFW#%N|4Ti>j zP>BYB60LJGaPW|s(VAoK{6c>2%qq#9{1pZjw8?J%A!a{uucLLn?{U+KeO&wipSUb= z;#w$cD60_Rk?ytfz0Ljoe{z`OsPHI%Gj0CUXfq=+Sf@Nl-QAflZ}k*dc4dF*8uz^w zio`HjlejRVPXit$xnp}6e=7{#yWG&g&`ZxOPiuXw$(ZmwInQ~zmhU+s<*OHF>1mF> zN~#AOk|r=$D8RbVtYLiepQn{eqYOQCSpJDrx1pv-=kGiPv>82i?B8OJecG!Yvv-}hUQ)Aws>32Y<* z_y7xBD{yur9g8+nfI{1Gg#hny_Ef7YFPr?*e(hK|F}+Urbq#300@uS`e&e?;DX<5S ze|w)&sj?tZyQj3jQ7;wV-C&0$X8-s9uaTdw<~a!OW2MKAb6m8|S!4;wh^|vk*ZW!7KFVjMqHDyTq?|g!X^msG{Or|a-R*!Ha>-6$_W8C* zGtmz(k(pup3#SZgi_DknpxWwaeQcn+n9QG#wkT9%xdBJcYjmDzbrRVzmf+Jtn&FQbD-AHn{wn+PusmQG49ei;>J4j03CWz3d&@_+9#KNpbV>)pl+ zWQ?}55kR5v<{R@+)XABR@ErkXz5vsB;1sOd&S3Dz3+X;_T zxq+z1S{&y}7%0X~IR8e6;hWIsWE=_euL#z01wb|v8!U}6e=(iZ?x=rk7v1CTgmJ@< zOMb|Zo7#%6rfPErS#IV}zzBpZ=Yz2_#?AB-1o6mKyp;d~48zkJzd1M8#(7|@#$?}O z9sCxLUVwW^)tZU0Y96_KQOF+XH5U#-Q4N!aB(?hd@YsvzKFG;Oz(}!@Q30AKTb7%R z4Q|%Lh&W^`+IY4&H5r?XjeYW)7E_})>OhQN`$ECxx5PuV&HCG&-rcdhLjG56V{I+r zCP>vu@|sns%ka5`QV;sm4XS#V!+j#yG~KJYVMyG-*w_$&q}p`0iy~Bb!$U{TGu!JR zjWUDk{^h0UYx$q(V5hi&QB&8yJaWc)RN44&2SxN$+(3j^i=sDR{lC_q5iW}#+MEi= zi2c*6Eax4)e-J_>8v!%bx)SNyp5EDe5hJ| z5n^pSwPBb}4EcS--K~8aFZ!ZEKW2h)+XnanFg5!4pj4RBwykBp}_28k(V*edPe{jLKs8rA5iz8HBvKv7kjeE|uS1G-z^2Cpi(;X<|XuZL-F3R}_ z&wZCv&&yp8APO6n8`bKf?xNfR{9M#Z(12X1s^>7y(=jHN_lWOw?*Lz zHUANrKl-2*-}WeTn!56qN+^N-vlU>ar~YY|V@|COgWq=L6&92TpCc(;N4op8gEk~% z9eGO3#~hu({o{ES8f^8~kM@#`jo?USP_RE#vk^U|t(=P8(tgti6lI&Rv6TAd3J#bb zz%e$#T14VwBz4dyz->IIQtolhO#9f(F=i=R8s!n|5h8D^AV(dd$V=y=+q9Q{fsUQH z0@zD}y9mayLB$yVX~wri;Cyzc&q`z+N=Wp=w>e%EZ|upS{6aEjSbkA6P;Xs~^nRsi z^O5sz*7p~;^~{T^ABOg~ZRk1K5i^Li{pJcxd zFlYO40h983gJNwP!CHOh_uD_n$&81IsnV)(T55A{zneAoF#~g$xV0o<7)NSk^y3>b zM>U-0pRZqjsThQq#pnc>qV?DW=QQ#ITHmiXqPNC%LZJ{9qO$a#K29nZ&$G7i&J^nZ z*;C6yS{D@+vHu!jLJCKy1*-X8Qme6#Jm#1Sb~&8X@`w-j3Cxgl(W;YdV)`;5fp&*8 zZmEJpvl+noR0Q)>Hraw@2e7;a)A6#rQv>r6`%KRex4!c1+uXaW9H5eJFBR6eHL$KoC9X^l?Vy!K^IP`DNDfKt-K|t}h^R|{P;VP#J z57x}D&M4f-t3xq9q1|gZ$?o^d z_<>wLBWLB_RS%-PlS6greXQ(-j6dKJS5JK5e-UsLsqPbws`2U_IfY1kk$qq*V9L>^ zi_v3^fAd}_U&OJzmiAzx64r0$VSW-VYB}>`rVXv6l|jW!K^u*%&{3Fp*aFH$^0^z| zD+=FIkHb&L7EKZ(TKCXLLYFb&Q=S&xgrYf@A;~iY<3#Sfw`Q|^C6KA82sIZK4w_^` zEm!aMx|i^(ZeDzvpB6FFa6si0>!=y4yMr8Dq9)Me2I=h)n0vAW$Xr#wA;MRs*ZJu~ z7e|7iU%Oat?tts?OcZjN5>5v*d|&XaBN;x;K1*|#m)p$J#c89QHHlxt{$DB&51Bvs z1mli^j=7LTxVAbUvqt&%!x9Oq096H}u8nX)2}N&GpDF*SLQSfjQkPiUsR8)q{HiA5 z℘M*hUB zm=(DNGI{$g5L&0uQ_Mi|OR)NJ2lo2lxuA5g*K3i|X%5xVjkC`LC#_LLE#(3;vyKAj zOS_~vN8s@!2?eE&qz-wsh`0G|bBx zK3WTw$rK5hqfv@s`(vs~ettIy1-np=HiJ~ofqWQc<8ujqn( z;XmkUz#WlHY$>V^VFfmI`0f_@$3tT$AxJ759-dz?8%n{Qb79gr3?JNe5J)%=Zmdjv zPQ`{i!Sgglkvn2ZdzAzUl*E@hfjRd5t81Wv zbNI{`{s~eLA*nyB^^RyP{S%DmnOig0w&HV0eCVK-GT2SyIPvp+OL->R8;fk4G2a&#?2;Lm$Jbdp)4@L#2NP-(Acmgw^onkF;}swf!ZsUPd{jK zLeSm7i>a5MA(~nmK+#8rL=*fi7+Tz^kL_Y}HG_+|KFg31-@6zpm_Bq*INhGuV(K{j zQo-TW@s@*sEkJ4?jYZPzoUD_E*8`cQgK8FBVy?1 zO}ya%M+O_b=6d<`j5<_JxFPo(mNx#(bC~DsS}$tRNU8e;<3@}a^ihuHd>80dX(2a9 zy!*U~HX2p9!Dh%zm1wm7n=81}cQJAIVgadkq*FtR4$dBOYTbgk{%d|TWAZX1KZ#J5W9C(7Kt7&y9DWD#1fqIt)R~H9y zB-fvgs!nCUByQ2ery`KskajxEf???sN!Sj(`&CCD!B46juIYuVzDMG_b7P?~(k7QB zQbunezO8{rjsYF*r!N@ry$=MLLEN0t?4ccfJ7%vUM8vi@aR!a?g8e(8`Ko1{gAOli z@zoZkrxbsERb|%zA2mlk-HwM<(6GEte6ubFY%#1hR?Je4$D>Weq^JU)5fxE+N@iNs z@alw<1^sXo>f-{@UmuC9$5Z?Bwy%(qRt|srF*h*KdMFIyp&wI)W7?M~Q?AKa0+sk= z(>I@h_C%UWO0p4Z2k|EbeDS_fjx|y<2bof0RxBFz#!E7C%4dz@wUWV0%S>((k_ZZ@ zN3`b0vMC%e1O$Rg-Q9QL603!)o3R@Z|C`CLsydroN>X4ELVy^I) z5}-SroGhR2xXuQgA$`nJ%9s{fhX|Hd{X-?i#6r=mt2&{fo4B)>Uj@bo>)~D#9c3vg zHDbhw2BcBNI-ienFi)4EJCddhw=u3g%sJdkT;NCf=4uEPQU=L>y|(xrHFV$$e~1ts z`=~qBKEwS{IscZ9&mkAV4i+{bZM8{g=j(%4Ua*=utQd8glhw!q^xo%k7SWGx7RWO? z>7y$1Dg$=BkxDnp#tSehJSL>S`OIHYi(o!Vt8|&9Ic#Q4cygAyqs=(=y;@L={5N?V z9vsRiNuDENa(^?ktzUkAaPl11OA`MiLJWg3K%A)pYtN50mN_$-V8OwCWiG?EHq|5J z`GmmCcCZa>`Fbog>Y=0j?YS1~$=OxkADa7JnzQ4Pe6_nb3BYijx?PD38jdJPu{x!D z9^y!Gf3UKvW~LWLrHgM;H=S7_YjUX(NE0x)!05$RD`Ec z4KUq_K5B)%qU%z!c%=pfuQar`R|klb%5Q>>xD7TIa4p2I^UJBn<-}WL5Eo(031gLFh z^{^3KqY5b~uAAYGtEnsWzg^&g0oh1!DZnP6<9?-%1f+pJ~8YYg9FswsHZ)pXwueHyILR1P1oa5-X92IJaOEgWaiZWqwT$; zn%dU&VWnddLXqBrAXXrBloBEcq7*A4Js=8FMWjO{fq)HS0~7=l6_ggGDkUTVK}AIo z5&;PTRC3S;<^;tvTo0p7(hyLJfwQVtyH?adk5H zcTrz{QE8QnAQNNGCw#Nn)PTDFlbIU5Az71uK&Wvz%) z1rtVtj2nmWbqW~x3>H8qd3YMHaRyvYK;^Er2QDQz)YmDJo^1G%mBOlC>Jqu8TG{BS z&DxjzgKwd30w+|6Mw=+P5l4Gw$G183o;^%akt5+dTGpn>On}17+#)gOezB`NSbR3; zv;vLyERk@)XfHQFioM>(#DnA=@BM6}^9kq?<#V2>C+#>7+x_Y^IdaQ#-!2fRxe@70 zk@EQ_MsubeFvc$|hGfF|X%#VubBgz(EE)|3KSgv3jlq@2q<#pV)gb<+n2?}tQUJ*= z&Y?zVA98Ux+^9c z=|p$j+&1(R4G$18O)4l{B4S7!S3YRLPMsYEr~@O>dpf1j zkKfd@6ZOQ1;VW2Q3NSP<2A3GEV}5)>Y5?2V6EbQs@2dj_!rrFwLfIo`p4w}x4}W6- zo6~0aQIIbRD?kvUbc!=O8oDQP~diG#ZkkEx1mPH}rZLk?E(;gT_ytM_{750q#? zATmRFqbMdJ_J|0MB`x07jd6#&Og6&3)4C&Cz+5dPdN;}iJGZWQ_~d0lzt@bz4Wt97 z2qB!j=v3s>fx}gX5e#q5fsSh_!)!JL7}<-{2(wZYq`1hn5n>RrJM5H}8xE>IkUAFv zHV~Kv=ZbQpiw~0B(&K?5Fee}IXe}LaK;&alA4FPl5;~fm4<8KbG#E~uRemwu1xE|J zBhHD4{eq6_(kq+kVg3@HnZj2kWGf5Mjn8UfQ8luU<1{{p*ogMvMQ);gR)-pHgG9oq@1EvtAiLu{qfk4(_*L@H7^=$drxjS=n%Dx1*v>t`S zuAFtfZa>?kVG5#0YBII!FqH<;Na0wQ^Nj{*iLGiv3F+$>neNXI-%s*jmYv#D;B%&( zfEQ9Fkz8*b#_R5cS&hML7VEDBC-4N_0xeU^hQw^a6DnrRaEDTmNwZlX-uSXevc{e( zI1ma&DotU9oZ+>%$k5l6pIzP&8K`<_boF^p zjVg#tS&fF}__D5m`{xsY7sEP#MNQ_%&%tK8kW4mOl;JbfGg@a{y^)2NJmZ@H^2Km~ z7c5SblMu|hNiqg_a`$?2a|{Uxr-8>^P?&^9)lsmEbsjS^1`*wae*t|Z_j5JE(av~P zq;-$kgQZ#uJ$S$ev-P;<(wXA4)lb|b0hBQ%nzRVThahXx-g5;J>x&U+5PBX15^-z` z!OGW($DfAfG1|4|!DSmINHfzyG;>_2{B{_kmjL21jAb0m4j*>+qi&-4U+f2d3FD;s zk%p1Nej9Jlqn)coB6NL|9w}MvLY#w$ZBjrlJmJKUQq$6;+(INVMpQT+WJkOp?9f1~ zU4Xs+5GT4S&~Z{)J)IMb=w?ZP&1-3dGn5PGX+0n#r<7bo3PCGkhtLnc!l48=V%EyV zGaER`D~pXV)OyMYgTIzGXoSx7eV@)v+x&VqG%vWMQ_dINPoiOcDq_IIt@utJYAT60 zHK};X0?eqrDQGW0B1n@Im)vzJ$8`wJTy!E;kl%N}XSTbHrfq(3j@QSRj`=ob6yY92 zIs)c4OP-h-{<2n6-e{Yz_!TZ0(Ni;nbUUcGII~`ZR6)cAVuQ=}wsb zkbQ^{;Ss#a7p+b?c@fFDVG9F_L+cXqV})^1KGHEBq7C2mfmd-aA`uUCvtGf+sCHd7-a0|dT^62`hyDQXP9X_7~f)kTS)%h*cxcIF*ZUu2$YwNPHuOmuHE>OSl{BZ7B2AbV{95#eZ` zwg`gDu+jH=z;3q1)TcG*!Q-}v6IUveY7sU12V`!6n8Z(AOXS7c)hV&Pwp+W}E7(0~ zz9twU8Gz{>*l;5vcK0g@DR`i+_)AiBLj*?BU8)X9>r|GGxL?x4<~`n{efNC~uyWW{ z;dq2axclTY(BF?W5Y!<>^rQn|UAGITuUrMZuRdD_2ER(`8LV|ivaE-Axpt?VL|t87 z3!`Chc>nL0q`>_k)SDEq9Q?QvOiyRa$+V=*`xe(w;b5knzczhL1Ok-?5x|=|Hd$9H zgEv1Q`6Wk46W0Oo8?ipuGe@&X#e}Mfp|*MX&*Na_=Q9pwG;@1j)u_pvnEvVQXaInn zyI1iH;e4TgRgh|>FsDEj=^xr0y@zBW?%?PD6)hJerPH4L&eKCTsL zGJO^pvVS|~l<=VQ@2-s|!ws=cdzXpcRd)U>yBg4p>=BP?y`n-Q1DguRfJ?5S^^9+l z`*0tuxPv=evT5 zOGe{2pV5_ZA_M48UAqvm%}LMFW)%hBjM4^xv4W~l~$-sjS;2f9lF!f_J(<1%ZlCR}m`~?4|-!Isu({TN6gJT3)!h?$wv|y9& zcyVr*$VYbNGs$bX1~sx5z)Pq_GmdR24BQ<)ZjVW*=`^5h;k`Qc@oJ_OPdJHpb@=W3 z*zkDoExPaUUn7pdLOy#XrG-4ym{URC@%NrF_G#>BVXJ3c7rP9ZZjkR1jF~R1;eRYU zR6}PYVO%H6lPwJyH8W<>p2}@$EEq%=4nvm8OGzz1n3JG-+JZk=aB2VmQU!G-iB>JX z6iVhnctEnRUJ>6X76*+|lVSV(6tL7@!(x4SvA)^u$y|`v;e|-6zo^eI7wS<704SM+ zJXhlq_u{^U#|iq%i!_{}Sg~zYf!YqN%GFgt-%zSr;Q&C*6CaXHJfM8ya%o@g_BA*n zM5LpqQ+Y%4$BngH#N~-5BKYMMr-9>%Cz(o46R#p%O1Sf*qT~f$aJR{eVPFYGkQC3Sk;mk*i&E+jP1laXg&a>d=}9Vl0p;1F-$0OAg+1zhUUo`x-GC-3M3=Hv1q)x@`m|SSl85*CF z5MRW4DH@%hLXtyh^@pZ~gLn56;#$Z&H?$sw{sy&8A(;^NEvF?Vlf7btYA>#lhv*tN zH)TzEH-g1rHT)rWAUQ9vmbOYBsV>9CN#Z<1@&y+d$F zZZ9X#X&cCdQY>^phw$n=n&@7|Ejd9iu?wg;j_YnEcVoS<7}qV+d0g6)7ZUUP z?M_Ru(wfN_eRSHn9*}Sn-o4y;8u#wY4dwtZQE_%0Nzur_9M&hEs?U1e-;qoPpX$4- zK@R5E2}@uRWvCK;P~imd4u_=%;Y}czSDlvcQ$a>z4yznzH~S7>o`hb^3%&=)lv;oQsd3DDg4a zCC^~h@J?mHogdJ#IOmk6y>L3KYV%N*rPnLI0|?j|E<8lrY+aR+klwTlG3T6lra!NP zy0{!%s1B^S#lxv?&xY;`NR&62_E)iEljXh0Y<3VxBn&C496=V!?EDDlBr@;CoP#mwD^K4kpy>buoWFl@v$)l zn_9{cA<$0WPW6jo)5sHem<8v+jgSNqKG`^Yg6AQoOgxZ?-!7wve=;$MHzc-CE+^DH zRG#XTLzT=FjY{@l@KRjN6Fe`P^i>cvI?1?~xkJ`n79#g0TjyBMFsB|AFgb;;n};qG z@eZiSG&fgDCgK>Tsjnr8G@^-Mh|mn&1(-drFm(%i{6Jy43olvhz%b#!?&~Lrw2U^% z&~l6U$4kutZhePlDR5&1#K6s zO$2gJ3I5a|s&>x2>yTDZn~3iLJ4BR(9f+rD7=ALFRjUXPXMB192lD{&V)B895X_Gr zTqtKW0#zWQ1eZZL#H-jj&C8@uXpy#*Gm=YCML}O_t1$~ip5tailjb7M3rOhH3z624 z1bz)LhnVvy9*(tT38G0WzO^5P9%*e`yT02hL(a@88C8=1F@jFq?h*&ho>sVmks-!n zx&*Q+Oq~R?s^*oip_=1=XHwDYyP#S!pywZ}>kAP`-_#aOpPk;u-%PMJxsIHb=T+5X zCqV3b38Xy5<9#}9j}j?B5-c`~0o_ShSjClECO^`Q^-c8f3C`<#1nCm;WWft?f|D(Z zg0%N5n0R1-L@^j1D!MGfaDt_QWtMS`RE0KUA7N`vyXnz^~)N5_;nMb^^}7&v504-FEM)k)5)OiIUiSxGR$`4^}alK5O)PaP6My zL!UvO@%<3u`)SSwxJxi?EclxzTOp-# zu3{b|BLa~H$y650ltAan5Xtwrq0MKTkUFE941h<-h&Nbu%VBKBahf@#7 zlEIVufq;#LIl^?@j$q!B4zwHSS`4a=UYTI>h7b9zjm zQADzqUxLVt z`BLy|ffy@JAeMaybceo~uhl9o`pjli9x;$D?OBMYe&~auSTepM4Kx}c3{BRauegJA zF%xX?x9)3jCdLULT3JBIQQgXNAlvjAo49ZMq6ntKi z?#^b5z`{Ib%eSghjOZqC*kbGYOp{$~@lLf}G%@2OJ2Kd;;R8fMj!0;4u~X$3;nSO@ z(`y59xhh6;@^OTa&wC1bclykLmxq)iD|IaOSVn4LCUI@a-er*T%_*ZluceU0S&bgp zSIKzv4@H^}@KhuQUTy4#iDm=XW_F>tdplSkn-R%S77W^}EJtEDHn)6R@i}L?k`y}% zkx-(w%q0C31c4151>&wM4PAnrJIn~#ckfjjnFUC;g_yhW7?^!gi?1ek0gX!?EwhY+ z6Wz|{C60`8eIKGC%2eh>I~GV zkJu|<%2e#7aQ#bV0L|;ixe8#NfhD4TUPI=amti_%BgGcb9lVk}c&Ez61*K*70yhwc z%2dLNzZm9p`{Kcp`?yy{RTx?m03Je@v=mb^f>&ljFMdfD*Y8w!vM~{R9SUTcamvPd zojTUwJ^>wkH>&Vu-mc@zfuIMRk6$hWCdsD+X-9h_C001VGT{9?qSsG>4eHR$SSGz^ zHX3fYduizmu%5KIEI(A`)6|+cKedp(Dw;8?vc$N;j){-mBA&?i3!x)agAi6!hH*i;8_^ zcBtSr!=`6|-?RCPj^tVAJCEt~?&R2IRMw}t_<9R4#x=TixK`cA8+S2vO-RXGGOo4u z6&%EkO&515vQVI)2Y+EqLgJ|?JlK)|MfC0y4b}5;SY(C+S+DvEo|y4)Nnoas%jFWX zL-Vw{4Ur~-NbmMCrRPB;I;jk3DQBpuxAXz373GQ8;B(aYt%6p1jgof-eN8fLS@i2y z{6lrk4D(UtlAy~UAqQ{1=WuJuxha{T7-3;{ErnI}K7_x3z@;ifL5Qn;VV zT)}Ipa15fZ;xzlo{5L73jgXdpEZRy_{7SpXQ(l<_Yg}Ivue=O^hWMnA5p_9fMOzFd zEiQ-=F0zF~&F;k>RI?`D6~vtu7blu-dxGa1KNcF_?gjL?L_!xQKp=_+FO_x>9q5Y@ zB;jkH+;tm95v3g za;~N-rz)!oZ7H;n0=;LO;;(h7w((OxZ%pQo=*hcBvBIq9hF-BSMq_Zn3}-Q0%RQj0 znz7WW6F@}arc-9#y%0?YA$RHk!qu?;2La$Ml00`Ch_VAwkY@w^#gvi7c_XDX36N+8 zLlHf#xW-)s@e7J!`xRgD+pzrl`Z{ssXU0`AB&lE~8e373hA9o%m8jG|Z_Mm8H1ooG z3;<03%($GG;B}3|)MJ*vl#*aP#w%_`={?bEW_y-3GZRJHtokC$fCqoKh9Rn z^39KJTw@c+{Fbo!chlOCPBq!r_X%<`5UdZxXI+oxyt0mbk+{tRWB|psVbTz8X44lo z#3H4@B`=Stmiv|#Y8(!kV(m^L8F$#AXF~KEt~7@MC)ZO*h8lv1*t?XpV2unJ`vgbZ z?U}oGn?nXpD^`G~m(ZQsh$Km~z1-(V)MRTz$1YjOhRDevo+I6k5F~>@%I+BtR;jU} zY$}+rsxX>CrGo_WM5`y(a|RL#n1~q>Nn}b9<|07&1#!Jkuc04)uy2y(#=;kf6Yf`C z79yQtAV6g8lUGy26O*Il)SdUB+J#fY_>u$Ly+bU1hv=KYhCi@BOcZ!3(BM-U+X9p$ z@zcAWiX76~qJ0|Htd&f~Mm*ZI^0IhL$tX;Tx%6};!AlR|AvpL8qZJ&=F7ZU7D$zng z@N<%Gua|`c0Vu=qK)G@-9|bh&+3K!8KL!$mUF^y5q{aMn#QnBQa`nRN-ZzsECO7g-aY^f zh3uh{*EC>)gu23v>8))MXH~T2s3qqro|?7UPdvG|(t9{#?0Q92RYt~TNLQpDPC;whi0Vd-zpht2oCh`1tb4|y2YL^j{q}# z7#FCTjp4;C$!C$PenO~-hbVyA!R4!S5?`-Dk#3|uWe;^uZSKWq+vPL1@tq-DPYu4j z*F`#DDEy8L=WkjIZ+Yc&o~-?h+|TcyiOzw_Llw5RV=76M@T@T-yFz{pdi+2zF#Pcv zr#`8kkvv6EC-Ab8I7v$l7I#wN7VP6Ihe)?Rf4+M=qQPO2{`l5$1&8xXxG4gz-|d4L zA3fUi%(=cf4WN=2HZ#aH|Fm!2K+B`vB%V$!NMa!(J<1C8?dyYnfGb$6GuP6GFBeW> znUpkeErG@w0HT|Epz;|2uu2M_0EV8c1M7s-n1}{XdC#q>2O1FRUYnC46dqZ`l7lHQ zu^_mHw*WVMW)dJByeW7!%gn?)){shrL#@qN=kU+toY+zU(r^cPZ;-eiYmflxjx<~fFGjXja~5vsQe~E3<#e3 zeft7de9MU$fJ45QT9nAJe+jkIVKdole7h-TJJ#z$DkRl1>R@at+{ML9O?E-u8lOB= zMF+T5!A!;>%wrNIdRH(e{BmJ47IKp;1l28M93mf1v0X4jP=|88q7LqDX@s<4*BPdC zE(H#(ZjMxVZ?b-${Dg-Ebx{G3Nq@OYicbcyY|~qWP$$4;O4L)a$fRKxd{92DDxbAw zUJNim03SQhCBQF~^kF6eK=~~m4_tKf?ZX-RswiM>eol2ItA)G@A{mS=6g|JBlA4SO zv;`pEyTA^e{!NSpj|+U@yM zL|EVS?-Y^#Jej!wA(?dn{S;o z3HMr(s<3= zbZ~*koU)>3y^Bo1@0pb`*GpH@HO1zTY!GH^MvZay(u(!BbaH77kYh^5G8`M$?QG1) zj8LOleBW&g>LXtotUT2{BZljzl4a6G)7U~8@-LK*JWQr(Ey+pCAv=I)-&|ot-AHE} zG?lguwh@XLLs8S3_fbkuEPgk;X4QGlYiV=Fs+{?X6T@ITCoh!~8q8J20#j>rzF0S@ zPr(aP2HTFq3*}Z?$)M93kDkMcNUr#11dySG+t9w$J|!5Ydhq3r>fXq62wSB@Opul| z6)kY6Vz-tgTDQRsVT}_*KRn`(Q&mkz9o3mFX)@|FQ6h@3M*{u`72PmypVGqXPzzS)0l&`tkX;-KvFe`!F2 zOYm<~$p%OH_sjb)GT}m-WB;{8_?~}Cgq!?x>c+_bTp`@-kE!ebF?G<__}iuqj`HuO zZjAhkK={Ab{{DZL{XW@@{+#%KF8#gdkBR^3!~LBT|6d$9W8_~HzyICDe_!^OiT~%` zt3L8y%>3_M?f>h{|Khs-Yv0`%`A=i^-(LBDZprlZ|CsromhA7GIr!cGezE`6j6Lg3 z{Fj#882Qgr&h*dq{-2+5`UZbY{`Y$O=P{>m1pfZF?e>3+^zR?RUyeHM2Sl-0DDCK7 z=q1g@=19>L`wL-Ux03UUyMzX@FH)EZbV|;nqMaCrQdQ?voqp>1Odt!(=GDkm8SF(} z&(^Kf=SdFSot~aYB!j z@^`BBDGSN%PfStSteYt#LIx$xt?#EE52I}6RHWNEdJ~PN<#VaCH=F;q|0?V>nX0 zgXqJeNr&az$7Z}2YX}7MDaO86*098`{0kd7`6kdxp9Q#Nf@s#7p6VXlMD-_SJlOMN9e65FUrcmh26JVB+Z1cSi4tzdVkl3D?I zJ!OW@C%k~X6patlED>`r(sY8)m*W?IX;yEzqC{~- z-sAT*uSdMzyVUIe6iOLPRV9c^>gzXdp(3eym>w~OBMQOW-jbCfS&J3N)w4&ACrcU= zWI%m-u*UT5@SvUbs&ax`X6>5zRE5!V?eXDq0;SWuXE5JLcs@nay{hQsX`enb&w=jM z9~wy(9_#r^S8;(TlNBc{K!GritAsk?;mn%5msYm=vmJK^uMwVF?(CD@r*SV*K?R!w zv!;$9nu&928LaTTIhnnqoVo{dH0X{}gUhs|?+U?o?=*<_%n2Mh6}q`qBZ8rTNR`)k zEU*{bmL!0PEzQmN+3)mgcpbL~CKbpi*Rtl*r8EjY*tglAh=+C2u@ie>+31^^mHGPV z0YkuK-4v_M{Z+u*2qV3s6P;R;VN)+fo=I9=#E9Y1{OpjeF!;dqftXvztESU&n~VbE z37b{$#@2TQB^=g*-KbjFa|#En$QjI{v>@&6HfXe13}5*@A>Zso|G1R%ui@A&8aW2# zXav2IYa32@2;x1z$q>#lkPbPp^P$D8=hqtStd}B)9N%o#2?OOs>qcS>t%OKV)Zf&( z)5UQQ%y(3hNj!%tqqZmerF$aF(^XWDR1<^S)H5O#Ju&N+Ro-$DSjG=4g(Ihp?1L~E z!FM+ziMo4rRBGP@W^!W2hx`dTgFryrI9i>o;eyDh0&50!}MpX3qPAO_IiHo@C zaeeEU5&WiFS}-#>jhXaGu&t$0wVaUBUg$u2uF{~UB>0^g&PCumlC8G293x~sz|>i6 zBhJbI)5_)eV;?~(()n;HFj4&$6d;6*b-{h{uVomHdJ(-MWMH#AQVm87Vr}v5<-IS2 z^t=>=e~W)#4DrV0h_-a^gc35vzchwKX?ov@A$D8cq^`v;epZFpJi=04pQjEu3P z8CdJtr?mxx>9ciE`*Ime^pNcV8?N!hFOf=_ zpau#dj~Mcs&u(ERp{3LKTMWPl049kH23!CT+#kGrIwImJs_DY?ago*K>^G}ta=mkcNtkHM zv|7CIHO58l(xmlImG+_|t2X5>YN(qQlp@Y?6q8cA{W@0rK_M8r3aM{tve0HS$^2%n z8W)mC(A-i8S^tU!ur@p(N1aq13&a_JX;|r=v_l12Xt1zaiHZ1@16h2}2H>XVisvi0 zwfOkNukmX>E6WU@qM8tw;T&~fI#W*ySWgA>A(zB9T>AYA{%4-R_#YGbN1njs&p<-| zKli8gjsAE;e>&8Er*rkcxt02Vaj5@|TltU6{-;B&|9^0(|64xz&mcrV9k9l zHgXp+nZ<+?)K^TI>E8jG=n`K6>(AoOEUowg8Nn)7fKKDph!kuF8WSa#jr&Z6lxxXX zqVhypC=F7d)&69zZX$a59gaQF-Uv)>d9?`U=^|df4Al6z=B2PMuTGHbqXR2?{pU32 z^$*>a#6M}-fDrgsYx}s{}3?znfo#{`Qy|v09W4M<}v>s=ieVX ze~lR)g%~^~CR3qTu7{&j`6F?pYeSNLg)qx~NnwrrN4?1V(S_}4Uq7pLV?tw*=(Ma2 zk{kck`N6Rnpe-OB5d@NTVi=ucF{Z_@pEhpS&a=vh_LNx}ESs^BczIv|>Ker_4*{ zCpwE48#JCN=8FZq%PIjjcO{F*?_H_>$mmorTLww5#VoM%8^~+e4k=1v%J4(Z1RH3C zba-CpkAti|AXDopK{{SR*wtS*@gQ^>JHo)K40W3AA4PO!Xoh=6oAjz*l6)gGlYjc~y zb|^PG-~rMku;%7KTu0fU6+9ed;{FISI3=oCbLc9P@qtAA$eCSJ!+D>F$*hxL1q1A^ zJP-14%`TF|PXcM!B&>Hc7ZYpDs3i5RATyY!BkVy7^82TX4^)C#R&UWowhP#7m9oqW z=|0BB*O+tROh&RgBe^4e-D<_^BPcy({7St_IG%Z6bd6ut?{MBHjph*5fQbd!B}6(j z(!U_Mc<$Jb3!LR%5Blmz63c#ymy}d>)uSS7`yrU3nik{8H{AKTGhw6x`0VUi#N=2WYwfD^X(dM_$m_;Q!!t{Fxp5Gh+T*cmMyg`3?T! zar~Pk(LXNxpEeCVu>R8$r@o=zKWm&|s{c=eu5SPu7d@NsknqT35g7jfgr03cbdZ0* zQTu)1tp`P2I2;gZ6CN579u^Q5g#ay}T~J6=KqSIL&n^TL6<{0SAAb7xhis1jzhAw6 zf5GqnJB*18y@2otyBHFJ`2CO9COyZ1u(MHt2xEi481vZt>1K%Awcr6%Vix+*`zt2d zPI$TfSKSUi8pKH5=+r*?;#73nL64`iVn;f4^KObiK5)y4i>#6Fryn-j8EVh{)@5|z zV~qE*WA<`f!7`8puvf_J`J|4yy_wuohVQ$YUsleq-6u0&zEpc-|K{Yh{QRA=lYT}k z%jPpN&|Am--|6p(i-X18xvVs)bnlk7^QTi!$_$fUeGt*S_3~YG*bp~|ccyVh!n@wt ztidz#TUgBAiLS@y%cCQsOI&hn9v{JW4e{K(S3LCgix(fy#~yq-5qLA?S_-B{%4kwun`^IZr*7rCV4Cyb{1GD!CsHoqY;=62tUlJGC(2lrz0$lUQapMFg$ zjbiU3lL&Pmx=yWuEjseL2JZZySMHoCbIl7Mtckn2*cWP&!0&Gi2U747m0`1L1>PM^ zg3kA?WMY>*yYH0I_f;_);&x3B@k+-<^`FDlEfWfIZ|C2Itu({K)RBoGln?;cslQ&M3G__!MLV@V{Sp+Ij(|Y?W{2DPbuen!9Zc z_m(4d?B{ir#wh%tZqE50zM1~Pi(kJtx-hTx-3#x1TE`8%+_V9qU3|o437Doh z^U3iq)Zt6Z7fxU{MJYL|c?r|>S#J^dJ2Ajo#>0xk-!i^IKCc#z0`eV>A+${=u=f+t z3M%F&Ov`h(R9#^I5bK3K0Y==og^p{03SbO2%IF`FF*S-qxeV@HVF|9V)(SJP+n{1~ z@+N>i{O0rtaxQY0kput5Db>B+?^-P6Tc!b22btHPl}G9|b!G$>#$b#G!Y6I>Sq~qs`UPVRw8>qMJ06_E@fU zeejJvdE0sRP}Mz$gk2Pa#ifI!trlnn@3Oh$IQ?(?)d#CWANlM@y(vznD$HNj;M8D0 zeytNQpL9!Dug}(;_ISR(1nc7!iKfubkh%ssOJ}YJT8v2p%S}#kB2c87vgI_$e|a%Uy^8idf%a5i?K+PzLvXv zuYw7p{B_#QN`Ncny+g{wpgUa!@afF>5dq8UNcc;g{ktP4{hBvXTcm20E=x~mPM?jf zSesX84{NW>@0dSnk?rfm5;8rBb7~Fl<&XY^Y*oOvYee@1h%Y^JkLsRY7`6Chm{@eL zVR)#~d$xZg$}aM?8G3q&JS4hYx-u%`Eb`ex?nd92<^A&r~^Rx!{g0e;W3WWW&xsI?&<&bGhc;ZY=px zUrR_;?e(qu{RAJrIey>E+)4_oL+Or9=V8E4kj>e#Zd9P^YM-R)H)sa1A3B$#skKQVIcIWPQRs8jiU3!Rg z2&><}H5bO-z-#(pma8%$8`es9%zF0y&cpN-HRY#5)v<#P<6@e1UQrvqezPYX=At#~ zhh}Sb>J&a8dF{u&*WMf3mR3ArC?IS83@YRA+aPkG1D^0w&lr6pwnLWN)HZ&XUhPd1 z-FrxjQ96FRgL7rHLEyr3Hnd-t(sW-WZG6nN7at&0fAfT5>c#Z!rl?Ra$@PId7d@(m z@3`DkEjBhjIxdsAg(Z@kiqwcc6OwGRcmFEl-97EBh3@pD?Eq+YCv z)2hrlhCj0V(&ZJZ?A24=IyBYn^8@AeyUK~ack1)xv_mjXgvs*+nfDgMC#vI_|8p<8C43$ z=4}{0VxIEJFcum@!OZPnKF(}(c8XT-R`EV8m*LZ@Cv({`sp%;>UF+$ac5^++fKVsD z=R%{W^p>ujni)#~d53R|;VzS#b(EGOW1gjLed(#{b3NTJcsONp`IZ@^fF#}}UEXp} zOFClGiGY_-C9uC}#E!IRKNoX8`?$P@_-QL;@UCFfmjwRntVx6Bh3K_`ssX=yN!;~S z9cjl$y-u4iUu|nPJho!D$8_Mt75C{#r2Ioep-Zyrt)f@9<~D6*LSJX>=~M~Pb}mji zVd}kw5eMWAwc6+r6P4$kow(&u71hZ#p#= z2D7VqU^(oIv|(uXIbA;fSxsd^OC?|`<~m~)jnh$;IeO4icy({S#ZyXyI6dqf%Hr(L zvRmpiD6J|+^S#Q)A|N~4ceR!yxQg$a=>B0>?^2I&JPHw9_SNu1r1d+_cxE}A*6=x} z#DUZ~KbW%KDcI*eHoKbq&Yu!>8MgYrS&+j+G$?e{NtWvM(o`F-b`lVu_(Odm=$`{(=l=@fd_w#mI`+S>2e zO0Mb+)L_rv%0>qt{gGl(Y##F_%ujB~7Paz*`ipFv5F&AVuWI;x9m;y;F%e_RLmP`k z^Bo12wO=j=@~@kBkK{so<`ZY`QRHU6C-(`TT!s|Ox1ZfVu$+_ra{6)QmZuvc|3UF}U?a4OR@4nLY z(<|Knr8(`ezYX>JQ;iYTN_px zubFg6M@8~2<3~RC7ZO6>-#B>dnaUE;+!w)^%_$yguXAnj%8d?NGCg9 zU5)p$_uk06!LRfi87gi1EIg*|KGZiB$Yj~8$M{vq*l+LGEA_i#due^}hC}nYUj1GT zYSJ|B_L)1LDN@J26hdd+?)vORzS3W~SAACaTZ2y^reIgh%(oi>hB11+QI^+od@s+q z_xYDS7x?}$mbbL^7{z+p(8ESu?Ij{U8$1 z$_k$PuJEzqz(JdCDm zMnGq}z3GCNuJrWa`R_UzyWZ5d^9AlXvdDLH`4@I~^x552zp=$iZA#fSltz%ky$;dhH~5jn5c^i#>CO~f*|2w`-i?6V z0PI2;$8dFDj&ehq zbL1w`!YlO5 ziPOIdJG@6d5^^P0!EmE1&7enswUD;T>AW%swd&fmmogEzFR$Ol3D;w}wXs%L4FJUKJk4Qg(NWmCbzH zN_l;KLZfU0XYp|lL{#T0yEis}S4fOwfc^`H#Wq~d>u0yV+EuCfv^`O|RC{#>cdH=U z^GWx{18F5KAyltx3${X@DS|>z8Txl0H{w1Clrm24TW}6EN2mtP1YEC3Y|!JL`F1*c z>8RaRjruD0?OC<$Z@a4d?5k?Zof&a`babmy+F6ICb+sR%WRvJpo9}N^^MeJnY;2#?w0GTlJ!~0=kq+t0 z>ChhKCoiAc8(r}ATG-Yipq%#5%+NPOLzGdd=w@iJ*&|2#G7ptdo63RoRwlNc1;`L!Iv;oZvYAWHIpoNni6Mnus-Lds9lH93 zkKZx)Mz?9to)Pupw{=UD>2UJob;89ZaoHcIzm-rxVkQ2CP7k?z7; zd}mDQnN57@Q;q%3RT?)3yp>QH$Iq$1YQ454@}rdrriay-hI1U(+OC_LUOD90D@B?t zVE<|@G?v|ev(fO{r1u6p7YBcAdm7nxr)-zGQlf1SJRKGC=~Dkh03jWr5@k*q2knoI;giN#SO9`v>v#>WIx z)8l_@;T`|i50mQdQ;xlnO-CPZ6B>9?>WpMvM}VKABvyr1*U)`ems^qgIaU zsJyTgb*W|N+hY>dJB1<48@SynCA(D|Nd`0R$6n^XwRrW6cN9E$mN zPak!a#4c(!31^S|e*kAdn7=+&tH1nA9aSvp4VY}cLzy^_++4#ML4e+lvmDU$UtC}# zTZWpQM0R5}nFijcE_KZ_035OL16DgS1&ZU*PXZGe0;x7XNBMYohTfFs38$(IvSt~eefI2b~9UaW*@8&T@k3Q zIo0Iy`Ay`pIr#ida*iuhH=#S+Jw(GsQ`~BzPM5;=DH>@@tV`~^de4D`RFzhk-%+aW& zNBp4|2Y?~-nuX=Kl;p%uC@3$Sy$}CxXc>*|_j2B|4s65O+QOC_$o|HlRGHhgAE0h6 zS8FhB;z{pYpE(|mr#i2%NCcmCr%2RHe}Q=Y%nJh+OK!sSi*@K1Iz2@vYemE@R#hr@ z^rRMNUuA%K;Dpm>W4n2HS3MGV)q)8j%V4_45W+@wK~yCnGe!La?5_GDymFxMs_5Lp zvLpCsgEH%xbuJNq)QbzS&rc{z0e+uQF_FO^KyLFVf3QH7gpLr0xEJ6#VkUa)lF_yM~L%=*)t*2F92e>-T`NQe) z$oYf0cr<8AM{V(vEO9@%c}UAme_fcSEOv2fvikyZ)X{~pRk}13}ge7&xMmfHL2`P_MpzKY1*n zJ3cJGlwDuQK=zcBL!ryjC9@X00nY%1R{|>eq2<5|*Cn%mEo~AiH|jnPo;cEIxBql0 z=)TxJx@Vb9nW=niUOG821*op+`Epxg6L*~DiIq@W@sbLQZ%kh0q^?)lF)4w zpukAge+UKr7aacqqyC||>n>*ftAnSbhGxkW()|-h!!h?M8m}9Iu$>;)Hmq1B#gEz3 z*N;K^ilv>C<#DH891U#JrcPv?6HL5fzu!pnnfHD^!SN*BtE@F@nSC3juPHq@Y(r&` z4OW-rLNfR8Ua~1xU%~!1br0Et_!Xek0w7A0SFbz(_63ljgH{P6ljv&Geo-XirLiVv z?okJxWM?lxA(7dJ`iU5@9@>QkID)4P+s4!c5T*Ijx6=61*k^qUJ{_EAayg{MCiKE% z(6SX#WMAI4fqCsRbvhCUJJlUPh0I%1cj3n zy(&PbzL_U~uut{HeP12=<<7IYH>BJ?;;800D&+^v-b3p1LgH?3v!qOKllPRI(`@7p z*Hx}ObOcAYvijbnkJaGL4aiNj6l!6|1S#pi3mI^XW39=-yA_yXF3)tbTI4iUxvPnr z)8h}hjwRA(efSBi^!FI?Zuh1DLU|fwuEguSfy1GU_i#_Q4J+uB&;QNeojd3u!9K;M zuzn-*f$dS?l|(JnF}4OAqc7}43pC2=-$3`ZD+}wm@Su-oQg8*9yXHj1QN{tw9zcp? zA){iA3F=op_ZKC_ra#j_6dP1RG!qtdGg~Uw047tnCQVJF8vy ztdl?~L3|w4DNNIHGjm03*!4?R#d2yfM83^{_@e~73=8D&T)2~}!4^h0t5FtzN~DpY zRAfKe(;%yv(bXdh^AEvZbfl72mKM1Lq~nbnWN0-pdw|0WhSFeFb z(NyOhqd>9G`Of(9gS-0DykV>puO%v&&pfC@Ki7FP=RRD)8hANJu~sYG3`Q#f1{btI zkj}6(kuHbz1_K3K@G~p*t9=OPExC~%)#kn+V`k-c7Ks85gDI-g%1fcqb1KS+U2@^w|cq+ zbHU^1E;iD+7lo+N@TeGRO@luZz+q$z=#`s!oT3= z^~T^Lr=${J?S(3`u<=s^Ccj%2Av*HZ{oh%R|`CWyr+bPi4T)buE=@Yx0LIjN{ ztwTcugEsR34A)oDlz9gL2`b=OJVUeit9F;LDc>p8T;^x&Q@Q40cW<;CmijLu{bX6b6Xt~q>g@`!F?2s_{PmIZO&3(0H^#wgsw=KbZ~&t_kkU18Ks!K zUKwST{1;HcsViNUq5y3P<|*WCw+#ups84y&L|WM!GT!gxgV>!CYzuqo#$t-lNwWmY zL06>_Jb$!c#$dT=hGJ(%;fcu=C`^W=-BjY65y7iQa9ILtR=8N{_qBls+(dVnW{ zS-`mVTU32BD;-AIVC@eVJO z*I4LdiHRq#`!BT6Y1+b;V9A6~(bN4+3!R)%6)1j=LgFugt^-s#p6wKT$QclOkS9S& z`Ya;TQEnD%M{#9y8{qR_MLI`sn2~qvh1SPx8K-i!fG#HllA$#yHbF_nGr}5z13ccm zE$&R`n=k!YRDFyNJ&kgnl-M*JNWggw0G4W5{6jt*rZf*DyMpeiPWH6#!p|?^4!zcs z?M>t>%@dOwW>23@haoY?YDHSir<3}67ni*dfYR`53VXQzg3 zHIwnKIaF9P(E#W7hKqB_&1-(dIvqu^^vK8!rja99_SxKSMKES(&IVidIpW(y5Pgw~ z!J4ySWw;Tuiq)3oktWjDY$^KK2N&v7$n||=BuhKjzFR(A8n|h@+8z>(EkS`5_0$k` zM(+x^)I$+31!&10u^J8vuz3@$+G>MT`b@Gvhc}dwuQm!f2W>6SDJe3=J~4f)s{hXV zL-a&eKbK=;*Q`o5p$azB=`PoOS_kag0cCTyfdk};v&kgWA<_l#Wj@nC5kPQkYJwWG zcdUsWaTAmgQ+@w;Tf)~>P)2*D)>va{Y0!o8b(W_WB{~V4VWJ#NhhkH&>ykiBkDI7M zmQi6&`8tE0c;aKr48*$tGGs z&IRzQ08ubqCvsF23TWDcO4I-V6NI(>)?ir*GdqgNpTo!#gkfz5!41+J$8D`EAW#&u zvSK$+PJKQA)&g>+zgBUea0JDIw)dU5E5Y)llxTbJ53v}EO0+(NJWfDUI_^!qx*3^n z2;!z2A$jcH!3(_)A0-vEpUZm`hBdyJiC|Njh0&_D6pUO-cJ#4c`Hw{bkGTf55lbjx z7-zG!`rs%D_P|l}=)nt@n9l^<%x2d$f5+Ofatcb!a?B?DCBdkYt+?|b!PhV~!3KxB zUs6~JuvI=+oFkMEJ8^D}#mER5wW(~2IO23*lV8$j4jqMvG5{?TM|H%4;b&gC9)#8I z9?oMCEuV?z&0nll#t_-QPdNNrg0J0#ekfoIYi;78{=0Tz%>tpa~3bwElJH#cp5!ssgo%EKA`2taH{ zR*QBw3WQM{>UqTR7GN09tzB(rG5Jh5Neqb3yX)oLR~VMwC_$Y_$Jx_CI=K<5p&w6u#_=)U$D6~dVjWx1WU(5?WEDjiGWvQZnPqL_0?H7 zsEaT<#N3Ps$B(o^9c%V|y!R6bz`iVg0%-!2k@Z&8Oiw`oet=5T|M^G%9`cTcU_u+5 z0ehcp-}jl&vWx$()`|a_UR<>c0PL5cN_XA!&%kPvID_ZJj?Y~gTFQL2emnD2DeM9d1I7HBqFj@Js8o$QX39s|Vu zRg&MB?Q9Eq4=QE!>NTrP6|S~3qmLrPx%AhfUi>}B7R8%%uXHj+0aH-<4gDz^d0l0j zg->Oq%j1bb5x-OVCYDz9s{}KZdNueY(URXe^yUZJk4?o#-u|dOP%Ypbv(*S#`8)pg zFih#ID8N)~^BUGvV!&eiBTp)7VLDz@1MX!ndoZ+uqgzV|MpESsagoELk&q!^nP2in z8KDMi{paB_kb0OvqZFqmL_9{6Y&3iF+milO~omjRk%`qf5m^{+2`UkZR2BfjQlP9YKF&nC?h(QO>Kq#jUzo(B@K{`c zmeGK{fRdpvB1cd`a|F^0O*WFt`WdKpA~e$>IAhC`{;|XlYKOQVx9>ZUy*A$2jS=o_ z-bc#2mu^^NItnq}g%`BRWu6jjE5*lofu;C2?O0z>?n`BL3u9A56elG$iG5N~W*|gq zzc0Kt?ER@WLnCv)`-{9vPtqOmxpue0IF;f;zKEABy+8T77xPdt_A7>f<7jJthNzHb z`>-~ONmF>G@GbZ`?`Yp=Yq{DZexIaSUrR%G!vwV&K=^y_Cb zaa3CikoEB!N7pge9s!M^sjxUPKja|*Ryu3U8$gfXR_=SwaVjD>a>$}-19ddK$|Jj;1xK=x&*;UOK^;lN*60U z{tPHtvHel<>$8_MGgjD0hd?V=Zo;8E-`P~Sofr>p*rkS?Ismc^*>D6w%s$7O&g}ZvHj7GQ+4&wDkS;c>CgWb#4UdQ7YReA~?*Oob0Iu0h6mXnO zC+#}CaWI(~ZZ)z3&p0Tcs^G?M-}D{+v}uV4NDJdO_I$f9(=jjcpnW*cf+u&=@H=+f zt;wKVke(pwNfLcnY*oJzWM(o`sOZs4%ly^dUeM$y2-|-vjwo7e50g4v3|jtZ;wa(@v&x|YZ&j(Fy_E)VnHnE)7ya+H^~Pe7;0^N*SnqhJlL+! zO>|)PWO7NN!52_f^y!7Mv8&H;V+Jnl^76A=dT7rtIio}54(@iXe7VCu^;1hwgU`&u zTU2PwRBf#=R)Sq$z#S-w8FOLd3v~%LP{OK%vnvQ=@z%ra`P*zB3(q~jxmscBg2~zQ z3g_*(yLCd7$jcT{wN13Q2d_FzD`m{lk^eq^`o&dwYEeg$Oo{p`darl0TR^xDP66bn zNTqRY-b~RTXiDFb{+E`dFL2CPybLq|Nv%Xro3eOdt)X{}Xb?!4FExscY6DZezH%H$ zbe36Gigj1j3B|-C;UUwXa_{uD8D#U9lS|*Cgor*(x&I7^@Fnvk7`J3izj&<#dv}c( z=(Rq+0&})^DwkkQ1^6I;R(u5+{q1e|!q-(tect4F8(6(qc#=x$D*sV@q@y%fMJ@5r z2|p|Q;XyTqRZNi}M}iT$mRXE$1uBWM*>sXdbrM`;4p1EK8%wgd{F>|Tz!n`=lWHQZ z!Ki|atlTK~{7^Ll7gHdjv+FP(CJ`juzHF~hKtD~&j7>agF)gYK;^MR9NPAEO^vE-d^b z)70g|@#!u*^cI#jitw8crd{)X5Pc%T1n$gk#jMu!8@>w(Zi$_+S2gjBvwal^T{^pa zZe(kfKcia-U?el+%{oC&Tj!mL#9JGlrGo%e8PCaVE$Yn{)sg3`k5;X#q@S!)*i1bo0|rgG?4-pdBxwj*Xs^AzPUW+ zVB;NQOKtcHyCbMqUWcBWV8FS$A^Ga}8+3=%j~lOEu1aU}S2M{I1H?dkRQW2SmzO${ zEfKMD4#;MiiM&u3gDR0}4&$tx4iBP>7SO!9{dkXZ26}rXWXIl$I~xzWFm>jSP{Bms9aXQ(*^HpX~;#b=>6TD7*fpSZ<`x zru(FA2Tqk-U-F8#6s5r_6UdjIiR@kP?nZ1sI-1&wDw{nj&y!*Xt zee|yOXA(^?F?;Iq79W7KDJc8K?qdglBPQ3mQ8Nylq{4<>zK zNGm+u5@~hhgf4|G&PFd>C*^+#U3<}y<)mJnu(e5ovG;|HCh`(vD)$4SSg!?)>C`JO zd8|l+`F+q6P4AarJ%z+zzhg#pdRYTV8L% z9u^}r#%(@x8}J3JzV6+watk;~*!?AXNt1m_`p$FQ0e6Vbn^i=aR`Xhir-FebG@8+r!oC$y)IpX%|0u<4?hT4sgFXU?>VlZhm_ABk`n-!-q%8mkh5zO!a)UzqVu{}5JnY@yKVpx^ILlM_!*IP}N8 zlMC)BEeYlDc8(cy5pTFk%<>5G-&);?S0r>tD(Laoe#e{GC;Kuhrog^Eq~OLo^uPYbaoMk?CZ<21IjP`7+Paa)e{lr*aOzPW>1W;dn5({h z%F~4_7)HICbs4)Hfwx_Egwp~K8ND}9B+~nK@LgRTI5xeR{4P7jr#%wvj-y~hKXB!y zFz~5GA*x%Mf@2{)EbHtEZSn7&Pen`|r#ng0e?l+&S)MpY6c^NGl0H0TvBnHHxr^mA zxbkR&8zNCmlZB?vQ@p!7G^KwO(F86qKgRbAL-36`kRPdF$zT1QXlld@qu@rbyd(WU z`MrwU^L?%aEBXyyuF!dQmUu_K!ki~Oh#Ps=^{*%IFaG&DBF5x`_g-i3@|kKm*ULB0 zI1+h$LdU;lKa8Ib=@tw1`VveaU*oHVxNUu6*9EJd&%h(+E}kIOyK9F>-@9^CnjO{3 z*&|jVc#nWQ^3Ha_%368eyMS4Eb9C)E^0YJ&kmKT~YP*ei4NCDK6-EM$y2fW%5x z7CEuJGEy1IaTCpe+Wz2IRLu#By((C1)J_c^A;FHpMav9wyv!m@@ERxasA9Rps8nhC zeh0dEf~=~Iy^yMdjipZnmZKOTu4;|D(ODW=cK8kXm37Bo-sZ@`O#em9u?j6IjP?nf z9|aoEjLlG~E~+=P$qJ_a*WZeu!s>x z(h#6D>h=3dgYX2m<#u13`X{bX#!RTh-R3gCI*Y*zu-ecd{c_`KJ zBbwrzeipA*H%sP#`?4M#tH4{}moLEzGSa05y{FIC+gx}2g>gJtn4NvEe;EH`vcL1tW1=|Fk zEt>InMo2A-zlylWMyd5w>BqW(op(RH$SMUDjACv$f8d(%J(cecqsj?w%rOwc14r1r z23|5tBlC8Svp3zj?~5$2`o?QHY4R6v&LR{vo|x$vY`x@Jn)raq=aSWHOfxU_Mn5n# z$LftI&5K^nmtd#@vCeohmGWYUM}cG-0fS9D7e9wL@q`3h8!nh&A**)10%m97lDyTH zA>79;h`4w1_rq^^4S?E;k5KJjYKQvy7FV%ckJ?9$tPCGnf%WKuIVQ6lF3w9b?SClE z@C0=o_z*z6VuHr4OifVl+DUM!Dtyz?D`u-A$p=__^ti2d4~(yqYWMZmo~cVk%~VE3 z`g;z$zAlfnmbD*iY8UXaaBm$0)E>|FGXQp<0;&slow@h<&mnx({4yJ$@H7K#cDLF$9L2oP6J zr$R()b_-L;Q;yGBx|w*lk~9umDK)7C7c&0UnY5xYw_Bc|1Y}gWc)PEntDnaBvYjZ0 zSS+`Vx@W=;RePbL=z?_lroIk=92LRe^g8?}aH@J7p|e|7!V^o~11eq^n;L=&LkvuA z!DH2=GUY<}*K_(PoN_{ujS>4D7+q~tN&OR)Li8M$BV^aojgL=s2j!FlV#tn zNNF=mX%)aE?pgM?$*4r;gTqHxCyl`X!4~_og!E5E-SKOb`2)e?H=@{KVk$PbL+{tJ z84}DNEPq*sjkEy!t*ijM*eyDeDn5|a%Bg{yo_d@;Fc!Xei1;?!ldStu0cycBon)6H zLMoJ{EBfG3k-gm|-jZtF2t&ynD)mb=;!=$Atax+Bpo6OXh^1#lTG7`@qdhZ~MeC}` zmk6Wcox#U=i$KVxQc*l9wo{peX`*`T=L2Ts=4y_b-~70$<60=)C~M=Hp(jwJYOrBq zlUZ|cfg&3k_zXI20qXG=O zBzS{&4N#FY9V!S;t+~KXrpTKu_8aZ7ZT%`kMJ+9WCKX8N0z|pE@ zB9ndbqG_N5)@?Fn00{VA=#r1VdfQT|2<907X{mW45&FKrJSuQuX=Mg32$5D6IoX<> zRyu4?rn7q@&75G!=RPo8ZuuZ(x#=Y9fOT%M1f$V0g{Aw3PZk7d2EvdZpsH@)aE_I= z6FeZ5;VWg0X0b_Juu%!dnL%z|aLIKqRR(K1@ux071X-T|vff0Gbaj1r4tU7J8t47AnaY>wY1%dVoNSdei>i3M@VHa)M`~O z3>C7W%E7U*_C($bb~~fNMvdtwtsh!H((+8Mel;;L!Y%28mlL@(8P)DvTgdRK=-hm9 zxJ!tj(Q!u>X;KH!Ma;_Snt!bh7aWJk=Z9{RB_> zR>eQfYib5VFy;%93T9_s_xPgw@q~eK!eXr?)EaUPY)?+b!8P9Y__9d9eHlTuhw*O) z2obMmJd!e}x%vYl#mCh15K8pIw9VWhVa) zTiQ9BE^T{2T&1ofP-M}De+Jx$cCpSQXY>b%1If@TSw-Ah`|gQX$y8nH)##VP(v6RWW!TLJ@7b=8RASTwJO0{9a<>Mr6UF6x);D-G`ryos0jmIrL`Pjc_DoupQQ~%y1|`AR0B~4dZ#0+=|{}1J~gzbUDU4l$FiKiXsQ`Xt=>XpM zmVNnQZwS9zic$t;RC$?U_uqeuRHS-y>qXm;5l{sfx);0livqNT%8feoxZrl>=rwE4 z?=th7StoTAj2w2?HxuLRA_USTJaY(K+-{sKdq7Qz<+;MK9P*VFVts&BX7L4t4c68i zbx(SR`P&&STeQhArg%7KzwH*(d2?M$`quGZ?ML`uajg0Yv;Jw@JX5vYgUoK#SE#C| z00f|Zi3A(BxMOg?=;4V^CI7Gim|6}$T)fu44<&a)^Y~ZAYZtXNY4-3aC<6f$;D*qS`H* z`nW@R&bUaHYxb`stiJ(8%)V?<64li6a2w#)V=eJ%c==<@M%&t-@+!Meal0gzb|(Eu z`1s!0sMko^%xiv^keYug5qe8Nt zB`#&HImn}jOEAHhPcS4f0oI3YEt*7UX0v(Wh*(J(D@&agadcw~hH{bPoN|*4L5emW zkdhfhh|ZPZ_5BizOjm9|Ze360$0ayRDd0LF1+JjZqR&#OqWZ!Dy1x*dcSD*SRrQYp z*$(4s$3Vr0)f8?`Gx?1GW+Tl#4@*suKW}3g6GpYGq4rv4FIB@Tomw4fk(v%YxHC;r zA=@(orR7}LZDWOtofPRMz)ih0)X1OVJOD2i+6jgEk&bNMwgEKJ+esDW8bj34~B7w zT{)RAN(__&7uBLt?_=Xai9qYUU>_0;45U_+gL#b347zgj<;-4LFK=SqJF*J3Ii`Rh z;&y$*dH0BUb~!Rz@wzQazF*mZ$9wc;dxevBI1gT99=$`2e=as$kuPFYfZUN`ZI0(S zUg=L^jDVLfHK_ilZsz}@!|LblKz}}7%hH{nTRmT8&J)5&?7M{`O8{7Kzh(62Lo6%m z-U(^OGc=cfLs3Cj+*0h9^ocXE&c4r?;JA@)uZ?FG-U+M-rwUcAC)aL}Mtpj;>@LXk z=X6zC9`|eOb-&EzLiz8G=h=)dgXaJ?NFO4Y`Z<_(#eZSKDAxxoB-k(t_bPJ0o1va+ zbb92z;bb<=b-?W0D&=1GgABb6Q@0DNN5IA)i$k0XT_-lZ%G zVBx%5k&2V&9!@&tJq`3=+2bz@4=0}`p5UPee+=6&YqeTsf!s>pHH>nOgX7swm%MA|$44RUD; z-uJ%p%MIaKs=4i{@tUFF)sc5M5tO+J*N>>88r(#7g|~G2s_?E_@C7^OpsE!1W@*Dn zz=<_7f+4Ls^_bMkxl<6(YfxpawiB;Ae2i=*v=Ez-wPJ6M5uKSs@U9RxV24R~*CK@E zt6>F|OHbn53JMPv%eyB?;ia-B|0t>WssB>z4Tm9BgfE?)Sq7ix*U&;xxup`8=GzoU@GrR8%aqFl3DRlpA>_%HZEES#h zNXl;ZvEwR{2k^)rXYlzEUed~%G`)B-3lSx!6*3TaCRG+a)2=i_Rl?%{vuNZX6-V-x zGRyOwPjcdXNp0P zYh`m~Zw}B?qGVwi`uWOrdWX!!`c4$h+VMQ6?VJRAaDjrb|JFGBKgQaBk+mU3(f$Ka z!A<@XZvCKTvbemDxr-`;YNXnn!)J=uQcYEJH8Po9U)iXM5hQl)j^8!JjTGSMQ&&eN zW0UquFwyBluuusU$od0(>at{_o!zbWshA3B{)n=jxqhh|wRL!bP5)&k?m*#6pgu0* zTS_Jp>=vt3O10vh=Z_2Nw{RtYg~fcBJs4VINyd|B(zpsl{sQHJ1N29fe|O3@Zs9Qc z-UB|h=-%#<69u&6pY>_cF}JI8P}B7NZDCF#?;NrY0oV`SHQXSZZ@gzspsbhsg3AtN zxQZ>_sYk`z5w2}H5)EecoJi#sB=U4O{+bw0DNp$@xI~+5*MR1KB3!5|*DJDqEnvpc z^objiRq2EG(%5QX-Sy(Cmc#4{?ZJEgzn4%Yi}lJtulnr8qpY25ErWC2-ulI%dTgxi z^v_J%#e>nUPBk801_m2P2cqm)G_X;yp3*!3!8d_ty>GHf>pwTbb8{v*QEKytXwOZk zC|U+rQhaLH4Mch0N?o=_QU4@iJKI=n`+K{(&#DVkH#TSN8f; zc{ng?9TDoO_T~$d9|1u8SA}fL9orQtAL1?B{469-#mfB*{=6t@biT3rNdw zVkz(}BMp%c@GPfh-DH^zW-2Iu`OMfJNbO(2x4*fc>dBQxov1bp`k7Au91zsL>BnCe9Y+h;p(h`_w6&5)5wdnl&^E2ZUlS(7FW(x`ee zOE;6-)uBxV!{TMR3ByzPH_e!eaQqglF_k%bj(*?3K62lQ$w{bp;_lUJ_>UfszY%Lz z{fp_jH{=G{<(xgHqtBPDv4~VUo;^EdU8**DETub6xL@SGoU*?UT?|nGjLfb0+4I9! zxs>fjb<$td^DDX5Q^CtdJ>fq+LJqIxv6D5+W53Jy7X)$MrB3 z1V8-)H}!7=%lS!>mY)x*^U<6aoh2iGQZrY(`Y8F`qM|5Jo?D(K1qAC#H$E9|gbh_? zTQA)T%g+lnJWVGzzm$o@kRVDVwz&Z~v~rqtZ*^Huu*(}vDueGmvX6`$BjfP^MA^wp zTQ?E)nHk=-qMh>}yhRAMUe4ubDs8(U9L3zZQ3To=E3qB``kMc@pRTdQ#h! z;HbeY1l3K!DXx?NT&bAqH%+v%Dwb0|ir2ZzQBB=BE{2Tm34vs4N{^aMPS{%9%zf?( zLvj@fSc{)9YiQ@Jy56b5;opfOfGJQ?%W-OJEeW<4Jeu?jW~T*cn-j!&yz7i-laB}? zpJ*D|I0#5=7T+Z0VE%D+X@+|2__8tvWta!0wYEUfewzpDnGRwx85F-g)z?N0xggqV zq^$Us;R}{LWA~t3%V-If7fzt~*w90m0bos{b{9Y_PER10F!%wvwBa7*Fa&cS-OyH9 z#Q0)xPa<(+encHP3 z3YddHE0`91AaIZlrg|Fa-!Km4z*fr~nAv&!+pl^R)SePavt>a7XL6=piK)qc^LE`c zUDm=l7$5U?S;j**+n)D3n^#Mq?o{?Kw^sw?+m8`}9lH%>X}3IPJ+=} zeeJ1)?Z0cIb6K-d;s&bO2xA#qj4$t?qV5H$Z438oqKaXuA_i%#bdZfK>VCEh7iNI1 zAPcV(S)5QE-XEdNTPx>f8DXLvNAap((ez0}=KKHN7|ORBR$OVZ1bc=;9J_4oNFphI zo|segO*c{6{dPcRt}~U*ZT7h7)AGGmHLXFLxWPTKDXd~3tdee?cKV z54C@^#lBSZ$nFNTZ#oWOZ^V`qmytMpuJu z(}_=y58IQ*(mu@CVY9~sOE;iMiIe+#H;R-481j(cd^Wj6ee;3C;0Jtb4=eQULWMLM%flM1;3@ND&G-8m_MvxoK1z z=m%LD!!Bvsa2(p5Z^a2l)d111{r8SqQ=7Kms2Ty|>RtO4wHqOE76BLx+LelkWL8h& zxehL47iK)FolSf*l(zqKJf)gOH4&!PqDXWGoCRyPC{vmT^XQ7=?5wOx+?V$fGQv=P$iQ`ca18Mg>W@h|H@cID89H;J<5FTQp7vF(-n zrNnA&V+Byx=TxcIwfv+y z$r1Sm3-jJ{-xtfdQq?nSR$wkm#>q;yWq~lDWZ?_o@j^g4OK;{(s?*5x2VIU|hhe)~ znwlVoTHN>=u)CI9G^T`xTwG)xQmyydb2(R)R^p{dN7`lXXkDI^-tWQCZKywvZ=tO~ypx1H}M0 zAsR%!$y1JZJtLPn!&|d+A~nTNtye@9KXmVEr6@|LOnv=F0V( zRLi98K43djbvqsr&44&+(I_@PST;Zi71#d=fQ*x3P($lUC26+S?vde!AW=x(Eu3@ zKTxhDHn@dEulGukGoBeAY_;TXDI*Xv;L@REw4Q8yA1jM01MdWQy3ZZKKmbvH)%mS3 z8U(1M8+oUH1%U_rsG#!`_z|;xk`#nj<0dr(Z1lYMg~)Ju$Z`PnJKB&fld562UzO)`}#eze7%D`f`*@TrTQLJEOw98(a* z1vL)dV0@kjF81zCVYX_Lp%secLQYW?-e6zgQROZS?#Q_aB?X<(=-!r!RouP;BHEXi zaa~rp5!CUl$&kPHVKj18P2t<9mM>tnX1J^Z$SwOk-f*c$%)WxaYMNR}IwM6I&iB_R zM7u3*kr)FcJ7T;Nb`aT&ZWyv)2zAN9gYk<{P?VlY@`N~mjT%9>KOB20^2= zn}${6Y-DS-1ib{+`;OuTECMNqQYxV<^G&OzV9*i=50`Y-{FmJB$)U4Wx>M9A^kL1G zZCX!pV<1TdZs5?uOGwTQZA!UI-)!OYGoKDd0esTwUw!Yv2<9Bo>XOMfo*3mb<96WB zz2K>f$ORrG{+4sF2;<0o>w!961l(^+e+OaCLKpo_%Tli~zTm|ve67tO1forDW2NIt z_EE0j{Oz>aWXB05KxzfYw8e>1Vlgdn=$@Q`w)!_5%${e`d^?k=0HUxRB<y2>GCiRw+OX_%FxrZmh@8o}0C@a;c(kPE+kro<(nm|BbZ zS~UrmGn|b}4ifzIUO1s(b@@P{P~z4POIz@zUr368G^7-k*5>6O51OWg_A30hlIZ`T zzWaX_i0~_9f&Au=6Q~(r3(+l?L#Y6O#10N`KY^VSGvY%xIf;>EA!ibRnE@oFnn#*6%HqY{Ag zT%3r{M7_q7|0oS_!v#q5P3wx8ao8cqm!M^86pH+}ME_3pGBLA7&M6Yn_j_ElhQoyq zLqiL71o#()Tla~g6i7e#>+9^&{s1L_x%QiaKR<`HeRQpViyiySMjbv}i#_;h1iOz! zwM6?ri36Ce{AlZK%C46z(_H7L>w}stT3wReBv^Q^sV^m=LxZlJ{81@q<*$iG?l&eQ z35qhw-s1<8=w{ZZL`V^Ir5{z7s7W}h#l_0#7qGi0qdmwpt*n)i#s0~p$z`~k8|~wH z>}7B4L?=|Qb?p}(jP3WI`8*a%klmf-wJHQ-ZF)ECbUbDcFnP%-Zzo1jqEF)h3b zq@?~*kR@YH-4;2I*OZ*J2sXDj!1Fjzj@QG|Xd~Q>?HGPMg3Y&2#q^iOZ&n6}Iw%$V zxS>dN$f3!;!NZr`Ju`#axs=RCgSQJeAxtm=Nbk(MQjr(9ISM@bLrGPAyze!hrSBZMcvp~c;& zXsLDUzW9PfZo7VWlEFK%6mRSlu1pWq4d-avFYwwi6YAEpMP5+p3HAwRUZc7Q9eu1A zMh4r&jy?8Rw$G(kUSc=e;5^f{?cMcR(orzsRb`aQ-?Y^d`B*@Z?q~)looIs+r0*uG z{hrQJ$!}up8NrgTkf~qbRBPdQ%pfDlXV7x}*(1X9u z{lSD_%t@R8#!bwrJEjrYdA<6cNd%ckdMGEC=oD>GpuO9zxCbge2q84_4;B-@_>xjk(a2wx6;?=-STXBjf{wuB$TDXrJO zytM@H$W7u+W~r!;hHMIXEdu4|O%JT_I6sBlmIT<0t03PJ#epjxBE1Y$15Oq3ONR#C zA#-%L$}m*7;g-|0Kr@~psPku=l36&_W}^8HP@4o6k&BYb%FFc;r!z!{;z;q&bov=s zp?vs(F8z?49F5pgbqfTBP2%wj*5)KGMG1iFD4doZMpdG(s6wON00i1~=EhSzBXP63 zfcYhK?7G~mTPQH5`W>+{&BG zb4+>MM?WFZ@&HFbxWA$Pwj_9)_f5o;qj5HJyyKg>6>Sqy^UHAk zN^{Ti=@Ugx<18kODhy_u)fvLN@>32~S5oEK-2zQ{iNYF|u8Sjb7qZ!F=kvKF>EO~4 zY%zG`_)p^L#7(brZw^_d%Cn*H>DA8rlIw#QXkmXr?XGY=oFpG1Qjy9wyjdR#eqBs@m|JWWG)sVu*|>iU7L_d}x3Gtb;5Br$TXVT2?)b4XqAo?EIt)gy2~JR{@R%mSb2(1% zVTvjM1*kCC9j2&m07-s^St&K|FP3fsbErP@3uoG%rHS^8wPgg|TtfVmvy8zh_K4pa zR{MRU4{WFnArp?xmJ;S0#zh;?G$&qB_8=hitjBr&vZ578X`dPdoUH)Z`)F?jRBbs| zVJh696_G-`DwEznI+#?beHro$$<{|!ac0%l_SiGGgYQ4l)#S8VhM*hodk<+QOu)o~_}hd_5@J$L*(IwR&dxt!|^ zEEHpG>T|tvMWR3eJq<+L#T_t-a5|b%?1m0fLie&Ax)D^T$(U<7NeZg#oSd7jtiJnd)OaZ0TX9m(MQMCi9H=8lkO7zNsi+AKl4i`miL#MV+GTgRQ z^clavD$jV+6}`3e^Bxe_4E|5+9g4p$Od7KX9&3r+jk|pxJa~YyR;&Z>g5NQ44L0fu zGip?${}>k4gO9`c#OG1WCZBTU1!}qi@cP@JCYxVNaoMg5)7X8T%*w!DJFf|Y9O=cJ zrMV8>Q_rI2LkT?ySfnO-E(@HW0)Ur^7Eoo_n3Af~;l+atpp?;41Z7KWbJjehZF3Dr zzKAnBf+K|HJH;x1!67=YkVF?Xo>ww>P;j$}{5!q-zRp~_g&y}2lwe3NtoTk@;bO7P zfs`Bg6B9sUGeur2tS6Za1-+Wzy~t8<^1>=aW<-{HgH_s$Gt$HJl15?jPfMrVktkAl z?QagE7`!_^e2IR%eAXXPjfM;LTAh6-X)?fo%pJ_)&dtvz z6fi5;<2--52LX?8vhl<)NQTlX0MHO|bg~z;*tsK?Aznsk+h6L9l}2bwmd6$JF#6u( zXxHxPSu3Pb*DRUw<9L|`S1T_>j$p&Dg$bD~##%;~HhTm+j7N?`lz1s{87-x!!LH}l z5sdtd^xHU7@7A|oYa%lvgudBP^z`vDyi!PuDV;U@6_MYF>@<+>J3UEvT~6@aA$3f> z-Q^UBQQAk*_swWW3T>O*1JA(&x5Hyd6H8^yxXFKUWILq0(i4asH$iBnBioq@86Wcz z%w22H5;9BI8BbD+g;IN=Qd801A-HpxQ@#41Qm&n1(nQ1rZ5Pa9pc(?MkWMeR3eM*n z0F)u!FZD&sSM@$PptSvfwox#4XvehW<%Cxe%i=UEfZ!EpQccxQs_H92O;kjhY$2h+SFu*c$}1AExWZBk{O?~paGl?H z5AS%`<^lz0j#6tbWzq*0InfY}kv=y1E~Ed$@hdN2>J$Ipv}w`og~MrF7|OB_)EaL7wB7w^FqtCZ zn5$~A$AMziN9M!qc3}j2!Ty_`XdqjRB^~4+{aot3r{>%LQuQvQ_e?kvM*m?e$mZrg zy&>ht)@~c;p*eJp;&N&yk@FXbXzY3w>0x$dRx5?XRl#43SdQeS)k9Y`QhoIYeHH-M zLl)Mb8qCn?n-!WL^z+PG^K`6?lD%KQmzlP#ktcl^mKSMAeUCBMj%`VD z62lp!VJwB&c0+Zf9}9`(jVCkMQ2$At$V04p)f#zh2=U*A+dL11l2M?D;AJosh@yuC zRYQzjWGF3c0IuN~5Yj&4y~=F~!S7F#<>HWr^z#?M0`V^K%EnTxkecT#NiGyCc(pr8 z7(mX1LjGrl;HEvn(E-`qX7Wz2M8vm%H6VO3t6=wLb~KzYLT;JOQM)zpfy>twrox1D z+I0m+YB@&N%2Lq^5XNda@_79+T1U2$u55JJgOkuuLL`-a zi0*F99*!T3`u-mdXRwu_zidk{OaDJS&VIUUw8&Z34C5K9zzrXyj^Sx%gCLr09byOG zM=^a4Q?%XdysU1q0AxXw^Ssjuq?ccGie0Y=`CkB)!ZzoEU3`bpfL4=ezn?Uu)X9yf zH9Ls?U$*w`6hF8I9zadXDy+^B0{jOQo^Owc^W33b+HVCg)qZq#;!U73GTbfia+uyl zaQ2|xa^4fe(R0fI?Yig! z$tI`-o#ISKv`U)U1w~iCkB$c=&tx*XmV+uxA8y3nh!~ubhlcc!c?kF1#jn|V`{mOB zs%?E3-u(6#B8!N`cKUB~+zP4JiG!ODVB`G3;_(OypMyhfN{IR9#Px zDH_l|q$R?SwZh;1jy)Q|DxjcryGC$lMo~4+hw4av)MgrpdXiZ$7&eX|jVQPAPj$LX zuh;_BRh=ZW@4!xmWr?Q>!*Pq1T#w4Jso0^IX%K6ncA8HSMB!Wif#1&iK3Ms&aI|*) z^kzV|JflN;R+SZHi3ZyO3+Bde*?z%EG7R~_(5_WSYM;%}j$1`D2>o=>I7uEn^9T0u zy-fC`B{fUF5Kl!e_dw+W5O;f2<1-Bk-Kj~k(1_)+?wzzk$`*7@8ef_|NgIUsC3F~r z(sZTDwj+anSogpdsl8Kz8i^ay&2BKhqw`}m1Hf4&m?x1#t48{clYxwNH{VCu!r9W= znkgE5gDFE^_Rl?6s^OVIWOjTHYWGp1!80vRM|3O>6&Y%EgR)ziXVF)Q_jMx0NO-}H z?R5KaP#o1vzH+KC}cQh*t`*)m= z{+Ep;#B#TO*gbOMb`2jRhd_Y)&JTOft{WQW9Qhy74j~Ie%j4U35Dm3NlArYp!P6^g z;F9n`uhEmQk@Wr6 z(fV&(cy0bKjDCx5mS65oXV`9Yx&2%TDFw2Q=H6_f8gR)d+<1xoN%s%htd435Fe!EyAN}ytOexa(e9k-JqnqYI_IY`AIoHh<6gEN~siyEeA z1aa z7GQ19um?~w|BWRCfB@S8U~>Cv;LkR)@qty(YkRF2aelflokK}g#To+`^t#20JgiEv#OeJGYiOqrs|5d}PlZ4t+I05#vxT#Si!T0wZd@=ZdHSZe>CrSkHQ@gh$ap>rCyg5$qRmvYjLoVZ{51MM(*liVnHg zyTtzRsKHq3hov^<7iB~p%?BF7)^9St3%R`c`^_m8>o1;m`sX5q=D(tolR=JFpV0@Z!t1Q^jHArKsV1eu$8NZCyV@_4 zXiu(xVLAK^7<)~&IT1Q5vwg*xXGM{`#xBcU!|>sS7km+zTCkuINJioSa#B}F2CBhK@o9KW7> z^mHYy>X-=7G^8^AKvA>Ox^eIq#%jDcxzhL6=<+qTTd)%%yQ)@xWM`@J53p{xi|KTI z*zme|=g%25UV5PW?AXaVBf~V(Doa&t_<^~h>#fKe!6= zN5bYu{&d7@6mCnH^@#ptwlf>-I)bHOtR<4XZ9;#3O}3EMC2+uS6=di#X$REx*5xg% zj<@q1Q`^YMI1qnn5sfm*HO{Lw=fuh|3Qa+9Lz|O+l5rk6 zOJU%pHYxoy$}A=CQ)Caz8h2Xp2$GWU)?Kro!h|el6VYi`krvg0Tr^u*eeikol@aPc z`9aD0-0RN0RTyQPtK%Xp%tkQOes#$N&$Ax7b1aE6`PlLN6hdshrGrx( zAXQhQrkom(UXjRkwrl0k9lYXNo#esE4u}|MUo&Pc>e0HC^|&_;+BzxZCksPW$mgMC zFfE;`n5}AOVld*XhI80KVw^QbuWwc#yCc zM#nVp^sr6x7!3h*B&v}MgjIVrb&y!r8WpF1#lJHfjAsteK5IUGMFNXanEsv0WvOot zo+-T@)gb&;n~r_=0IJ=LKo}gy&Pf0V;6bcRG5DyZJ}lMCcWj>c;9+X%Q2YqyT_&wr zD-ljry=MQ=u7Fg~z@#h}zW65KS}_8i;VfcPwHILWDVr_FaVGOlWZ24Xze1+g*i7V|-^zgvxiV=lvqj?#s1IK2=N_M(cuN{# z*5PupOICR76Txw4v2UX;ZED_ugly|a{XKZ3pKiecqFdqd&^i$9Qb#2M4u|+;WVA>W z!4-@{(DW1^`bPB5wU3(zox+R%#YKYH|6C@Z1$jV7n*%(BBenwTq&_Vp-z44_J&pD&k{3p(Qki?`=sl)^-%+pTwqq-Lyie3pHd4}17z z)`140o_4$m`Hgj(V&}f(;7F23zdPP()Y^8lOOT!-ih}V@LH`x;>cSBP77l#v^ieBU zUZS!f_q=w&2Frp0)ADosVv~Z&q;_7yQ2SmO0 zv7*kR(puwLHL#jpV5VNk4&Mf3RI`Tr2%Tp~KJ z)95Z2iH40!;%MWb;*6L`yi(`FmdS=9G)xexGQVeo*Y6v+0=1hHN};}8{Cx-jbtH=Y zP3e;NGqP4y=fZ-fByf@~NE=daw|%S4h);O&mQC%x$X6!WYf~WCJ{9NJa zK??RDe^)ARC_>Ad*+(!R*pdUGEvO(KaX{KLEade*rXn(1io}=l3W<1nqGa#CPwe^b zk<~JSt$)PmMRxGCl8K@f-lg{lM7M-2mYg*tM23n|U>+PTVqbB)3_*Rh5qWX(GXAlULG2T!Y z%*P5nxzy~GrMqY0gYEn^nx4$E4k|u1bPkKYT(l0cxv&g_T2hUKGOhkH&naR_p zpcQzIIXJ{?kefJ&xAx^kU5Sj>FbH_be;HU-@5hi`Q-W>aFIUgKH=b#FZjJY7wDb7- z`?B%|sumGfu_0Z5<^RlF2W=alZNz;Ln|e$pgA&cFno-aP;F>ppc<($7v=r01eec2F zM$3m3G;6-2eEt^MO{ll?PrYBGJ8PAw9%_!$OIX)s`%_`_%>JFnkmLTXIkQ17oNqkq z`RD?nt%Wc+RhCjOIBK`>a!C>~f*nMY4QkYi<}E>Rt-X<6YqvRUr!FgC>Whv-#WGqb zE%<$*uI4HVS7xyoqjO^Lyk(=itI`U!OtI~Iwr%aR#npE*=f_tupgbaczf*Pd1p1_j zC#A3QMVXozyrL*e^w>4Lwf&?q?2YVrsGqoX;)GVX7mPJMTSN!4tf6|i(ExjB8Z4@s zRUN^$a#z`0B1+-PyJt&&7 zK+K4X#1?>;s+4t2c;pRPN2nKcvJIdsPU-`qC=urKZ8@}2&J~G|GATnK`H@KyH`thMb3%>EJcjEvOMbZG19eDj9@G+o|{E^Og4WS|*yg0j2x*#Ao#?K?-K zAg|X!Ak0Bg4%B!%!o2*Bj$roE-S^;R9SIHvf)8>Fy5-Dj12&a&$ZS;2!jyrcpVPP| zQ189chPf>_qhl24QTGtyUH=Krwd?AZ`gAx;{CkbheNik9byybBY-;rNw4+cQLdp_r z{^Ze&?ue-~ThhQOo7C!Zbu8*pxNt^Rk;+UE4IOjNBe_kX$k%R>9=b!M&3yE z+=2RbT-3Jf5`kFva7Lm0G4%tZ3FB4({=S}yx%p6!NE80?)Z=0U*MiO$g*VVj>O*8Z zx<5b2_l5`lNw-2q<9e5dE47rGaBpT+9wqRRn6!8|B@mN}TH}(zuszp6x92o|c$6iN z%0h(#|C_uS1V4)~fJ6b4aWkY7TWH%bih}q~)BpS(565TOH`=)Sz2_|6dF;E)vX06? zqo*DvOH1QCm1h*R4T!uM#m|n+S~YBvto7^8r}G}1Ykw(ScHFv^RK8N`>0j@-B)<3F z{IUm5w=d*gg4)OL*>xlcoX|8C?l-Jy9D78=O3lRtlm&vk;r#OA5u2&s@}ie$B@j#P zvu8@$S)4?JQ)py}wcm&0ANRRl?yyB{T@^>~9>>ZMxyVWKTDBj&oUkxd4gPOLJXnS2Tt6YHWq zzy4yMh1!9fUB*(2oKsq{cOTZc#!+`berKlY`t;HP4X;gVlEA;h z&3HdM{c}>@O-ilBP@C!0haQ|JOFE`0F9KwbYF@!pbr-pEG(nc${x)LGvon!rl?A!Vc0? zM#(LpdfKT8wX#`~1*VL>c<(1-{*DuNif3~Al0`mb$aUqF)6wb`a+uV((#aMEQLGXh z@ho%!=IKW8eD9Fa)OwE_&huvXwG-fmP#a}yp|5uz4pV2r?5VC6R4OY3VeMKidr%sd zyfK+<*>PFNa)=C#yJ+)XQrdphRBnq?lg|}t^6%vC`#xM+P1}0qh3tdh7`?FiJxH-R zc4(=jAX%zZ7Nbxze2Ke27L>nLswSJaD8|N*67Hn--CgSP+X%Lc9l6JUXVV5aP1$DBPvGhamv8_AypPz#h?Kkp|DyXbtRcWc?523oIo>Bx6>3bzTP>EV_J`69)~!^n z0R?9C=(G*Vouqfu>_p^NM)&)LR=5vZne$V~72I_@|LMpV)xNQyFz)HTir9<`YrEzf z^zP1_mvTQ=W=CC~uitv5q>8!ed#3CeuG|qwly`)RbQvI(*Lsf!^AUnJkH|rQxw2=%7C09KGNlHQpLfGsYucWuf=4={@ zYNttqvVY=T+8?Bc4-jS@8o^~nL&pX|HZ^TAMvlppR9G+ptzzuCVoB=;ycgMTQtF2) z-ns<>ohCCxC3>F)(AaD-ea;oCkyxwLojr`#;Ki)UjSoHd{7xWwI>y`?(HJPKU-xyo18MpGZMa#CF87kgyJjoYSQj}^;up=H40~@xr z9(Z0l4OG#A%h{6%O4fsasWVx45~+QpfkJz!xv^4JV2!I9wNL%J^L@z;7{>}6K9($| z*v1bdkO~C+A?E$z3hcf%oi-Jkiv!{HuK9yUTwj7xVvnU%2)J6aJ@%7_K{b5jT?J8B zsWl+oourN}Qsb|M1_6G;pie`;QeXAD_PL*E^zv#Fxd0xGJgG(+UojhGnu#R z>J!8^237Hv2NW$pGL(bYA#5o631p{*X#{WAPQ2Qk?(71%u@E|Z9A(^P7H4PG_F*!~ z`@bg(?~l?#CBq^;5hA2Gm~%^f3T9sgVX^LGbvc}MT+jV?g}bSi-#-9iowrus0JE=p zXwvtD^JVAvJp;Vy%gPh#$TUInHz9Vr5hd z-eg<2?}rK7)eb*<-6${QK#y$3Nrx}~NPqz)Rg@-L@mTyvR=bC7D z<{+GusyFq@4oX)O^l3urZ&Z5kiBK0*0L=DD6(5&T3Vewpm`oS7g9>i+yD$N&hj&cx zc7zzS6|Jhe52y@UO6dn@RG@mI(nhoPBEoj|8!-9JGR{ttDq=J3kGL%H%79B|hNUJE zV{C-zm0U^!SJ<-=Q~=n5Lp#)#RV-O5%CqOw(sRQp z0;Jfj;b<};k?WsF8itCz(QfkB#Y(9bL*JPtkYRpT>V)xRJJZvoh$#wyj1`U?Ppj`L zqUeD`Wqg|++HY`)UXv$kBCTsUlM`ni*s26sE2tfaBu$dp^;*tk9n?B@33-lpEl&kd z;|G70(D??<{b-_}!BQn1nf=I6$93%$5O#h)`Tv8s$LQlQ`#wPtwn)z$#_LNxMgjfg zAJ^f=I;Fb=AOJ;gKHL;Gf;DidJaCJ1Q;^)T-BY+99A2FlHJz_ZZb%PDe&A@;-ibz6 zc}#55a~pu|pJ-QaTVALz6mJ_Pto~rGk{E5tE8h)8JrZmm`$P!3(V>l$h3gXpu&9DTdsNd@u)n1jkYPk4p5qpaNsNTn%2z1 z&u!HC4E+G1%-;bYoh@USMb&!QQzqU6(4JKpE>@Vdswz322TcgL#kb02ylF>m1E@Cj zOD03U>z*Bv4bP{BQh5_a@H2NN5|z3BFWer#;5RP84chsq zyLJ^^$9v(1nEi9HUh|DOs%7&vgOEF~DH{j%<=cO3^=h0hlX24m@7;(U3w}#W#?xp( zM>$66(XZg^y36w-*3R$`=JdLG2f*D=|XjM1& zGo0}?k(mp`T)T;}UVg;+7$D`ZBM+k{uK< zUV$8jpI#ONvUPZ_4O-Ir#!wiGV>h%Nys2X#qyGW$&a_dy^Z#}h@`L!t|Az=DZV!S8 z*emz9mXTqPFxXe2u$ghZhs;jzy$88V;{O5SKJY9%4)d7LUC(Eik|$lt_@x0`2HFTN zJ~Z*36eomTuL3Xi?M!0IO}dXqg|vI+?~P}|JFJOfvU21q>==}(nyc}|bx}TWSV&3! zU|UgHq9m#&)GHH@jRLFt<8prFZ8oLIWl^&)RtPozZ3-|cjP@)fe7VIbI`7jer!}7y zCg|tm6t!+&N(xud2MrIbB;pZ7!q3U*&@+d2jo_|p!k|4v$)Erp1^noCE3A}R9A7Hz zac{8x;g)gyONlm;f5?VAyU^7{TYM{^osX04IBm}z;|(fcC&|01NN3={!@owb2NB6N zvyMl)for(Fyi8h-_wt6;uoI;~kvx6MXQCRZmC)>V8(E&)anzFmWrzNm488qi4B{pH z1M%UZ6>?vhLvBzKw?IIMd^$va_$y0lXG$(?k@LO4W$z}mXXO|@`*Qqbwry>%BzZXi zZ%OY}__A3AV*8UB$CT0!pjj|nu=R)n8RSebs)+APW+8?xY%~OyJ#u${HG;(i9*?Zy zjF0s^41<_yw|Ju*qJ&1dfH#R?tuoY{`F^m~4He6U{v}=TRf}p!D zhtUfjjYaiZ;evNJ2#yU!V#yVl_6Q};vWRYG34G6YFTsrUO zxiTrYyVb7yR-Wb-KOOZ8V;3j!8C?xHf@bNVC2ce|lL-SK4VL(BU7GFNW7F7?&XpSz z-ra;Dl^uy~>g2UmBo&F4DwNyk*XBh-r9*p7fc|!eVY!^;G)p<$H1=c`6$3Z(gGA#H zlR+nnp(p0vyTRR=1&5BS;a!U}VKrf67ZDahovzqN%hRDMPr@Jfw-I7hF$wK;8}F4# zPvcdPr-PIhT9H1GIZ$^N;1FoJ1i+ho3e?V&ei8{ z3bQq%CUCW>AbiPbNVGRW)@5Uct6kfN?<-mOsD$Rc0la!TcqcLL%<}9bJa@ca+Z9A{ z?hx&72E(BI9a8la2(NIzI>{4SGvMi^+^-L({ zeS!M1u?iCZEfOTQl~YlW!{DXXK*3CAWuU=Xw|N!=tG6lGerkjhZtEz_R_(65M4lis z?Q`<8GqA#wEtH-PPKW)LK@N?rJaSZ3!mp2D@raxy$1{0{R4m2}2&mGSkkxC^vJe^S z_d;bznI)gKOhtyenBO==K6W*@6u2x&f+*LD5b04sQ0iSl=LmL>TV~_BPChqm(V|P5 z9#P;9ICDOhs76%iC`g4aLaf!SxO)|}IIXPl@@H&t+unieX|kd0x^AjuweK4zX06WD zJyY`3uT6PUyG!ts8I`Q(b*tbUlIk`Dv}du6o^>>9WU*B8>J;@sSrEf5vmA}-(vxc? z$e_N9>}WshT!GP3#|YD1L(m=$!cglh*Ne+%UEwUP#SudodMjp+U|Zm10|c1)(Muq0 z#duaY{N#4=_fMxlUs7={M~Xk0w&29vPG)-#gR8fmGq_c>(@8ViA{~eAVRv*;rB(va zIeQBlyjpKJo>3u|nSTm0X3-TC*)BdDk`)HEv5}1@)(lUq>)uvoSBpMuD%$#7dkB72 z90WIaHqYOf4l*eSf-7npYv`&u;dbm#aEeb~y0CB+DbN3rTiUrJ zZ(;SzGqi@Jf{HPVh5Gw2$&f<|rEUlTWGIL}@%sP3U>>N%pX8 zi~T!~s>jt2x1p2r4%u~Lsrg@tSGy^JqpFOA9Nn|-{x=DAO5XtuLadtXXg^t3J6on8 zI-TMMm`wyo(9-h%!aCbra9Y=cKQ8tf&6(Z6Dn<8DaYpjgPPZbFAsawJ7XSrkfd4&T z{>rBHs8~&2-nD74Ep&K2u|T&uwJ~N%$*_9@+GVK_cp5%8_(D9=h-3NX3864V`=n;7 zqD1%4952=}a5ei6003YY?lBBb@%N8kEdNOW+!pn|Ae=HV_6cUq+(y}gPS7zCOY>^T z{)sn>`2c0V-41ib4v`C2cthsh!4@d1f^^GO&w!Jm;z>5Rf!vtl&@S6xtW4jBdC`Uu zHk-hmWv73Zqqn@*2Ty{R`pOv5IhZvoO;MWsTfh76DBbFgol4>oY0>ZQ4eLf@FBSC` zc*bZhL(FN7rz};dBrple)y2x>N}T32tJ{W2UI+i#1>Pg<^vM7B+~9)euV5AJfV zc2NSc=z)-*B@So5=qbM?DvC!iTL@9-=EJ^BibTzi7u05AEo;XBnWLPYRcp9(AQ|U3 zG)rbdP2Y*|uuiM3tkDG@#l5CM1h&5Ar?WwFE6)yFZIl~k2IJk4X;Y6KKROtOqme_1 z7S$HClK6r+%^P%D)g*&D1rVg+0HoO*w$l$S9a>F?O|P-qcS+3B#2t)&xj`ErdSjP6 z;7E>|xSRrio5St$6~$u6$eeJ_nNRi*$UQh+BPIyNC0i)n; z5BsTQqylUq()Cm<*#C3&a0vkE(mZzGR%)NV7&BA51Eq3Y@_cGegKKz~{3AQ6HucM~ zv^=~Aj&!khsO5~3(8Py53EO0cnh7&-K0hjw3oE6o;DDFX&b8BhRgx~9q6sthJg@Kr zj3FMk1nySTSM++0tJ|s;j3Mar{h0p(=lN)fJRBNb((ampfBxI#-w}`c$1;alePum$ zd$DB>xq(23Lq&_^sWU~%&|w>ib5k|%6@c_8Mr?_p)zSrz1^@&;&$(k0B}lo@UnCmA zL{fnUNti3jjn^St$%wwx00>MSU4)Y;fPkW!;2;;?G}a=#UXM$WAe^wfI{U)l%cCQn zK@-h!v3@@Gz175s_l1j-x<)WVkgGpBIu-fQTVQ3{&MB=R=zUd%zBEO{k=eVo-Diwo zdkFQHUB5w=0QgyY{LSjsXZp-DupP6qD|acv&x&^Hh4|M;RQhsJ2I1D|H8;*=JOP0caZR*u-U z#p*Xv!O*0bz)2?NYoVGCD1uGV9vZ}H(?L`wprfLn4!x1eTTrv$8r_ec$}_q41g%S_ zNPKz!W8|A$doko0-rL<*1HMgcf7Ux}4yxi&8<{+RFVWr(Q2S+L*w~*LBH&PZlHwO z50tS0Wk2V~%&kyJ6J(*F5S>q56<#n5Mq!JlYU%~5ac6EXhScFlLM=E>CkDp5a=YBo zf`lq4&*6r|w^*4c=qem_fHIh91I&J^*ANx@pi0Dz)%Iz6-zaKG`nDr;-vx`Qb1=!3 z@{5$Iy)g?A0#KII>b^H-YxQ7=F&6r=;WS)dA(#bHAGLXQ2sdOh52yX$7YYXq~HD00bUDHcdB za)}rmgnGo-0&F)N_l8yuK8J*sa5(Ir58hcsHHdTEp{bN}38H&eT_{Q3Te|x4ppDl* zM!T4q0n|t0O#4lG``M~-gl!xD^mS+n370vd$DY7b58M&Tv=xYr0!SxwAF%mhkP!6m zuwMRi-($4uHC`aUiQ3|@QLHT$>t9sa$kFO$q2!fO>5ms?wumonDY3&Hq>-y!*pqR2 z&o2qEviRGzkAN}IUECuMYj(NW*;T@XUBL6yOMq+cXDkM%rc+M82YA=WRr}$9w*NpI zB|tqk_|SAmswN;+*CZOb&=h9%pNgEgT@A=&d%N|S+i+2rI=fa=Y+*^ad{h#T`-C?E zx$`{=SWii>AIc+*>X3B^#zw(U@!a9ZMeDPA1f0Vl5H5d&cO>`3%CuhDWIlFF_ronC ziZpXh@3S$bsUA9N{%k+h_tW->p?&P)Kz(=@JF!#?rIqctp*;%KVLYK7*9c0bq`21bcfVs#&k=Xoy|Jd=i=1P3M;qtghEsN8>de$eqN$c0 z2kLr(N258{A_bPkm(;hPF<&kJdeS!`9+Aog_q0QceS=37_~16M5jE6O+Q%H;sA{*P zoFs!gxkJ?*LxKYx8YeoNhK4(a+Ff$SENYhC}HS6#}9 zrUvjrd|nD1JD?}T8%ycKqm}Nr(!ucseN_CzLHv959JzCcQR+KOM`g_aI<8E)uboWQ zolBlYv;ft-$?1rZWGQWpb`4h~!=u;)lN2i?QxtF_-mVEcU&T(gHLl)E)6RE4*4cDc z1=SE~s3j@MIpNtV@heS;TKDcIO7EBpbc4{# zh?o%}h(wE-uIF9Cx}aAby?^feGm+pBo1n0x#&=C@|F}d;i4E~q{sou^sWyULL*!;~ zZDZ7yZQqDDKmkxI3@N$VEdx z{o#ReE?k$d53s~}f8oRI%JlCWUF-1gvJKmgt1he9KZ5zDeHYs%VP&XAJHH@EG=bp! z36&Nn^Logj^Np*E!1a13-f)0lUReUM4VYEcD5KhX`e;mCa+apu8>?JF74OR5pdiQy z7D}GdNMp?}#JeZmASEgH!1=>>S}gAKhR^msP?K=F8wdM*$;h*w@{N-#xTE*}1P7X3 z)`)v!5h5Re{U)_1gmfv1+AfS>@&66|#@7;q0WfW``ZMq;J}_xrgKXprn;}_mL#zxV z52vp!2r3~ZJE-qH*C}Y`g6qH5W@7CAHk(1%bt}()Ser82twUt^9)Q_QO02yc6ls#R zT)`kLQwy_e-+8Q@*~k<0_k{cPj-~9f$c@i2(-M)TIT@ppxJ+u}K6E5dx;vm@lk6==155FhMJi zKr$Ar-6^S3pIsOA{y~_%L6cQPj`Puh#`msXG4qrvK+gP|v@W+E@@db!q83NmsgZacyi`rA2@ zhxNO4)3@2yxjxFkd!e%8idB@NMm>mqE|PzYwuHC$Vpq19{L~k3DZP|uF(?I2(o!3p z6J#)YN!Es@G$K6Ee!1YLBn-W=Q;*m>8SJ)Z zilUN?QW+7SJtS~%v6}xscHW+q7QDFz4#Np3P1%y#7^6AID8ukr4^uGpH-lu^v^H_2 zdpIP5XMWUN?c%ey4+GbpyEs_+xa-*MzTXM1RN#szjgS%SRBq{T=?E5}>>x02!@=D; z@G@40cPWDqtk;z8!bP3V7H7YYVAMbSbe3FoiGU2*%;eb?)2dhxd)i%NEG>OwGT-^E zD(K&zkTG;?1cPfgEHy#at0{xw;HmWmTkzW79y7W>jbPlVq`btJO?IZjh0`S?mQg<- zavecQU=$(h_L`q*#`H5MqbJdAEQTN={=5OcY8c)(vL-ekPSYg6= z*2>67h7LQ41~$12{Na+yusWhv^YIAA1fOdFv-2+abh7fuQxD^QPVTb0&jeQwjd8z(Nr9;*QF_3I`(r!H6Ugx9Di zjRQ~mB!7zN7Bq$k_lN<7W5l1}woVYXmUZnt1AlLj(aAE;&k`lIJz2a(gQ2||9Ws%RHt z%Z*lJN5XpJZGO+0`vfEI7JwTY;Ktn5`-p}{t>M-{1Cs(xuLR!Yr6ZV@Ho^TPCadoT z@#X_Q@F{kRgM#N2>2hy49lh>2=1b}+h#Adzt-OnFBE~WMvTYY-fwfL zzRK+BlNAZ&2{&7!6g)wvrBs_o%sk#v#!x#R3Bsgn74>r)#pDB52ju?x9-~+No9Yyq z@z{}Ig$uea`;-)?5!~GoZ7%MEg0tkXyhQS9aKR5$6Q%hM1E1o^58$4Q=>oqJsOyw? z>*4m8%kb@lUG$lta`!z`@N?``<=TKH{@IM)?!J$rEXH6fbD)f+mFMTFmi6}ZQjhw8 zyo<)OPc1qSS`BWKm-|q@SEXMbxFUTWc?|oxLLblFF8bdhh44jwI+}2(J*3!L*2yY!= znC;D{2}cI-c^d=PEWHOyfThBOd#wHW`<3a%8yy|i!EY3M!1hT>>SyN(z2Q*21o@eJ z<;+<|@9QvELrVzsln({rX)WoDzPBGm3w%GnFq#h=98eEU?~!hYxX`d>+qLI>s-Qd8 z%vEFFgD$dviVKsZVoVKEK@X7&Aa5VMBHe^|=+b%?ltlUO(lntlD)yb>+_H5tMxOXF%1k&5~n+CVy4lILPac0;e*uo{`JgF5) z`*$8Os`Z~Eh7N=8YcNXLHkXfgPXjUYFl>HV=e4#Wh5%W?6#53P2otw~jg0I5dWz&4 zBmE*@a;H{(j8AcMfpTbOY7#D|@b204DBRC(`A_^iRge-XR;PmA^lu%vWe$W-_5GOl zsh+R6oB!(vgn`ZrdzaYHEdOjoy6S_ zzca5_9Z>9k(Bd?X{ka1Crq%9}x#c<_Xsouo9k@tkS;V#qa!{W82t*je%jBTK@i43| zby)41MaH~ef13MplKR(3$H}&TtY36lxv63H6@PFOa2V~%6%|s|+l``4uziej{ezirL99jHBmJ#l3>eFYCUi7vX1U@JQT8W@+_;w1U* z*}8i#?vW>!+m)-sEI(`jWpz&md%OKH7;6(Dt0 zX$?09)mG0R!InHGxVq&aP8JF0`#SXS6-7OEexbc8+aoi2=4{nip;o$cg-xQ()LO^u za6PhlnjbmsC}XhS%tEj_k!bp?k#zfdi8(pVWg2*{*F)t*F7)nR`P$w`KCpX(q;X2t z@J4|`+Q#C{?@}5`?vp-&+d5*8=swGltD)qEllu0XyE-Ew%-Aa|6;u$lKZ9R` z#o{qW7ppRC;xe}2&x3f=7lLalbcuNXOxM{@x6J>^{3{QIMJIN=wo?r`kLVe?+S0_cDdgL@vX*MO7r!@!#vg2_<7-wPk!>j{KRAx4(w}7hWs#l>E*d z_{?e#lk&LpveHrDKo08!z3jhU_$xSB@BF+irO!HlRX(|WIOFWv>A&Cb5E!20IE-Ku zt}uGaiD7~B5GZ8wi-jXt3Ug?xZ?8lyKo$$6g?&ttRJIWOxf3K=5a76Z_BEiqC301z zg}v~f>QeBjrhfew%HL+I?p1M6lB|6GFoQXTHNAQ03%e(F644m~J^)-1ALtpuk}Mo1 z{24#5k&pLPfJgQs`3bc|t%AkiaP|nsW{VC4KU;VmZ}B^ZHzfmT_C}=X&YArUNPSqypJC&!h3Fg>zk#uWCZH~Wsq&$Y`Mt* z5T!HGE7);jHFkL4o!W?b<@<*BzMpie?e{&i7e$$dyzTd3SG!$1B$>MB#Y|sT6BO2j z)75(CEXe;5lQLecR6ID-;VZ!{K1)u6A_>9ZB_yx9eSP*3!zFd(Zbf3CW*0w(anwcW z=GQOFtV=2n2JOhSV}=osw$Xs?{>%S}>B*)2!xtlGO0^V#D{$)2mJv*QGIO{!D+wo! zZlh*K*2Pf3m-Mix{xCuaEF7Cw~oKQMAslzE&b|FW{<@CXOzB!REHk)1e?Lc2h zut#OrwEOF0W9RJjEbyMKh7#s*Ncv($*JrEVOLxc0m=BL@B2O+o{zn{{p9Nm`Ircy< z$9**xnC3pW0F7D)Q1|<>RSG|@xWIw#Mz)T{l&$iKUjc*I*C!%zz@yyB_|4(sW z9v9>K{y&K#G?pk^gOGF*hb$>iILST{hMJ7!9H~&zCe;&dB*qqrOlXxRTPl^I)moH_ zQmH}9v`zaoGtd0)`Sq#9ixFWLBLnj)m- zKVEMMR`bwHXw&iczU$vB3$o3GJtVeuf*!#M`S>_cI1qnMrJrncn0)tKRQjmNTDo5c z6=-D~o$h%3*F{Csy8pm-`)Gu@M$vmj1Tw#4)|R495LR6Nf^0K&8*s^I(Vks#Xh9>| zU1#wmCl&;ZUufMN5h2k!V>-dTInCbVwEZ_~?#C<3Ym9cKjpq!%6jj!y&+i1$OmxrL zKN_K|c7oe{h0F=Y>ojMB3uZarEZVG$Esa3B^3!h5R1943m?01VPw)NakY(_~dUUU* zd$8Y=%+bg47AO9}ByAa2{0q_)gZY{e`AehGuQgSekim_fKR%+~801ktBdv3Q-3?CK zC?e<`APWY*PctC5lzFeWj#5)#U*1@Cdef%|Ry_X+2NlZC@R;e$I3b7p;`W3aX;#Ty zC+Tog$Z3!Zt;N!Ny*ZoG2`o7;J_E!pw4SSbFe*q68@?TmMeUuF+db)9%af7ohj%=q zLM(H=7_{f3Hi=SL&~7*HcJFrVfOUeJLiUB*XG+kXPBYe4>}$-o;);#yh>PA@?uoM& z-r9M=?ssi+Nrq-h_>ynMiN+zy_0~!FZjhQ>obgW`ll%2T%i5BqB>h>mL$%lw%``){ zkpag1%zfP=sDpesGo{cSG1sB)>vZak>%+iAqzRQW7NjTUYImO{c1_2HO3LeG>|0x# zcGdYxk%|YUC5np*+0Sy5^o35eS|~H-i)lliLi^-?qLyMhP{}^5^boU1$i4V2{kIzr zIl-{OZvw8qT$@a9XOa5rEQ++j(!b9)Y{4v2iu5{i#>a4#cqs-%{v9gUy^cwNKDB zP4((}u&(?2AjirH3Ol-?Zew9uShc4?cMHm?hUG~K`hO8RT+>0q<81J($LgHhb(Sq! zFWE}Mw;w&oIC60{Y|pc$ZoMEPOqn1e;B9;|j(npz*$JgduSy-LLKvRI}dS6rlAzyIL96jH|v*170?GD7)H9GUdW z=_S7@>_6}ntdS5aa0uU}Cd-;Q9)C#}OQ{RR7-rbNc3WuiKqCO@HfxI)?@qt$xn{Et zZ(*u9IivDdk4DnVdq#L`IivTRz3VICZxHWnqK-2Kg>p3{1e4CiuX}Lrwa(XXmCl?$ zY@>j{b}9RxOZ6<>FOFYty^gtFgL>p%706 zhV@rStr+<3GB2n(-k*CmAFO*V`t52874KHTo`Lg0gc>8W>`U7?UH;fgFvpC3Ly!JZ zUrYZ2CpV5cIZeTqUm|rC!9l1@@iISm`-6vfJI}n2_h+a}Zn8tmXqD#plaL(sJ*hK? z6)Ky6X0ooavJ`*tHU}+bVQ)+(Wk?fgYoFZsBh7Jw_=ik=C|eqZZ^lIpfGN|Qn?Eqd z{38rB^6WD>(r`LRnZ%AGxb@>p2z<&awV~PssP4Rqr6KLn$~JO+CSta`BeYjAoF(BScIQ^%LwRuPg3}^`ra9iO`Jj(ra5RLLUjcE+c@e>|iE}bZ zqvRk0pUi_Cn^G1|2|njv7FCt!){r3$eTuz>tZjJvj_G2=O~9l5C|;!7tl%xi>}jCS zejxT&!BM@3FjFMVxc5ia*)Peo2XI7KEu1Wu+#!gpXGBJ}XZGJGH@ zUYRn_Z4ZOjfS&8mX_`0;z0JVVSnW_ne(3MZ7I^Rq7%~J_WQ3}A7QrvIKp+5KrZR;E z+;u!`HE6Mpn))>b68%iJtOjoWz63oeScp3rxJ3b#wG~#`+Rjw;Z+$i{Jx?HDbugP# z^oKg9iLnBeGI|K*(UGK{DUD+hbBBh9WlT`${h zF{dj~((s1Iu&Xz|=q4BXECMmz#Ck`_CR9e5QcdP5EyD!{B+$IRz1A6ib9YIgc`^iw zZLd7{&+0HsoQT3qLPSwIrKW&4s6dPielA4@$Jk&u<($kb_p8{l%Sh+zk~e{ZqdC}T zY>R)*HDGAeJ^T49e5u2*~=Du+{ zS8&=*vPm`?%);lJvam9=&Y+w^j0Nv-GGxB92G3I<#ewt*N zO^F&;ssWO}6mg^eTvUo%BQ#3UOEu0S0&nOJ^f3dId_j)fIOVx*9AEHg}1E-epP+M@i-uG1{$T$2~9V!!9Cs&FA z%&?IME>qhoXGncb_}18E&yY3Z4mPPIN=DA+xl zDC?eGP$bdKlX3}!J7CB!DCY(k;q|V?*&LM1gKF%=w*H+Ji9^r6eQicIhD0MFnLVp^ zm(h9~MTC4Y=lZB1%QXbHr$M$Gsk4IffT^9yxv!HaT+O_C|3rQ4zIou01Q)v8XXD4hF@#)S{8^w>S5(pJ-0lO_V5YLyeyfnq?NTBSrWc^ z-GFz*UKfzDM1z1YRZ5L8^w4E(?7AihnbKz+9)^_?1(GK8*r;(Udp9QytAf&sFMHPQ zS&Pf`+-0uFWV8*#l~KI#6=A3SHMkEy16#?sDIMr_ay_Zur+|Tf$0Hkp>m0g?S${Zm zT&Gpm@f?l2m=Mcy;U@I)EHNzbkoU|b&aW8V!yUF=E6;-)JOzEfP`Cd`viukqoXDSF z4*XETJZpnPJ9J?7n#aGWkR07Ej&;{O=xBdjriDGlw%ig=Ah%OW&bSO`eL}#8v*>-@ zD>4PB+b0C4IwFR|*$&c!;KXz`*};u0985V(RS#ydfv3=Kk5KIjTDDfQj@HZ&Hl6KE z!FyvDo>;~=JqhkNn>SwC^hMe{uK3Q<^XL@o94Eue0{{Kq;ISltgr{QkIsBmhz6rci zC+a#@+XRRYffz7x|7yxZ5_buhZ5!Bl16WEnxHb?M;V{`)S<;~xR74i6cfi?bo9u(2 z0;g98oky`ZIlJ+nSHqC@Nlfk!FBx^|{4oiHZd~=5otI%4!^{VZ?676}LRYj_PBmLb zuKdb#XStk%x!Ci3?>3Zk4Foj=52f=9#5)3(#h0UM8_(7eTGf z@fD-X=8ySA86wtT?jD6h4SvX&e)N+AqvWpWtC2ax#-&<4qAr82(iEhI!Be8*3Kbyv z-3VVZ9|Upvqf$`yVIM{|G$5AEx+lOSIzsHNg8_!DwvUctetSUbfLtUX*j=3kmm}D% zAZ_&2wnXYnw6L(bAgQ*0#GGJBs_#-3K4Q1_b-arWmo@}^;vuW^Bk(V7TQc2O?JgnX z3iZIhNWDW~>y3(mdDvA0H!yWAaIRG58|yb9mH~J7xk|O?966zzc;D7Uu`e;1^fm_K zRG^p6a^L28+06Lhjm ziAiRV&dibdJwEIWsp3xJ+~B!;#CQl#QyCWaPF>)F@4Zc)d$L4THI$i~(lQoS_aKS2 zkKw5;<)etEW9%Y)nopDO#)*+_XSp;<{K1Gno#3T~n*=)-Lz!ESou?LT%G- zpRuX>YS(NplbR{psewU(g0&!eick%B=4zE~JOZ7?+T2*~Jd&}6^fKY?fI`^Dpw3qM z7zJ^-lwBH`P$3L5^lui+k=T+dNNWfe#c(s_-~i4Db{hBvqp#kJ?{SXzY zCRQ6&9-Vmn;C_^o+yNO#nAfOKyVapiMnD+87F&)7FCgv7`0d!6}FMyn+J^TR4fVZH|@D<)6q^N zh0j^C2DpKKZTe)k=H63S>RLE`?@%uEWbfU_;#`CYl<|c1(lo|$%N1}V^6ntb`fUk@ zVwSrDh9Io_1^J@qhSi|6nmB<{{ZZ>2ao>kDHG;ibgXE!OKRcYTU&a+_!?h>+(S+S5 z$YYRPZ_B>|5o?c^Y}iHaHYHGRN~O8#ShzG-NOn&mW|Sv%hI2%g*qWVqUbPpguSTKP zZBKADh(0)9!ISrrU^gBZ!pc5)&o;>C5eQKy5pQKg4B}RKGk=6#489#N&JJ5+1RgA* zi>&goAbhpSL^AkH*$NS1y%nTcL*TOw=+}6Nd52n*suL2uf%FUl<<@kI6*wq%;kCUdA)}km93y>t<10_pkwT%yh+6{~ z%_*n91MrhdH;E^0pu&z-=?c@v`xc%>+lDougMIlKctw@G3%b z+@GF{BGZ=%a$JjZ-P1@P57*+@D`%Rc*DT8*t&6lMF>ZRI&8e#gFC%Y>?panU=DY?N@yt@MA!z&5t5$wBqAT(G_WR@&gVU`Xf~5Ad`YO+Q&ELvtt?$7{Jr>S+S8wyid55KPQi={gwANK zb-2^@F~Ci%1eZY=EV7)D6P}I%%zN%V5sVwM1@~|6p$k}uiXE|E7Cof(HhdS* zkVl~jIO-l)q{GPISAXOQ()BxKv!v63H~0gZE+X^}cMZw01M_47sRhA&`%{rW8L{|2 z()o@R4twf<`}T&#xrG`Igvd{^21B(#3uF-ofbE0W_%PMXFaH1)L@rw)S2XTkrvEyK zsh*iN)P>V^84H2^O%7?!UI$^iDSQ!1Q3~TFdh8h2!C+Gs>UE%m-l*S`Gj+Ibr#?pYx!97evpNH|BE9pUU z+48by=bm*EZM97B1v9;xt>w`W+G!cK{f(GvYHv?4dwr^yf91xhRj7EUuoyR)3Atw2 zbPEvyH|IBw*jy5K7Nb=x{cbMI>D^qcM!hMVB`)>X2xMxcoL#uq8kHWbQw4da&gHDxuqhjZENw`(%Y&GgHuU6KxN%i{Uvv ztv6B8!BeGY?rE6u9x-S+gE=%OYr(rc*iopW)(Y!7VvaRVjJlK+mlIf0>e3V_bcPy! zgqe{M<^xK{9EFOuwBB#9al^8v1!Of%X2N+eK#h`)7y?;blOYpCP&GH)4Xa>v*-5EE zr+%e6ndMtee4>0Tr=TlCoUKzYBc%{{_rQ z^QBP9WP}};aT*|1a_H%Fzytd`iT2<--QK2LC~ivgWS@-WG}o%D4maUgyDtwRz?{DG#r2-V3|4G8|BXmp?5;=B(Q;PvLXnTr1710vC{ zIm*H$wd&Z0$?ZF1>Sr=*E`gM*$#?~JEDM8()g%LAuQHJ@NP>U7xLE%wn8|L~O0}vc zdw~sqp@^WR(hRP3L_;?l+ZEFqYQais0nyy#B1Uh6ZYpqhEAG%-i^xKu+PgbdZbeq$ zzguj5EtnN=ANp{ z)n_8Y9Q>^-!Za7~v3j)S21G=#pG+;$PQ4qV`EuK{yw_yKu)2s+rV%j4lb#jIPFr!i z#>*9)8ycp)Dg-u~+>F#sLcZxBk_Wnvp=WO5(|eW4y%g;lz1`cJ-Wy-LcWmmlWN#13 z1IqB4XIHoN6trBR=lYB{GF>bpgu3K?1yQcw8Q?4C@aZqp8fGd6Xlh+xbPT7BadUQmxIb+Y_6n@%3K%>1E9r z`nzl5UM6WP!ku?lvl-=sVMmzf2Bh|7J0?UsIjJ|#PDBLL*s?co zf{VBZF6g&3HU@H$P7d6fcIa6HUS}L-@H-)3Hd>$84SX!ir5wyNL@<3Lk>>`VZ+X#k zID78Lvc`SU`yeL z)CvB~s@C_VfBFR8T2}{t|H-FO!w%E$>`g0MW8z*FXkCbp8^v*wQMO`U-0NG39i(3U{7e)uS?@nOS_ub(2h=Yks1>KPE^9Sd@dD*i8M z`x|uD(pMxwJME zM$F~8yZw%&q-2-#&KBS9jWb?mY+v+5{9|;o+c~K6i%`z$A zj`Z;^3N@%(&Y4W~K9*qlY?q30w%s$6$#(}*Ooc2EcpxC2t=i2L5%xYszWSlvPouCm zzL+~WAI~7dEn$Z2BMf1xt>p2utsAZ!tk`)1tonltI^u0O4kLRbB2+E14Kp70de&VY zIq%mI1jp40>lbcIvf6hn#czbKmODGB(9j@GM7Rtwc&p}Hk$GK#m@tUo*7YV)AjpC~ zh*Z9S)!vTdviDemae9b!H*P|Amq{L)4~&nuTDbNET`-J7s(DpsQ#509*aB@0g+QaA zde+?pq!z;XHlaJWgD>1cx}Qc2jgPbX?2RG(Mliu_O^~nYX!nQP=!XR;4&>8OJ+@bj z{WBj_)ufB^T1dh!ot3u~Cl0xVv`*P>ljUlLS%W3wiEUuk0{Bo6t2i&mZSISx*JIwF zSDNFM<8p3Cc3tGEP%VDuJy!KNI9b39n}x_8wuBL?_?yx8cc`I58ThH|CwZkyE63h* z;JMOUHNeEGR)e4XUARv%l22WMS!xSAbkB0B^)s$4QjPrVytF2PsIraDbECa3om+#b ze*9S9zIvhxGh*j)_isMNvxoXQQ|Ek9EV*;!l(3ptIz`=ozw5B_M6v~W>FB#iTQloQ zgzAIWv=(Civ8f`0ySK~pWWW6ydm7p82pf!L<^-^|7O=3TRcw=C+*9<%kBgNO*+JhN6{E(aGcptlS%g38UFK}Sx zFN+}laWiE_wT3Ek1et^!F=-7RTDU#0a1Eikj!D8su0m^*@`Qro_z|p zPP_BV(Z=G6(jdC3mfbg!q1Vkx{A59eQ*bW@%+$fbXnQAEYEh*3y+YMSsz&QgBJZdK zF9^>46)-wCw7XkGNHCdH>{#MyPthtdfW0BR(Au^Z48#zf@fVX?doFBDp*Jm5w2S*h z`nI@pzCxojWw7Tjz)h`B%M&__2pkJm^?0NmM|$Ib_URpUp+L(FLt79WNEi-I2IO)K zP63}MB9ufA*q1lnzehwkK(4pWIZ_pH;K_@_9{wMnyG#iEa$WbG&i_R?8Y;KTwhm8) zsW$#7`?ZKrY6h{9Y@?ZO+k8Kzjpl{n`g~6b z2tK<|$tQDn`DtGFKn>;42QKY=zJ6~bat`+PdWr~@iG$x*mPoI{4w_J$msy<>GQ)Sd(sWYmC5Mr*khZqwMM|!Br@$SI@gT5^LYn z&MZu+QbF^MgKVVgb?G0g;ts+Ff)`^`98}OaF@oV+3SA}G>Q(6#22WD1!;`bh(Hf5~ z;Cn0IQpjL6%Dd`ug$5O0{ubFEto*{Q@*!(iuld>La86a*P@J(OKZT)jetz6NtN`{A8E~{&}%b$EyOi+Kkm8QE86^5I9Dj=1Q(dW$)jjG)Tk&E@4lqa)R?WilJBUF1r|a7N>)YRzk_K!l+*Bk{VQIZm zv6~owPiUXS)X$`~sp1W>w-q1u4V+kmWkCATT$FPeOUXDo+`T1urA@C%UZ>jA&ZBru z+3I#lRl^10yREij3JVB^SqP~K!n?&x1rM3JYvi6LMGQDAF-F{Vh$l@yf71U&g=|Qd zcvw=7bRLu4lNbK$#s*wNpgf4+f)B}3?QarAr+9zvYGK9Q&J-v(CL^}rkO9`d1xP23 zC_Gsbz$u4?NewKdTw;tnfWMw%MT%rRr`S>#+$48pgo+4%00%;Fn=f~7$K<(NV9V;o z1X=@}sAS*#rUhEfRj*~m?*Is~QMvX(pZ`Yi#?>I(-3ZNo^a~$?qB5pNrG!S^4Eo*Q z5mQ6z+R;)Q%;ghhWc7vv6MJ9QcJ={}REZ$X<9?~aYg-}NJ!>%|Gkz2Jz4w31B}>5% zKipe!!J7>h?@_6&&j>Iq;1D#1)t|>D8PpWY5|?d|>~yMX=die+(JC^okDz_(!>P&2 zM@D!jRe<4x)IW}uI*ZoQdVBDuC2yTCmPFXJBxNPo1TwfghvPnY(I8FPhS+&HeIHo< z?IaR!r>YoAuvre(xhe@J1`EM+MutiEj&79KyA+rPGj^#hm;wNZ}Pa9CMHTJ^u}8wu$PhJhJ2oZxhQ| zYaMR}>6{0C#QfVkp;2jGt#y)PrL>YPHTPBf6a!n2&3Jlr$sT-BRN_X*K#P_%ssHU` z_1YiS%OO+IaCZ!)fVk_WBFLui}F2d&$n9^6(n=sVN}37!3>8q+o>o2IbsF z4O=8@Dk(e9;RD>6LlWRN{nZ2sPwSVB5Fs0RGZ=&gag3fYkl#So%ml}>D_}8A3M?dx zNwXlY9F@^Fp4|Hx=S#Pxu0@|ap`1@5LfvK=DUm{sReJ(#tG>7$V=bSlSaKlX!&%KV)9ta7m)x>woFyh?T(@zp>6}Fwztmbh0rzPSq;)P# zNzf*hEv$r=m>`dh-2}DCLIsS&M$~JpumLVVlmGX zQ$0sZ=YWVn8zO|-r`ZC%MDYZHqoN24;S+Z}bUKQI(6HmcLbR-;f}9dPh#b3#4JA8X zO%V=qP0FD?GB`?hhBb8g4Vc09Ct4kIui%={pGwDkhB_A@o*Dq-(*aBDU=QbXy(#r3 z6Dhu>2d}6y`l|J9T^A(O_ftLiKUtKHNTbjSkEg06GW z79{}LeG#gopVhivPe&&}4$ot)G=Z;$@Ehh*^>5KO48S)L`nk0+IqqpV8#BKBSvs<& z{q*AJjW1DdwcMt-_Nh{ruw$^bn}>6lraWA6Z8s$Peyf1<;5k0gUc}eN@qY~|4x?I2 zYzn`k36Kz3%#^YkL?AKw@nT6+&dK*z$0yKJ;A6N0yA0Ukx1RiO2HDGB3 zvEzf! zqp+W+?>fj(q6mb)wNP0#p!K8hYn_M?6CmAq``_`TNg-PjV_dy5qbHr%*8tHQ0)5EQWsm5|uP-HDUA?gRENebfY#hX~^x z6|UL7Z&mJ@o4BovbQ=&8Da-A~MbdjhtGq1Wl9-?JMi*PYunK4551%7R&bQ<%D$B(S_;gK#F-RnNhC#~u$?MB!jbT)J-0F>>^|FhWf_3Cb7KnZOn+ zQxXRcvptI;uS@Mgt_Zx2#k6HqieLWzGf3?%7)l4W(#I~E6uAqkRIZk=C^Aed;Ra6|wwqx_x+zTUoV>BaV9YpJD)0f~6UXbb zk-8Jce=LJk!rG@UyWH_E(#8d<;7EacJ!krzry>Far!OB;v$d>**4YLn3hqH7V6iS* z_$oBJEU#X>4XPl<(tECMhajgh<-kr&Azbrb{^MJPa2?$8i86vRzHaHGD!zdndCjAA z&SAzX3Umafw?V}64fwsHX&|zI{{Ac^ECe&`N|kUAjyPayul`PVx^Ek?`WgQfSSiWF zt#cf)2}pVdsRJhr{$B^DKQ~pjCyYm*qoi;4_nAm0qir~ho^Y*)vzZ{NoGgP|J~yH| zEs^NV`FjZ6YlBs_m$pt65vo9BnlU1i7`1j|Ubk6cq!c`PK6K&^yi2M@(j=9VF%8Ym z?2$D7UX-sYLEvTRP&uAipPxilt5nreM!>QUDwYo z#ANfok4M17U6FRBNE%xVZT%a&t0>Hb8`$*|Q{kF*$xNJmt~Pvc?~Rg&heL zT*Y>gTEiMbwb8iz%Oiomw6Ol_q)F@}y818dMTca$XI&kzMBN;nYyHMaQ;$@KbiKow zkaN^FjVD-w3_~%1yHn3$)S33lLi-dDbFdnwbha1C3P+CDry&{lsV*rNz2loXe%#5z zujg92AkA2XiX}upPljbO1I0AT1I<$)(g3TLogy})%RB9NkfwPM;0+s_!pLBH>9M-( zz=}2Sw0rLa*bKTPOge1#$kpwsOV34sAbkmmH{2S`#QDrNvD6n+%WO%~gm$cuC~!^l zsF{DzU?_&1Mmom#@xp!<%yxss-7%M;RQa%AgBGD@atE{F|7t<}P9|gWhz{nGOqwKf z8C{rC1)I!&CFMzKrz<3p>6#mOUuohbuH&05sVN>E<6aOvL|u>0>s~A(Jc&Ej=p=mC z5%b=u?Ntk4xp-&UQ-EM!fVp5po_A z`8enC=-g?;jaijcZsx~5*6o?fSWn75Fc&3Xk5i;8we4-4! z^Sj+v4Vn5Kj?CbM+f`wyNIP)c!;j=ALERHZulaWZJp<=W)bi3j*LpJlvXU{e-JtZ< zOH$OKr7(`5j?)01dY;Loa5y!W&3w7AFeWx~oJB{3s#)eD?3J%3FvLdAsn!7W{^tVN z$G^tZ81EAG7gNaXN4J6(gQ`6zqBWL`mWA!}6)JEqH23j%FM|{%5DX}x&u5W()WLdK zI^;7LiBYlWXIk$_iGt$aK6GTtNU}pgH;`*9BCObWZSUrST9{z#ExHe4y^}ZrU^8qa z8aV$U3{`_tp>pqJ7$pv`VRg zf8bSX{Uap@P|j6|?$-6sDCl~_L~5_rLX#L31kQ`8v(hCO>1c$!+V(*-p6WteSixtB zrPLx%8=={its=sGh%>8hBnmdn77;#yTqj2o((LmUE)aCLD}$g*g8yb?9{O!^0XAYSWPL>3IQmin(p)m(UsZyKN%5i!!3VK~U*eV(c zwOMK$W z6LY;h=jL}JQNShE<+*fn^SFs^%(CwVw!z#4_V<@zm4)I7?!Gr?3&?ZlL4d7xuu_w8 zV0>ab7)@B@8_3ko_B4i)l(2D$KI%{jDvp=>YBpBb@~tF_80|}RgK{+)D3Bk5%^BV@$eQpnF|Y3X)2_iOR)QJ zzQPCi$<3R*iaO%##%&mR64;~#xW_}qHfetbO74AzUkz)tof-;qVT);&)q;tKqw`>W zCz&|`td4d^AE5K3ESq_CwjEi8K8q5S6@(#5#v;NMW=#rBX_zg}S#{;#^zWlC^_wEN zgm|?8+!oDuwK3Ni`M@otTY+bB_=b>7H0+|nvz|NE2N1kYla%QmFSJf3wYB1eH*ozV zFp1eDZs#s2Pj~|?K-Qi$4S84^x98}K^9ilnEZ3oCn}^LPO~o4B1@XyDE%Je~jN1FT$O@3} zgE>@WqRP~UBv`K+MTCNlV1R>~;!nI&b#MSb6ahxxR4xL>#^%4e*2!N1b<+ILe0hu$ zg#J%cNI3U4NvFBE-TM5c*kz__n}e6nquThPU&g5mhQh+}$LqSMTTei;KeJLN;rhAI z`>)z1S^PFn*(a&!tS@5penvZ{?8w_;z}C9*d{P8lGyUf)CuSZB^73E5F?T_%X7O}! zynMhAhOqRusw#c{8W0>MIQA|A{~(sN3~~E0lDZ;+*2-tS-}#!S)#v?giF`Z*R zQD6TGlqh6!K-lDQq#K3w`DHJ1 zZ|p?M&^~(nN+&3l2mz5n)h((nS2xyA0F&u^anIv_R0bQb)IATEPUW|-JmVK=ccF>u z=7)Sy$6t^Xf0oVp6l{E&Z2lsX5k)w39eO`1shRQ3{vPm)2(VemTJZARx-~lcqF05R zI#v#6uU}TT%{v+@0cn;${Ic{8+%9o~D(Me%$0;$^9roF$c%s!d|1$AW%Qq0~5})~w z-@KGhZ?I46V=ezz2X2x-n=#d%mdLviy=wwHL5S!u_H;?C@)2`y`J3k++q8C1Jx)XQ zS+L!A(tY;glw|@=dc=TBS2siqNI^!Rc@=>|S1hEGGN@*(h_L!DsRJsm9f8H4A*N8W zH2IpnE35Mb2z*9$*jOfYo#v!P48#>~C?hBAp*nF65;0@z499mqWgNy@M}*rzXqIg` zc2pYJw>Xj7K-@!&nb^?HYR(hHr$h|6F#jQln`Zl^IXL7A=PQFXIK_Hc^Ai@*@$NgV zdc?o{5S6|*5UM;34c2?tnd;H}Lj>_pGZDaG^cXyyOz|p?r--m^Z}$8Tfj5AQqmPHt z6>f_=_^d0@P%{yiI)VkPErTr~lXSX6?uu@(G(Ka6CjOo{k$YjUqw!>iZ#ogi9y2O~ z%$&k5Mfe;zZ6@xz(t(x!S$~AqPH(7sU3wVjfPW886BgHMj)D7< zG$A-HPA7QLe1+_u`Fqyj@l6;=FhP>~pM|kMTS-%BV{IDWu@m8%dAmA&+q?rLp(|`a zl1*k}P~IzBar<-zQ#l896*D*-H5sHg;F6EZXHOH?ifyFc1N6eQhR>eM7g9fw)dFJIwiNO>^tlmOpjr88 zFC$<~Foa49LcZb|W$6*B*$JLK(#xZ9*1`RbNO2rooNq8e6*&pXPMbLX#V@d;yS=P7 zW`lThHf2c_Z@3j&x)A)jeL8x;N)pD(;f5w_o&AGcn9@g}@nMx?Og)w1m+$->7IAjE z;vOZBAI;dfTFSLCsf9{u9S~y%KNo3mr9o;a_6m>Q)T|%Qfo?YkbCnrSTedTQ85z8{eW^ATX(_ zcU8o$qH72(&my&B)KpvuYF8jAXNbq#gfhmqYm}oqP&Z(5uN->66xXQ{a6J%5CvGAl zmMwW%GnK?Ox`3tN8KsP1MR^^2JMif)Ah_y$R?leDc+v?O;9p7_+^q*5NRy~Ux=`_M zoQ9t6c1W;tvCkSctZs-1WPPD-DsyNi+R%)0sv)V06QZ zR@S+wUEwZcz-pAa9$2MuY1@1>lPr0(P4)KqRC>?q{r4CTr;}RGeT2YjZNFRcZ>&7Fl_NX7)rDO;+x7~__>0lVb09ML zGXG!^=DiC-p$P{q>__tt6-kiMq;glB=(%gw*-!R9h-Q>;O(`hDe^SvhY0t64s%Vv(LbB=alPo{%5l)?rmaBnBHsFz= zpeAx}#+WO@7?8_ANd)k(Wv*<@Di0#J2}O~2-o=5`t-xJGXt`(Bb&=FwiSQe@Oc}p9 z>9R0NS1L0{VYpjhZ{!gU{iSSgTsOjR&!QXbJm#+WsiLPlun_+F0vhS96B`TW&v;5;&@x3 zW0TGNO$3n4qu#&=QhG}dQ1wr$PLl}RJZ-Ln+hrhFI+ktsdD5D!2E4PbGt*8)Xd6=` zA~eOciP!efL5`)qhQ-Mb$<}WiL8dw(kipwsm48;YI>Te++RV9K%(a;-#^bKdg`()Z z!T9tcY&3hFudqn)W)3b0trA3je7Jto`*~iuc&%G=t~fF|05S@wAnE{HR&&p-Tes=m z>UC#9WRhMVM{il!ZtzJnB-?#Hn$3iTwD~ZB9pE$emUlp8toFFmPXa(ruSDz#S$8-DZ5g^iYj!D5VfV($EwrbU|MFEP1y<(qpN3 zEDd<_uPosqyB3aLYF^hT5M5o@qvu1pD=OZ@KTXT9F{V%fmQcqNdBa~p1XN!^!CYA} ziqUh82HAhAD8r+OJz-TMLOB8!*)WMWM2Ki!akn^IAy#v-3!Z3wa)%rqV{|5?feEex z{DW3z)`$WzZ;!)&GyeF#h4n|}1UzYgbuwM}AR7-FAk)Y4cQ6D<=yJwtuq}Ioc5arm zbkOeSB45L>Ep|aBHX?#eR$uo7i2DmPVEcq6SmPJ+4T(H9N!X@?JlKh}uxS=+9(&=L{^=_M4gpb$a= zK@bQ*fl-PLP^1JS(m|yuLQEkrA`o>P3yPo!NDWGp7D+%eGZv&vk1{GvKxqQWJ{w0J z-|~Ied4Igu`E&AvYww-C@Aa%_-A`H1TI|GL2tKe;96!dtlkaV*WnFTCOzBXofo(;~ z)O$V*(%QCPT{jdXrFiz`b3^3`ufo&HK?<>^s1Pb-+x6{rxoKe=X4F%E$rv(Gu!TLsDLaOp9e=0+9BnZt9_k}8tt`v)toY}?QAf7$Gkdb zzrzqNLVm+}FVtMCMBBIKT9)kKq^C(h&TY2A3~|y475GwQHfx>puJpw&SE8BtGk2}r z$xOsU(+x|fZXU~1IC%1xj5o82J0@lp-}VF^U8fg@2=d*$KDydY#yjkGwxL9oX>QW9 z{o<5!Lk4Thq(`*gFJ0cbPb$49F>(0!`IaM_&97URo|LoXDC>#ZYFs&m7@0vzB2X8N<|?`4u_f};IwEf{9BRAHfU>us7uz4}wp zh`8Df{9-Tnl;bsZER#C}-V1W}3wz+^<9R!Fg8RF@+KmT3S+yrBVk>qIoZO*acH-Hj_7HSC;dMsXlk{=gtrxGZb{twUh+DYr z^5@n|zZmO0RK1R;2j6YiV#GWOt{1$U7*86hdvwurU%Oft!a7xI!5jQ{fL7xpx?`}6 zAQhj-zoy;Wg7Ijq8W^~<_2g;hpp2#9sPDQ>>PAoQy8;2UyW-fsMh~8S*o3=ZMKxz2 z_OFm|WQWovxt(VfKHk@y-58@4<8rVk_!cC7JG_6V>vcOuJHF{Dr)+5&9StWVyIVE z6Q|*4JGqa#Lg|r3_>t%#dHDeQ%TMlT?Ffru7WUPwq&wR|1E3SJn1*iEwz0+}V~_sw zAS1%77E?M#xPGT=eqa2_KSlIqNWUlCiobmS&0*Cy5Y8Y!q%g4KRBOu&Zw5X)5Ezaj zhggv!9CB_x)%d(yg)Om7IrmQ!i{H{a2XmpYKl3u=jb9#><&?hm0Gj$}PL@F1Mi)%vE#3zZ*olKE?`JAbwTQtZ2Jo3q8&clzkV)b>uDwFn@j;Q_;_IuHjS5HUvx^6jWVf6MDU}KW+ z=+$0Ezp837RjRl`(vYD(XgJ*~G2v-mVwv1=T9}mL$8jC$K0C8#R>JJm&;3P*MW{nw zuXc0cUGa2de(}}$?6}@L=R2k?C22nEwL6yXpImtJ5}WnDsJ)WoBTv~U(-(+G>*y*v z`E0T`-D*#Vu}aKJh?+Hv8+s+E9h&w+?T%9BVs6N959<1>Zu$aa;`zBocF}pu>+TPi zV{3$cX4KJbO`KgCd*A(m>EVj3KmKUxlgjze0hp6@wPz#J+_#69rn(!rXX={i7?c0> zzUsi+>;BU??WoYW`MMwPrH9W|nk>BNeNWb!pjQ^;prVT_jgMs3of@A1jQnFDQr(eo z-*6+u_keY%r-H>%W=_r76b~<{z0+7I-ode2EcxsPTwqv-NxMinEgbUf(5BB99@3VC z^>BH~Aj0|y4V-YtIp}43cf@(D_mt$$ofc8&C(c@x?S20~L*ncK=E$jh6+p1Po2im) z<#*)P-j#*8OSq!dlgPb17-Aov|>_`B1EUvVx z=b`plSuSm}ZhXU@0AKJubsgxtz&>LzUuN{iawalxvl#elvfMNBHnXn65+Ivw9-piC z3UJ8PRK2iwSHfoV{*xmN@vP@zqg_oe;w_e3@Mfn*ykHv^)Q5$q+G0(G#!HI8OGdeH z!+b1b+VY~_J(J;yyETp+w(bDHeZ8i7h%#x16#H#AEhR=;Jg>E{!%i5~N z7v1$ovwF+6O9w_Oo%mW8bM1^CSl+U^CP5Cknkfu@F6hh^5LLP~*xYa_Qct_y zWS3BMBiT&pWA)~kM++~X_@=kCCqBSyB|Rtw5`+)57fq!;Xk5M(v}1PQq)Oq_kISj2 zhJf?J%MOeu&+-L3m9_G$$tTX))CJui>-GLQ$Ll<^ZrHN5!{zCUbqdEj5CA-NDofuC zz0f~{x_Uy7^m6EeommIy)S+Tewoor4A*j9;B zUK@b4Oan57Y)M>pg-g7A0!VJvd^#?3G@NuY>cmlE*y>S)f3OS|ZHh!8zZ{uan3(*1 z8T<9Jm6h@Cqv6M*0+Cp>8F+24jJ37QSB&E_I1I|j!VHB%qrtCGNG#6O2#rFaO#V7O z5+-Bp6lP-TkF<}F*(39RdwamQ+fha!7WhH_>%oa*-`igO{)e`Iy!{7x{*nb1_BXbP z>FNi>Mw?@>CaaB@;BaVDEE2>vF*3vb)iQ`|@}*B8E_j!b`D*`ANVKV`5f(fId-}DP zKO$S8O|a%5pee@0#2nO`5gKD^Vru>uG6wSl^4F79vWP-N9fI@&We@9r=1{xfQzj|P5hA~3@b!dV@8)3l1??RjY0F7Fea8(^B zEXD%0+Q!!d5E{FR@#XVH)u6h*hzLq+g8BZ9x%rnSzk_3b1jnK*aH}dtt!ns7D}N~+ z1ULT&UE|EZLz|$DOuuT|#MEe2JKwRfKVq9;&2XzqM*Y(Ph>b=YVgFL=s!}lMZ@n-@ zW4`GdV`lM1fbZC5KVW~+@)tF)s{4n7FZ%ws zkQ3l@|9tQT8T~g$z&rjLJ&ZZ#+o1^xV}$#0AaOq+gM(#`TGa;@yULB#gTI*m1^Fx3 zUow9^L0h1{z^#twKPkiFM_}A4`&RKleWO?T{e>y0zsUCwz+brx>fXrgudbj`7Dnda zP<&U0$&a>(!-A%V0TIo=32*k-V1AMLE80Kk*$jgPxxdOua9D9hCjS6!@`Kd>8?Qlt zZ-cc8Zi@LvI1`X6U%9u+kAJX7lOGN8e?$Lk^g#i?V4Hpu*9?XIqKQ?>&2V36__tF2 z!6N^O&)*sTuLJo_-)PWRePxR&`k$cx@9psi4+Z-7uX-@Sm>YraepTV7pu$(L{w?-D z*yI0V>$q=pSXBx3o2=$I>=$Rc3Jo&*AEAFVN7VlUZE9llgWP7Ir(2bE)kB$o)4cgV zkK~UA`5&12H-iMlUZwPEBj*1^?r#S9?;`GM7+sCHCOEYD-vJk7|Cg&^z}3!=uxYmw5l8kNjq);fv)>8E zZcqk0F5k{TN@7+$%3pVaB*IvHM*+tjWAPmY94U;&cN9>&SWxR+b~7ju*5q#}P$aC$-%y}T zSd+h@R)s=;7iu-@!G1}LzGO^4s(ba3uj*bMY2-J}B8~S&`$v7b@V9 zffNioiS!DO4h==F{sF1*JtMSORn^}KVcd;n@*6-5B4TXrY7W_nY$bLO{T9iYP1h(l zQ+M6i<#y=s?!zuS58=5^UW5Y&^jd%KdbCLggI#ZpG22$;A8^E-Pk;Tsr>i7P;q6wR zhM4Hn+^kD=ziQrk=s&lXsdHXqWktWHVCE|K#H2yz2$;>1Lx%TMf$!ii5+0!a}CrPh7{G`n@Bl{n*nR zm4P!C@BZ;?nJ4%6oLPj=^U9n!Td6JL+q+jXT3+(;>S{LBlky*=^c?5j`8 z#=Z$Fv!DOyju;}ZtVn!R))O<;gZj-~LALtt-m>NCmI)-;1bvyND0QE;S?#{G1?2t) zB^g6i?1>Z{xbc4mnScLytK`ev+o^~BHygkY*ih>s^Qy55}is(z9z47 z>M0dE4`uh)Vw6y6ulHD;bC}tv)p93JG2{D*p7F z3mgA4ii1}BmEoqV*87d(VB(BgwE)l&eIa_(iD;0d|3YVz?{qdM5S;e!-${)7PUs&< z4EnBJ$gSYbpqWI6g`Y(J)s|f-@kof%NfYEMI>_el{9aAae&n}7wzVXZ1pNQc|JT5O zPXmv{*Vz6WL;J$TRhu$1`8#VcU5!=$nW2I4@LMSPZe?cwMH2%<)ctHp;O;)g-O z#^m1$W!4}?|D6I>Z(i-I$q$ZZH8}rg{Rb0(ul)ym{WXyOH~SA_|IhvZl9&A*KMn4k zIeh)@w8$F7zw7_%&Hvp0)okQH>pz(B{7e7AG5W8$*#EWvUy|4#`@f@m4MODKb^cq9 z`UCg>^E?Vn@BXFl|Ifz$pK>RInmzDK`?E#YApc$WzeU*}xQ|&)`Tn!92lLsl{Wty2 zee{2E?7>$4NA9myCVsSisV(x8|(Wzaa+Zn^QD3{aZW#xl;tEGGDa=CS2y< zwPRv|viL9R=dZT@XZ`%Mcg$fzV3eyHjZ`*@oQ-hB^Ck0aTV=K|Y_aT7CPpHph9oQ5 zUcm`PtBTwz0sq_;M~m%0AwKoAyy z&$M#XVXB1_kZRh(n+G~8RM$%dH(rO}FO{q3k9AJT5Rk)Zc$n?=X%ZBtmra7?oVBh7 zgaW}SXtHIy4pY?wp1@P3dFBoYE1lbJ%UpHFLz79wE!*?fH39++;OS%U#D=*cpO2~{ zL8pQ4R5Lgg5pz@P1xAA=KRuvPf*#=SbI&{cFRCj zjjh%raEYt*scag|^E52Yw;LE*wlm3;{3Ki&R1lU>AFMeN=RT*XWbCngUS5(7i>)6@ z@GSFRX4Nsh$0K5@*rnsZPNG=Q{HC<{a^ga2>R{JUH~7Zvc|+Ip#$MzhfD`G*pozbP z=HPfFF(tQ=f)?~}16p-W>+7NU@$qFltwzi12?BOs*W3!_s##}85VXAO_<%Q4sqgX;C7o8_Q^A7vZ0$q{mfZxAHA;Y)uyt(fmp6M zvvcPShV8~bc6HM~{cH_I96LMnrd@x0n3hJ)&!0z3lF$$dz8L+2=9OT=37o!+gia z21>TUhl0DLo0GKyb^^uL*!+*GYX+s(Hf6hcI`5>09z6T|Jd@y0_tjy##-;8bh$t0S zjY#)nYwG0d_L1Ur=jT?*#91myYE4|Igl=xe40B-n#&V&LUcVD@*elaLg2v~Jg(}ES zA>&L%vcoJSMCoWTiIz$kwd~iPZ`k>XwR~zw?ex5`swSmus$GyvK&nn$q^jy@ub_%| zbe^kc*aq68Wj~8G-T0~d*qYX3fT`?4ZZ{66Gvh@-MjvT~d)_dwOX9&hf{=#;RRN0LE; z1Knha43rVXl{ z-_(y`s~q29xZFnumLeB_;%|s7CFmpA-MyZMXGRyM27L9%eQt$AX06f0GBY^djDW=I zgt>FPh>mNIgLV_Vjy(weo?f?Xw-0Atc~0NDskU!^J(WeN>fP(*!f8Nil4L25HU&Vp4%n#W4C(C;2{vqCv^l@O@1{qRStCv>MH} zH$YgaN8DFk<4*=PTg~norqds0_ZtYxNA2YLI3*SDr>zz8($6q#Q8t3d%5q!DbE6;d z6rL)>?INR3!kxFtmLn)!OsuWnuP_Jz3HgzkumjAFW?;`8t#yfidI(q$SU}IVlHDi6 zyg2(#Wovm~h2EWJCwR%m9f}x72C5I{f))!}A< zF{Z~EQs>Y@Qk0432$p*)fjmF0*PK~e;{#HhQNvWce@ak#t!I16s9RVM@lf{M0>CtS zA66@IIi3FtVC+KCV5Qgpaff$Y_b3L{4Vzg|VBZ92* zK|^f>M!6A5Phj#SDSSxJ?nnNuwmdC~*RLxD7Sq?u=S#dxIg|a!ghCz(5)Prx!$@e+ z-Nt3UX$T&~0@Bf%;OVJXpvvfq+m202pOgT_gsxB^JT2K9Tq?~<6T_}unY6pGWy}5;;euh?C+g??j>o!DTfMGwrNGGMPB84OtZ=7P5@^Zn2#c?gV z1)t@_c?PfR-X#zq`!|F#kfuZ?j6fh^B9e&tU5(Eej-h5gZ1%ivwJ#W!OfT3SO7_hj zfEAEohKcLk;tTqKoQ$@)bt@S5JdZfRxcuNeJF+S4h1mP`)4xM0!@YCBE7AD7)U{ij zsRUo2#wX<33-wr?d=bdJB_0G+$Q0_(OP@=!a?bZ08N042oXZg2aV=Uw73I;6HYFf< zup=oT-~2;9L(g_cw!5gfVA(&ngdR$%SeNs4X?s=3vkkO|XP=C=mFKrtw7MRJ2ix-7 zoMzSNn&E&qt{$77r3dGE);mpUtEw%6K=meNks>!b-12l7Yf)(JBQkbQ~m>$V;JI96zU#5vb?IwDGC*VOba z?~;^r0_*Nu4)24?1O~%R`qznAYi)b9n7KHkJo-{e_H{YEg;`BjolB?Hv_8W&w9fn7 z_(Gqolv#_5X|fJeVo}Am2{SufRn-|mUTjF*ie(oCdHD)2Xb{BdSoa<-X%*^3#r1J8!dR1fTo_h1bq$Ci}4kK%yWY4Cs!kj04Oghz-eUcNxmo@Eh<5iGYMU zSEZUbmpnkYVx;hx8C1Yt=69(?_R}Jo*B_%HWv?aSRM*_r!=F>S6Ck!(5iET3V8_5X zkA5!X^Z8Y-MX#wD!HT!%p3xTaYoYPl%X!*( zF)*TIw0&+ZdgM;Blj*(0^f)wSngRi{N@re(vV$tu+4@=X_}pCNQ2XeRmm51SO|mb1 zjw@T;cP&*8VeqzT;~Oi!q&<2KWt~?{`+^`0%WjgC%b5l~1;lL+A#3}aaav9N0_13i za$iAHA}5fqG4mO8SYc$r+hcvtGE^hbSyNzEN|3oyPS)>Mqk5QuAs zbDbr0m{9R%T!2joL<&E(v>aO})cw%Q86;eTATxTeJUN;%X zb)zls^LsnEQPo8~JU~7L8(fU4BFMF}vLYLB7s8qtH@1YiZ<;4h?h&7$u%wO$U)N#E zY~GoKH=~E4p8h%QcZ0&eW_1YudJ@GKn_}EHfg)++9e6r8=PAUSdoiRo(qRk&!kmeP z95Z@;0@AuA29dz#dXJC5@UFUONd#@J>YAQ7*W&rZQs`^AoL`fH5xA&_BI4s@9{Y!Hl}>fVjv1U^-U$9uYr>7gVUr=Mdq zC@idx9v#odsO$ll9b_G*xPv!03K6QNRoam*dW6YS;sbrlvdc1!H_#B`71J5FK-p>% zwCRd8A9}V&XOy@3l~~i>WzRH6(#s;cu3jT2Mp3(>fQiJ2y7iQpo6FTxPa>7E8uA zf&an&R5WZW=+LwCN@t3K62`0Jdq0b6&jY7>D?52d55C=fa_`M^vA%*bVb_9`y}vOE zvaK=Q=-Ii$;N-5_LhHD)Bjq?oA*Q`nyF1EAPSANGlKi0ym}*Z!rKqM@y;}}DSL6Ij zY{!}=sZW#_aDnxv?kTnpn8O<1mShy&oV^b0Q3v8f=0l%JfG%)H&qgXD!3DA zw#5+iHS8jUV~Sv+euHvVq3NcLXQj@?W>ZL<=Ap`iP+DY8rTAMB@{yJTe2(^A4PzjW zKUqi?(5X;E6-Nu`1=j;%N!q&&NoE4lWQy=f$I0zSs>wnHK7_Z~b$%@j$){^DoX#(z z@bSStdY&XPNy}u6f&{BNZ}TK$^{XsvYwSA zDaf4)?qWY_v;sZtd*o1zK3dE(ic7@Pxo%6hV}>HH5+j9~(L1D?!z}X@_pKnT@v)zA zLIQG0Gd9nb|3S+B$_`oA;tgTm$0#a9@(g@&LuC8u$=-1nwax~|&0cCGvp=Z9k+)<wg zupG_pm717)xzdhW60w&``W5Q3a4*_G@Tu6snhi6I~e{H5qQ2GbP2A zpXASscTMm;2+{E*OZSJ=yk|I3YPx~a&rk-=)42g7kG#$(H{WOVOi;)60MkvSg%`(eH7@ujcxyeK za~ZvV*3tC@1bGe?qx8Vg!bxclI*MXFg-j;i5tvkO-1W4Jyo_) zhWC)XwsI=E7kVd=t}vi}5iykxxw1>nvyI&7T3EW}U zU2mqpE>Fcbr4=L!a0!9oAI0s%=r3y{?2@zIO=Y+QS z4V=Rnqq1zLd*iz!*jX{X%Qs1B&oz*VLkWc7ah$Y#^i_OtO_57p}xRgO7MGPvBRCj92$je0LT259ISp#MlZ5~GYGJmtX zxh?F>t1P$x@@#FR0B~9m7;vC!ft0I@m`>|4g8PJuGpf(4X=q%Yr@&ndcCv{H+uWDfC*QZHvSO{hy5G$QBA1iRB!H(a1tA|Ads$WG?Bu4g|5jf;e z-X`1wVs;NHx%STIcTza=i?R`D6O-U~XWUA4o3`hHg_??i5~e9mrsYuHDph!*Z!Slh zTT%F-mo7N?h7rj4qB3AXilE(^GTPwVeAp28LegCkmFcw6Zv?GQhcc?7m-~$~#Z-vI zho!@ww-!3$wN&X@SEx?P(d|T z-IuL@4I~22Axxf*h)LJ+OoLaFl(0Zldo4VOMYl(}3HDW%EqkX6RW=^yjLF;Xx!*WN&RG zNy)o3ZY>oy2mc76)FsK)4T8h-d~CF`J)1^?+u`%{;(}EIZx#@HD)L&u8JC!hI@0fi z+*tSMHiohVKt;~*n+_rCH@x2oRVFyUR?S+6Xa?;CuUWz8?sltj_Igr9tCJ0pVW+;7 z@F5F$M!0kxy6yvV^C6we>^pXH5g)*Uka7DBQp_>@-65V+YZ+3r9+o zi727WoU^C9%??-ggj*$>91Do1Bsr#Ujt%*Itv zmdK1>WU;N_Qd+stro*+M9=t!yZ{m-;gQf5Hi9575M=CE574lUXw&sxDO2DORC@i|} z&PYGy_0PovEC)9p^fihI77D@tnNw$7byvtZhi3z>M9V>fPMWay7>l7jNR#_0<9414 zIA5zteGnRGSs8aelsYw{e8ze~dbu6uHdR$rmp{%>8^JCu%w0Rzi~&6p-movG?M5}z zO3lAJ`c2mF>%v;*^16X9Eo3##LhWK&d%!uT8Uyx>z7bx)+M4*1+lMtX=` z0}E-3UW?sRC51s8HWM}CmX1Hv1hJ6lXE++^xrHB(u_B7Aqq;Y7y}F7a%l5ILiXuT11D71)aB3Ze+5a^UrP~Y z0qz?OA78|ak6>kM!_K3AMK+bBmQ)Q1N3hLd#^dw0IXxYyM9WB^0of#RL%d>bTURK$ zp$Kmrq*3oMs7URJT}Yga4rT+u{FbduE7MblA~~{(H7N!~csptOGwPw~r-0_UlvKT| zzNXSrBt>b45~!8PJFer4L<8IDZmoc|x@~T>c|AXB=?cj2NX~m|uY4q}4YW@6Pcza$ z%STlaIT>X+e5lNpEW`n-hi!#EdQF;IfgQrRVB^GEdpL}0A`4Z9RPigOQw(j?Jlm3Y ztK*we9!fkB=WTgpO04oj=c4USZF<^t1#04Lgpc)dw27^3$oB$^aK#xfA4iUtYI?B> zZWJ1Wan*s&@?a>f3t{_$?Ox+WIx|tbw4@WHQVePTz `7Zf_ z9Sx|P1sAF2fZUAFEFe>KX*YwFaTu(p@Of zrq1zMdl*+Y;*pQnO9$U5M2S55s1-9`_+o#D_j#inpNcYp$6IbdrQAx86j3Kt#h=sT z6h$E3qDMyuGDw)iT`ElOY_Sp{_{{#0vnS6+)q;UKjX7r zV2pC%(EOM!3k;Ls(^k`*_Gf}?O9?X|wCL0;(PZz+p!_46 z#*mv=ppV=dH=z)&4yY! zRB1%VeeJhIZJ`>l=XeM?ho@YDGoIp#gS96~+(0rYHB8cYaOC*p3(XPkvuc4&9I$uTDm=Zq2;*N?b}a>e{%K2cb3j8GVZ*f3=aWI zsf-)cU-Yj-u@FTt@f#UErxw=U^Nb4cNXkn5K+H>+$2Drtrh6YsJbi|C+e``RB&F_@ zbI@&0+n_22z8j!tLs~uf4cziP&Y0BprMKX$9h&nV)m2ZOYV4aLM%xY#at?{B%j>$W z)9-UXY@pTb)^ub0zD6L_Oor+(S&ENep%BVHJ(|8by>o@odts6%;V`WrHc)Btva+3v~7lJm6pDjPO*H+d#k}E z*>NIrPntWAL=7rWhbj&D^fF|_>~h2vX{K%a9?iCf(U?~1nhvAWkM%}8dhnV-q7DNI z-~>lT6Z;V!&7as@oQ)P+!=ljwm1tmg-;_N))<12Y)0YUWm1G8M<_W3nB1?hufegB!vVi;7g7uwVa|_DRE_^mXmL*t|6pKZHRz-w68E6 zw=_S6OEK|%Qo6E!l_^j428wZD%w#^*P$1qPK7C5;(Zl2FctKrP6Fp@s%@{e_e`?04 z>w05)#9o=3fm!b#ZDYt;L)~whr;5w9qQEffQPE+B;U3T_%K>vMqm1NbfRj5O(G0HU z1UC%DYZ_F(?$_qiFOr$fQh^Ld3>**X3GfWjrRED#3d3s)HS@}50OiaAnmxuX*leud>?#4ZQ|i{Z*TPxcYX zvE7kC*-X+Cf13{z#x*#i+peH|B)85cbAE~0fpanmAbUley!fuI%F7%zTQ zMdl2B&NF-1p){}Ig2O%_-Ud@dEtp9VPYbczrvuZ)fgP%@d`9g-MiBFFLyTIN#+GJ+ z1$kA~%xjk)z4-Et9eLKvcs-;?OBOFt|VoziACPq zPIh?k{6Xv?IMXlc%124Ynx3t3e!n33_a)?%8(R(3vp?5?EHy0-q~5(K&1y^RVhgp& zJ$zoUbz_fyHM0{mvXHI@n9X~Fv^lcbYw~U*>!yGV#^OH!rWd3;YLe`)V1xDU3$t@+ zlc>`dv zM#Bsr3q^9kg+vrpa?n8Y9c2PJ0+*%#g1ZMJ%a#C`vb|xD zc|ta$Zu;}Yr{{^?H=t)Df_%Y|8Nk>qw``TAPxSJtoQ0}UBqz7WxQt~}(%Rve4Q*^PzG+OVsaf%$vYa3_chVeix~M7#7=$)%FH-#X7@IVV(g2Kht6 zn%JSPA^8xZ><2_BZgr7Xa^Nm=0|kO;e0FU*^&!heEr_Rx114-1S3(M0GQ{3xT@T>B zvR8x1L;hg9_21ZYD5!N;W0hdx^jg^-miN+!c>)U7c_!#RE`^znO&?^@Le-zkCxjyR zLbiarN7iJIFQ?Tll$=x#M%4M#hKzxegtDA-_AoI|OlM^oB*3;BLO(Y@OkqByqHevC z7)s9Og@`njeZb6GUnd8zc6_?z9+de^0}-#qYNDw_d$gd*G$&Ky#@3sSKw(23?ewB$ zKV`VU_NBz@OaVj5=7g-D>u@bi3gupa`i+ZJ*G=>5%|dKXp#MVQXKN@NFWgq-N^~R6 zX>Ps8A}i*?l||dBVCc-(rC&=91<@%8cPlIleA1MsUJH$B$sZb;?NSKWfsF3TOk4sW z%Iv%0)Z?~2#TqJBjLf8+{`X~KUvGC!240Ff%)!IL2Xw&22zL$~B=3?!r5U{I_zf#9 z0ll{`ybRWq%^kGH_6qUYlLB)_75DjdKKYbb@{Dp=P!CZoG@xmAM6p4YPNY`DQIguW zm)i7_z^p34hS_mF>P;LOU?_B-kWH7@P?zw2Z>gDpbA{OlG<9cRcw&cE_1}(`WF+G| z)K5>wd(^=~1YT^$y(%^Tt=fv)gDQTlGHD=3`dE+WX_KY3eTRJ5-Mlc(Yh#p#ko~kV z6(^Qo)!MST9+%}f-&}TLlVMjVbk9|n5xBUhJVfa+0jZ%Wh*?K3-f!nbZ4RlR@m?0`xoxYuB19ocBN|_q%q>~kvme|bwWeOE^;*Rqrs#QU zc(GS@KlG_8RXiHE|?1icS2bit%a4&AacM7x1?l~ z7zaKp9KOW?t7^+jA@J;3AiYWoTzX^U^|IixGOwVIQ1}Ljre}n1-6t}>u~36S>;ncQ zaWG)11fWWWbRo>Na^-aWOfo-c=tjo0o)$nn`6w^sjPPf%-sQgW$;1v_wGF_G{J2ma zXQY|L`AMPgu*38VN%3@uTNvmRH0VzRTdZMXk_Uu z*<;6%C&gSoua+sX&Q+&~hY2*U4l*G_b>#aGZ!?tw7Yn+@m5`VmFtsa~c4j^kYoeBG zpl;Z3A{vr<+Y?oM^ua7nLEhA_s9^86B?@NeHn&fW{vUI1)so0KpU+NC&&6EVl3#S=WuJoOk@8(){6)2B6 zsV?cYU5)YW}{v&U+P>!&{WDU6`yWTshJCWS%O za83QKIHII~iXyz%blpPK%Cv#rlD44NhwWVpo0@7DzJ%?{o5~N|*qSQ6=S6E=*qdcx zSM_2bHsyrcBcLQ1$lw=2&C3xlAdZGAQ061w**-bTh53hBS{$w{Sbfz=30Tuid5ssh zLfzS;LVuDFA*UugG{25B@lsb6=_5rFizI<7GRt6QeK%>kx);)dFiSp9o#w12nCbXx zM6m5^DU#@nT&Mzn!(PJ_qRt_8pRBT!AS|(@>@YE6&x%8B1$1McqP1;ZnLq!W9dwKF zTL$+qI7cqKIOy66yarm4J;C>7{UTxEktSdn%*A~JG3goOz+8{L^c!#mGqbSa09|jq z3IO*39?F`HV^`c^TFDxfs~Qr~@+n?SyBJ(dj%S*Rrfu0O=|clrV}bjU)F~!U0YJtnZ>s_e`&6B@ z&7Fy_ptkL1k}}OMUwSQFt$qumF|}qXCxwHIL*Dqr zbXVvUo&@C1tOdYLgXqCfICLP9Cb>Uw==~e3cKUnEDgvT~Dw`}TzhX^=bcwp(VF1W9Edp5Q~4QsHkd3|J2QUR{{9guS`Hi)*FDuVl238!3~_lV46f@fofjaf>(47I71Y^Jv!$a3GRY;G)(g(?nnLF zqOq7fcU-BoL0ly)m!NO1xS|j4xRJa~xCgULY{;I!pVZzrIwzn>8#eoMj%CgvIH6m5 zzzJvQ{ZmXIrNPk}>Sm4GbP+{fT<@=iMOzxw@B6g9z1=aI8h~L>nz4QF4!{}Vur^pYe?Asj1Ih$N5 z=ZB4TE|9?WwJE6a9)=>ru^QI7Z~k{!i0}w>yX4&R4cU-IqOPQvP`RG56Ymgn0d|Fp z-#}cCAf_G^;|80Ms}PZXx7;^tpKSUAoP})RuNOx{_q_>vnSI1@A>E!DQVP&_08$`41x@!nCdBEK;4`f~1wuw`) z9Ccu-;Vy%kPxK51!VtfS%E>Zu$6;2VHvBR7PIO#Qy#D=#Ay}x6jtK_Vz(jTAkUR2PNJVGi;A=U}c3*mce?uSW?&_-lnJ< zaM;e$EswVETPvF(#jp*rsp4mkZbKz~ zl@6=$75Pk+AmV7I!Or4hQlN{%74(umj%&_K>`~@H$0S~;YO4K-O|xl zmhzFAifez0R89iNDj{>4^K2!KEann<=nDBstjpfMGSDxxsXyu|ss5-x>X}`zHonNf;?IP$)X?ICa%?|z&J%0WW zmqFi$>?3`e&AbSm?UNQ)L0#XY!$inQ*Hf$@vdZ9oxX=68FYw5!HpC-+_t`frwm0K8!JEt##Ya3=_vlcmSfuRO**2lw&WPLbg z=XHso&AhfTh(9b;J!VS!x*R>;<B7jZB9<eh3)-&_4@-7@AvEVygY928X*gir!B_Xzr3rU6IkmIe1}f^ z1P&GW)QgrVC+yMIU*rRjnkw2Rr0wx0b6k*A&xf{+6gxVb{CDsJ7;cIrJpEkTy}^|@ zt>)<@CE;k3&6{?Nlq@^95GbJW=d@^>>LetOeT+ThsXGv;aJ{HaEx5ad?9Im50zP|e z5)_)NrTtjY$`p?duAS|W#sY@sCIo#7*26yr^!!v z*D!sDiS@d{fLx^i8M#{P4fL)>=rg~}?E69}xDRhk=YWWNt$oUJF_dD5Jy|Y?Sy$)mVI6Sn? zlotPO%YLWt7(l1#G>_wB58j`q^Q)Z4LheaUBsYkf7Df4trF}%?>X)(*GWD;EV`*dF4OWhU77R4|zI~^8_&iNOvm4%ZuM7tMj9o zxb8^tFC)UUfwc%6K-n3$KFRUX8a^s%Lq%&*si>4ei<%rAplv?zr)1VidV>CK@!SJ# z5=DQDW{~EiPvt57@vG%=F%?DX+}B9)Ru_HjGn|~?4oz5+XdD!nHjiP{gh!C#$)U8( zhe(ec`wAP-#1iy=g=SDs% zM0V(7msy`ijo~gqMDE~49qi^0|5ww021y_2o_rkAKe8%5swi+GHBzzEj{XlBG9+YnPbUvR4SQ*3*=_SU)k@j|_4QFHdS^Tb?!iftMK0j6etCc;ysXLfzOM* zX+wVD=HZMFW4&=~Z45U?$Mc?KtM{V>`^`YyVg-r{`pw2R<$G9+6%k7om`_5oV7u;9 zmmYpyH?!%y_PSCuE_i|IF5JgnqvR;0%o^xNQNLm` zsZ&hi*>M_upp&o6L(@G(=ufA#N_{gaF^1gT(K9B$(L}SpXI4Xj7J=H-1n_zUN})f{ z4Ha@(B4cr@nFA0y^qfbqg1#kAnQ3qyA!$C;LT-Nt=;4hhMTLKyh7+s%=UiL@ZH-t* zN}%572+m)h?qWALT{EBYHpYj5iXED!uahZ6Ii{$nr-Ju6wj2i@2rRyR`BTlKNVx&J zxzI&l>UeqEYZLE{nj2yYf)l0!^jA);53P+uzemME=-h!$iZR4Rb0*MC5O{^_xfVxl~ zjs4ci@S%QxMpu9KM)5;7gI_+3kBvIu?72#cRInm~d$ITN916-uqjQ<2WQu) z7ZcRWw_|S7nVy3LAoxP9tA4X&8}nfE1P)uGD$$GX_ZVCwGyVAm)k~w(jfcUuYGxa-w?QfY`)vA-t9N{rUGCgf0`6g$eI367REp>-EC4rWT z;UeWQ^f&!jea$?@EIH;6Ffmfu8%TZmlk$9()#D$(CU_X89a1U$q^#n`zlKdOX=mJ>tQ=UR>}om14F`3^|jXP7@gfwAHSTJAJ1I3A}4+^lw4 zt8ZBVqV$@V_k0x{cii!ssK9uR&I(OSs{#*D9jR|6Dd|t6e^7|YnPmDLXEmWyh@tYh_6r_At4QP zKbt_OQ|B8N{BE2DLmtjj&MuEQ@eZ}}u?!`B zwmZ<1-RW9tz&ImWk=`3cN7aC^JtM6hTIgz+u)bdwXZXlHnvpM#-;2*o76Xs z@T((4Dr#|(t&f_QN%4;7v}7^{JAVdGZw2l5{>~wis0#ydife?!TcH7RYCWYZZ*|;S z%pY+NF|2?xBPSCb#Rb)GZ;Z_oJUdQz(k!(NmshTbPBH|3u>8d|eeDZqx89S1{!a>_ zDF|8`6Bricgs`I6+qYZ&z*NQ!rWoE-Hk2jxx}42!WkAfNw9rP|Me-xfTrg;yA~UE} zPaMnQSFjH$elWetfU=|TE=>+lJtr(IKQ7~B?3BsprTMeAoTi<`k<|&Ur?I>GKl0)q z7v$t9#M9WFp!L-h)?XrccF|xgZ%TK~o$fcV4N$RkR#;6PuNL;H7gm*-HX$ARoN_PS zuEB33p9n8Ky_Fomr3Jj@oH7}#P3vE|jIm!&FkBeCX1#(t6Cf}`pN*o|KBZ3r7}%z6 zAI(=KLd*o1azCko_YkI{KP`b!qtdhM+y=s3^tF?O7~_G!Cp06anVXqw00t6ZINOmE zsa7VQ?c+jVAoYm}LE3g_3gyj}s#>mcjM}$OeGZ;{HXt?xmUB4xB_`Qv=1P!Oh+dmO5|LuVRSGS%v-fod7mJx(drKBokO5pap0%jB z_*wO8K>nxjY_uJuGNNwzaEbCMhQ{Gi&T!3scyw$OgtvoXp#kU{v&e_<(<)%S7$;W zkM8KwhMCOPl&APZkej=Z(%x{fYvrBQC}vT4@Y$Og(y-oQKG)p@Yv`sGrde>PU%sLG z)8;v7ktp-&N-%I86~SsBsnaim=R4R>V{%Qh2nGEEhn7As{kjURdHzG&2s!N70h_g5LdsBszi4EH8q+SJ z2B(dP$SA;8){{fbfWi4?58?CxaPzkP$MIl0TXqPoeqoQznBOy>{buvsKyE%v@M(6E z)@VATL77oi#k0;M0KJ0L*8%DstL=z7+HP@qfjV#DZ6cM!zD z{WpP%I0z5oz3xQB{Z!7XP&Uc03g}3RNCOa(Yw1vE#nm7J=Cu z5@wKKL;&3A@Gk`<=`8Gu@DawIeD~E41n20*zCuIb8_RUXr>ZHxJcH^8OvS%}`{!<5KiPCt&z~k6nUeIzLM%ZA>K_~VoGtwfT<(2XrStLEL(r?y@h%J6MFIE zrzDOkKoXJJAim~vpiP+$bm5<>bX++(hH3klsoyTpF2fDx6SW@^0ZfDbfk=)*g?{2a zSHAOp-54Oju$Phgz-T2PCyVS6>4VYFm4?#`)`MRvSuq9R$p;Gh@L`;ndW?T}EiDSa zB_b-oMuD4i_Cs`kF604H_LTl7W1E(?i0PH`OHDg)P&}g%jE_429JC**=#M1DQXGW~ zWbGKKh|c@e?*`=|Mr)@G=42JM1DPW(n`0!~lIJC5UF6E4cZk|IZEGy&Ov96Of4$yE z!^vWV5$O^Bjg$h^$TUPD4;<%bB=&v4>pk61r;NCWbrCC7&c@1u)gy=7_P+$~bE5!^ zdr%;{5U|_kZsClTwfpK{dZJorNc#IuACYGs4RTWTKiy=W>ddfKFUJ+ zB?2UC3(g+Fx)4^UuY+rUQy-{e_VvYErQjUIqhx?*jS)z!fKCCsyd0rwSmp-_)R~Cg z?EOH_QQMa9RX?Z6D@S3j97XfLO{u%)S{F!$7QlL)#3X<}aglYB_pb;&omD8~2F9;c zc%4_miKCwhmz}_asn9uz;kwJ7V98e%(8_%W#pI8bhompUz7Lzy#^v1#?Kf=i&HM}I04;~0XE!eP_I8wrcV??RK86wh2(wYE20!p9`#Knb{fkd;_M9GO zsXTLpxE_`42T%jW@z<;7emOL@6z>6!vs9=jDf-)QBHY|8ZC>KFYyPtW;UgZPWM0ODt5u$cQN6M_uSS@aQ(6O#kFCE&%@pK$sZmWd36pPAUE*Z__vlLddK0f-s_3@{TD76i5^x>5(9e=4~(Bi1E(Tp8AK zi|c)DivfQWv#CC2>CGUt0_N?=PovAGr){G#bfKrHh7iUvB#pm;>u@w4w*HAdwnX@Y zT^>C>k|keR+xZ5rY1{y8+Uru*orjs8TYhYhLj)hM&eY7{z7Ae@ms$K6yy9z0v~6K; zjB>MpDd4E3Qj7E%BmoEjcQ3FK2jEKa?=$p#JPdE3s;lPbR{mIKp$k=d_E&Jy%wft1 zzk+70$F3Pi0Zc;W-0ufd`R5ftRW=WV=HmuTh9D`$%6R>%XNSH{T>#4oDqafIT5X|O zjax4Ppm0~Lj`R@dQlRqm(VbiT8^Ax`Qmfp(<_OY67LksB4ukSNPNbb;eFTFB{f(4m z4@*s!JXJltIk>3qZSqxxm|r_+wrqoC{}JiDE}mD)I{?6kcv9zt`z`WKo&Ws?A{XQ> zj5&_hrQfFbo7$L0vz~oViQx9jXb8b4XOhJj>pZ&2O0&0cX20RrqNiC89ia@(l*rlh zseQdah(d?E6=JY#Xa@xE#*k#soJqF2KuNRcjPDuP;Vzo*;tAQT@I9M&0w3IjwmE!> z+SJwUDebP81eeyI@~_-Y@OSo~gWKl4xAuBL6Q`fY*d0S~+$W9-PM8LyRD;YKs=XWU zFA>S=rv0Q(m5qjGYRx{o5dI3zhii{fMDA^Klv&Jq6vqZPQ4xo&ed$A<_eiII?tS|B zXI|Tr@9uX1768jda8P$2?7H2Q`VQ`7J$g3%VauryJ{4lDavp3>Ac%a2RK;FW{-aWE ze+KHptNHatL|;+X3S&;@U*F!pHvS814(2rbogxSN+oe3jd&Q4DaOD8*eTs9AQib0O-A(j`BU&2D9+IGWW@_Wyuy;iP&8(|il+-n-Zikokfc3*epA*X-y~K4XI`ep*!1 zV~o$pIOU*Jzu3c=|B`V0Lu>H&7JrRUzIe$nwW4~V1=u`J*@et!$BmS2v<lZ;7uY8ePIt@?WZ<%+5}--@YIxE5ayWmvpdAzEzEk zC1@}t+tu8T-;%JO+kX-NVqFF@9trx`M*_t#4WllprsB%W&m9Dk-28T`8wqH3;B#lX zqkn;pHzmINYwXZT*p(OORKO9rDt(Qe#gqBVM=F0HSTV5LV*tpv#cV1V>tms1GJJTisFo zQc+NqU~*Ma5CRBWycynO-wlt7CV@wqSKXp3VSDUUT49peX-CH z5xSBhU$2`yev+^+!14*60lHHFvBs$`I}@YUM>$5w@}xU>y8Ji&6fi-}EB(I|1^X4I zpTK?F<-uQ5j*s0tuML~aaLLg`Fhek`&aQ9(et<5Z z3of?o8&1?ccYSR?r>00SO_Qth%LWLgwBy%-OnhWC5yE%&*XW+SlCP7U5Dq|gB+BI+2%&l93PZ~U>(@Qe9F_NBdlNa zpcnsJPRwu{6Vl+WSnTzU9jEa}o;intC*>AW)fqRdqM26J57N<26GN-{O%!Zyx&X>$S?xF^{u?d`@gb}Otq%q1Fv*P7efXzXizR>gy8K5jM%?0b{^@t zUl`{3P1iVei*->8bYYd6=wfT(S&^81Z~wXxdlOtB`YN~M(muRZM?lw~?n#eu3RcjP zUWl&o4<#IB*fiL8bY@d=7w$mf8Qw~1)MKm?cQDGQq(E>yBes2>NU7p8oA}+)Z^b`* zHjvL|j0qtxsbH)56fQDUsY=<9b-)Cu^*#yLSBG)*sGzdl8%sx$JKcrn6c>s{_#@77 z->lsy0PP0x?EN-rCTUD;TJ_j7d-5INne<;H_{M!Z^~M~6K9pZj^zCOx*ai za*K_Ko&)@c}h$yBUY z(IQ2`EEU%6-CeAa7N}@r*yn7*M9aY2>jRVRZTjH6k+Y)c&sN8pUPd+lHwmz8ehlb8 zbSWmJSc3E_(1H%(1=)+acyfIL)v#GY#$+D#=dJa?CH zDnE>zSNmvo60`59mKP~Di;a`t1_^8?Im;|u(Kk~XPjLB_zcFfDtQwA(0uqkkm-(}N z_$qY~DxZLUPoA=sp8;of83-&^BU!jEnRnu8N{q5NJ%+#lHX$85{mxid${nlw4e zP4N(eI=a#g0x%{F+*V>OTK`xkuX^@!h-cR=g2f$%e|x3Grg!_;%%0#jBI7$Yrcb`u z_w`O_jA5#Grjac6z{>ZriAah$3U1SrEH1P2Sw#AGqpO(MXU?i9hicKbKAqvs#6>_s zS+;nwoS${C@~IWefm400h;$_eo^#7>QFNl%;JS;IT`1fFY!?q}rl-4WCq=!C)-qjZ zB#?&%kuPZ_eVf1ham?OYGthFkqI0kG6K2a!N?hCm>2+en*n36Dzxk-L6kxwy>zM8$ zu#~$BbF-`oE}ZJ2szsIV@D%i*2ZzP|H(pe zbUB7$F*J@Tc))Cj+Cc2sK8&Vug2Q7qw7XG1?qYWne{f|^lAf2TpASaRhurApXM+Im zjFI@Tb@$#g9P*f#D1asSCEU>YObqwuUagn!0f}w@4W$Y^o{fXRJ)UAMLQ*4l)Qxp_oi@#)N;mx1~|4rqu^x9~SE-E)12_2>Pf4CA<;`OP+b|dO~*&EM4 za81QXkX#t+Yplw|3+Vqhu>IY410U%7AQ)tbdI-orkBBSbOpoeq{*q=rKsy#ObdMzD zMws5DEn(`klLJAV$!{6H?C!MoTIPQhWWwQn!yb-N`Zpb^?e3z5P%-ir_@yXOF@r@N zI>NfMjHj2*;_LKPo)c>*41iv#I2C?T)TGG`A?2Trl#1Sv<{G454q{s3U@lJKrbdCh zn`5x#F`5XKz2S;f5b|i76AMO+N1e7>!A5CtkCYr0Cc;`P1Qn#=BYU6lESf@rcEfw( zkCJ2B|NC&^<5xg`+5JIqNj9ecK-b&7(}Qm~k`c0RQDv*O2KNU=cX*#u-CYnr+K(FI zAT^F+4@Hj35K9N1B^LTu_D427`gMmx1-PpHVg*VNI? z9;X6TOjuXU%lzUX>_u?#UD1BqVfyzl8yod@sv6$?hc_#`oSpO%!!?u{V(^8Wux*T3 zPQEztBQ^q>uX79GgY#`!R319Jf#!@VN()SJG7U>kLSBg7@d+&Xo(r&O%$1J@d@1ch z@;7U~C`9<#%fMUs%&BlWvxC+F)K%tyJF`?`P`>2!G6_O-g| zrR6~YQmoH)gvuXxm`NXwGwCUivuBD>bi_9}2PZl`i;kti%dGMyEK?0<%vVonzAUUB zELfG875?97NRSzOBa#tli8+sId$MTee1)J*f<>AC3Xmc3xluqSne zSx&_6kaumkBJ|ito3PZUag2aCPnQ)5I-o9i`Da_KqjZy+^H)&-xr#8#1Eh(Tgi3nw zK2Qtb${xeyLmi>^#%swf7l&ev*?~kCVYm1cFcfqVJP9F&A~ZJr>^cV5S3%Es&15Z9 z;5j}#KDi2|PLm$TmAhT)Rn+6P+PzWi9jc&kU&G+#9JiEh*mdj~GTSBOFe$FWt$g|; zws^F|Y$kGgW()`)R)t(&YzxhV*Cb9c(P%F7%|%QZ98*41(3R_#;`t14IK(?hGYxYI zyC6L)I2%)(v?9-alW0zi767%*9r|>~S$xgS*4^PeZq)~vx*y10N^}XGx5C?8_mSu1 z{9_2$p=`J=j_n!@(KlZf-{{?4Uhs0#5nAzYMt3<0RcXvUI3@9MmHbKKlur3V9;~Y;al(4t;`dd?;tB-yrxb__3Yi%5b3ZLY247eJU)>7VBxzB4 zXW63x^+(Ez-~n+FQbH0R^#x#Pj!YtO#%PeZprbBsUUGv_Vley z4!-HydM)=md-yH81BCsME;%Q6bxLGvyIe8PbIK>xO=fUO2_awqC+$nC1THipMW2P9 zUV=#}fjFi8@rE~H4mEt>M%lSWZkG5%Rdq)_!Nm3WbCm$gX83RW0O~ zZt=$utRy60+@tR3PF$81g{jp-oZM(^obx+iKGvXU5Qzg@zQ+YiPU_;YkHmK5PlYM= zoh&4?V`&c#YkLM29$LOh$dL5HDM=OdHqAlOKei=emredSQ6I|sfP9hYgE@A9H{hvG z>8(oB*NJGO@a%2nFZ!X#>b|9PIGEF%bJr723^p=>3(u+vk1`no*Q<}SdJLt-m z&G*82ppWc(MoE=y{SL!{kyK&qd+4Ir5&G`+bK8dUrxRK+q-v{v(d;e_n@mN11#KW` zBMXgm8Q)Y6_U)Xp%tEf}pf|Yh2S;Ume_3hPWOzget#2eg53pl|hHQk(z+bx?O^O^? z(tsO9YtBwLiS-3vb7(1x_jitrLd$=_g)SLGoo?b3D$hSa&r0l!E&dcSBH^}J?(6%P z6p&nd9$gm-@*l`45BCvhnB8mpqf{Z8-}SQOo&9zzY4RKDDc?Z@?i7Q+x3Yb0lMX6p ztkbGmfcF=d+89*Bbl_T|d7y5E_*kpXu3y+EYZnbB<)CaQH1{SL3vP6i9*u8>t76)X zepy|{hKHX3^s`fHj>2M?fva}*IdMdkZ~yWS9XFNxb{)EoqhQC}J7O0HOboULN5~^d zh1WK_q6UJJ$L4~?h!RR^ohzX_14K7kpNjT``h+V3ATtE&1Q7$+p2(?A?*iH(7QXN~ z_SybRq8RWM>$fZ+r!DQzlb~KM9MkdZmk+p093xerPlQb-dgSUQ?qH+I6h3i7p6opO zmAgQ3_oe~QzP2#^nG@2T06PwHE-gaC@it&{prF_|nd}eua%^a5#AlgulX#W@a@QXU zE3TUgyp0e{?(}bySpc@o8YOt3llZBS$2ud7QwalJ$OH9Lw%ef!T09f6{fD;U9`pW$ zTpXsLbU?b_-$`mVVG)Q^)QXO)A*#~iQ3$sb-+k9P_YG8M94E_R2F;KkFl$=RjA@p4rt2S$WKc?e)@X}xbWk}cuEkmy0*WLI545^?U@5eJoPdlQy=7G%3+90lKj8#bs z9$J8=MNTZF-4+l{C6p|bwkASP6jDJce_yd@S}K5HNNZNa;09$U>4%BpZ8E$)sQ|p) z&o|BqPyT|h1KKX> zZgnI%Fdb8;ubf8CE;)p>d$BH4;JZxQ6Gjj80ZJ+wG7z7;pDsw+do_EwvoH&b?@&zs zq_(hZy#jU*JITJ%HLH08upIut?|`Z3?)fc~Q3YEP(Ac(I3PZQuuSUJt)vY`Vt+M51 zw(-L0+JXs`!2(YLSEtHWDh;_8T=5aCKx>t~=MkJ?pD>HA7{fFeGSu)fy)8hC7jPSC zML9?WOd!-hxoaBZz<`bsvv9?cpWCr2Gq3(S`=*|p6^Bu$G9i(+;wu68A?^+r*I(BQ z+aGp{T*7k1zlMJX@`K=clj5K~E|sSpE7o=Zg4t9XexT@SY7H1a_~imJWq`l1NPLvJ ztDe%kg_B>@c54ve?r`TLLJT{bqaLVrR_B&BMI_=7L$Arth6PhqcFfJBR8E%5-Ih&t}1;M zeSP@W>SmWLRh-rNdkCNHlVx^!XPVlR<|Qv)xfGWKB-q_VDGbC zFo=-L`|CiW`b9VY^-hH&FrDFK@u_q?r^Epg9WfK?Cbc8Cwifglso&+<>l~{er2@ z=Db$pYVFJC$B7^-`*FmVd`fE^U+#RERK3ENFpr)z*#pz>^>M07Q!_t>oG<_yEI?Uv&wsfWE5%GdLms+DI48tdr{DPsOqoGj$mI)*i9#9T*o7G7p|7}AgiPD~? z@SebQoL&S-b1-VB@h{<5?u#y^`k;4Cd0$$CFV+yZjc>06`OJfW0s#M7Oj09jg0)8-Vd(% zoBOMxn9wfTBPN!^fLi~WS%52XH#+}M# zl1&Tk#I8iUt+py@Dq&L^O-s(*Q#Qt7y&t>kPwhw50MSM}r5zg# zn#?1Uz7@$#=#k6VQc`S)y-jl9gdA${zYyE!^yCrF;3sZ0V-1lWGdKpRmBBOHu?N@3pVJLxZb2d2K;gC}5&wVS<&?&n#MgtbH1c}RI8(t(=<-q<1oJf<+;5Ynstb25Q z3bxW6IB>C)mYAceXBAcFnhC~y-<~+AHkAs=ED^W~ovU+DrT;^uJwDiT=wn}mG&C{!yASLAPdj@o=0oAnG@Fr#-MgxDNzS5vV z$SHeAz3PtG@&HDH^`h-QOa_trJ32I+;cA%Olj&*TOC)o}DiAc{SOQ^; z7e);@_dr7C|IOedC^0RRluK&;%`D|^pvT#kmWN1552-LLBiYdc1n~9Xf{gJcRjojH zbK)!SpHYrF!DB^b=LLZpkLAq)9-o_t}sR*|I1HUePp|867l zGIhz#_-GpbSmQZuDUUU-yOiZwV=ZmAmL!G{b!$mI;JYl8ffM>5{i_SR5e)V%N~9sD zV0J4?<2-`d%fUN!#f3iy31GyG5XVuU=+RLkmRWR8c#+`cpwCzY@mvpmOc>oGQp#1= zH|u`HgG_Byx|Ip4IE*z?^Bb_xqFo+id<1643`Zeu?Hyyv+27t7eF7gTS;V>DA2<-a z9Fhw1!5f@d`fn8Hi6YgqHESt>L+>r$cODvv|Jwa6tH4WZfV!U zL&hXYBVQCZBXtHC-C?pL&XiuB(2Mj+X2w^QTQ9@`0o_ZG5_-j7YutL_Q@P{a)SBv- zifI=c_p!Kd*jVtI<`?njm1eH*jZCA3v$iRUEOxAI#iYgAj~*;fUO46igA{@?SPI1WH5=$W6|$Vj+8QvLwG z1mc$~YT;$ZrVcl4ZYZuA7nssM5nMX+G*>E&@iCX-MDLYg%hg^}T&9RC~p!K#g z4j{F?ntbk*rwNV3S?@*Kv3L2=x^I+eu7j|p;vX5Ldj4O{doCA!s^}K%nrnLi4s*;v0zM=C z2-_}kXHqvnF`q1i$52OI`&A6)Qf1{3%jza7C0 z!KokL3U(7X(+>KawgejpEfCO!g*0qbrx@NeGvKJwUs|CL&2;|&pA;g_QkCXL85eWF zI5Kwsjp%DW_%^KgiJG=si9>vm^CqDxh=AR+q3&GnEvQ@whAl7aU0Uzp=*;a(ql@_9 zHvW`|qv?2XU^7k)k*r8$8?X%rf`;#+(=bTTI(xPafYOf3~zXDf5(U zehw_dvq;<3Y1vzu&9JHq@z7s_w8lW3!nh%T7O-BVPJoOezkJ*2YBXV;O8!DG5O=6& zL6T|6dowY57-W+iCb9RK{~v#4kuqjPQJgs-{Rl*$iGYyO>WI*=sRMy7q}hZ2_ez$| zSdo>fBKEWO^LwFwF=G|IHWDkeR~jkVS75Ixsizbarly2{$Fn%wF@I0ECqhtn_|x(? z@SRk$HkF^iar$dVXj^N_LKl1pMe5N1jo4b@H3xIEbhXFqx4B2;MK%{Y2jZ}`IS3bP zAlI7oljGY*iIq?f=kbmB=KG9+3V&AHb=#8eT2zPSt3_Twi51pwFF)y?+<8gz zwvJ4WGTizHmZaYtp>LP3yyeH_(OP6QZ>1>IUB)!}y^9~?Mk<0Q>K;EYdBc^o}? z;-_s#h-rIq%`I>s8=7LMvpW{1h%}_*a>;L{3MtlDAqo6zaf4qaTn(i!Vp)6<#Ke1f zpsHQ`){+C3mr311CL(5aeuhyOvg;wWjC5I1;D$047l_$RcuUaNcGOO^=iM>x8xhI+ zuwnou$RbTZPP2?d0BonWUoP*#M}cj_4fm`_WK1mOHZ@;8AGRCy!V#>qmUABP^$$-f zrXqJ@NT)d7;s=Q2cmQ&hBQ+=2#tt4?t^Ng)M&}M@Q~96jd9_ple2w(8C70mx@N{=b zp>MiN_#O{m45=@B+pE~KZJiYTm1sNMXoXW+F7f&wP2on`@cSMu2@KKV)-&B;e$voK z+Ss6!utGEj2wg*l{eOA}fGMZahYGDK%_L2=fnrrPs~%`mzkxKggGxoE6<2cuE7@Q> zKd5Ij;LGF~pgKZB**KC2KpWHGlr)Xbn5$_Hdda2tfpNg06+FqXlu;!bxb$-!fdnqO zXf*>?4%F;Zf0O1vH~63~2^(U)D*MAFx|XHl1^6k@=ElcfJ}&) zkiR)qYTt+ABHgd*+|(l~FFDh++r`MWa^u+nRcFQ?DGYSx5gf%r@A zfZGzoC;d@2gg-7T#`62VtgexHtk_t};;C(RAUy#fp}G*%)s{PP)LbZBfjDZ#P&Ov9 zeKniL-hRcN*sUBfZ9fA#^~pF4h&`xgkN2qsTCPieU1wgw*;KDr%g9!eJ?+_5D=&=> z4acT`eThk3hn(|^n_F04I&NVEOr(o7YJ$fG%3UttD%;d!8PynbDphrH4w{xNK+^J3 zua!^y3uMT%pA>6-9vw~dpKkQ_#291Nm)UlFo?G@ObQESIufz1hM?1rFi_EsZJEK5q zFjzGZ+UB$fXYc=xK8+9h6EuCpIl2(Q^7^J)34|lwo`yK+h@1z}R&b~Mm~a&DPXF8I z&VucTD>M{xi9UBam!&AeMi_0e0=t$Ixa1zVPVRSft=>TO2#xbn&=GPHbHy&h>;H(; z#q73C!?dD4LI#p^YNL~Mb3BF&l94}N`Ii%>iRJ`?a0*B1!CMWUJZSQ7gmZZ0jnl0Yzhj^NyS{zY4%<5L;B#VJ+K}L#RsJ9#HLq6GQIieC9HUo1nxzd;#QUUxH zba^Z;>E>cXI(?7EdE9g5J`{Uyyb_4-%_^|QljXjKMRvyEDtQ~Ru4iuun9M;0cV6B} zzh(Fovl*+jdG+`A@xI&qB#D)p2jCu0S*g#kMAOC!PlM~f z2Z!aYp7(C zB-T%`wp-3q-DGSn-{_?nXk_OkN4;7{<{J+^B{HbQx&GD)<8@kd#SwscLZ`h5Sb}fa zqOR4DCh|HmFM6VpxOJ{Ki-uT|_l6FkqSAPR5NP3Xq%46D@s&9 znEA=6Vigh}aj@}t!5(Xl&bIGAKTbIt+fd-CM(jlNkwZ>#%kV;eurADR;C(wHPtZ#K`fz`1ODTI zaSL6CiXuUIrQw*j5!(Gv5PTL=r5jfoY@=zrH1%AY^tId)oB1LjR+YcTQj()du@68K ztn6WJeX+|)I+Pa62B1zH-KoVTaGjC>fj}37q(t1j#yBVvHd>^Qm$2}cZ|pg@KQ-Sg zjioMi(4(Oe&ld9Ud1PCPAgjs|Sa(8Rc_4(GB7xZZc=ECnf5{&xezI|kz;R0S%s-TN zG^UL;mK?VVVs1`s)H&tYtbxB^0j@Zye#bONS>79DzEI+}2!0v8OV#2fR9_rr$&jl; z_xZYRc9{|Z@H`J+EDGNpNdB+@%Unum1K`Kos2k^LU!{p(nv5>D~_n=S<37 zw)oc-ss^Q668|(DqNFUQg@E9~`-2LoJ4A>KFEV->3Sp%(7K-Q47xA%+IuO)jLkXMZ{f_bqk(Y*#-A*NyoJS<< z=7D273^GnA4}Ck-U!_y~%sSi2gt;|F8l^}!4=qeseuWKO#kx$kW+Q-$66<|EqC0mz z7-vQJde#j@uS=i;+lHUy^v33;!&F{^`s$&Uu8S0qPH;6p?S*t?kn82-+W-1KOS*>~ zc4>n&-#6sKM{F5Iv8wZg(HfcN9gH_;)9_I%G#R230^e&CA{>jgWZvS6f+2rAgVId~ z{Kls3imzUYVdEmB&g8M-y3hoC5UD#*bBo7p@__8*9=ZBdv)=J${W7iTrh=rw)C#Y3 z@o%GY(8WQL)8{=n#dYgvIQoC?nO$*l_(2?WO9isqe|mI@PsV;_0}t$f)!j9`FQ*$f zuZBxNPqZ{!po)cw1&bAwB6T6Q%DhhmOAAC^!YUwA8KE?Ch$e4906r_2NOO4Bpsbrb z_Q4{CtxJ6!-0IA5_MhJYN_0DFWVN+?fPA2h|BQ(p1UO9Gc>~}QL~w_4w>FV`ZR;QI z8x9#LCjKCL?h+1Cfk2mjE8yz)QKa?L$a#DMb53I2Kabf$=3Z75ZQGH=;x~X2HAYEk zI3rXUnG$L)NQDE(C#00=1zItLF|RI~*($Dpus z$8+4@CEwi4tAIH3P@Gt0&F3Y+=^>~ZuEhZ6SxqBWEQKfV_>*_Bu=VlvJjGWPPjpf- zo4Pq_`r6vV%sEK1X1(%Vjri`F{7IGVOuXjW!5uR}ojJsL5Q@n@cosA58pZ=zm z=CUp6X?ImB7#w4a*dwHcI#6?+b|YBv9h)4*1;Cbe?!2j8=E8o#*62l+G?p|F+7uhW zV%6e151Sh+bkKsVu$G_gaM+{M+R%amrav=?!3f~@o}xJ})1c3GVD|{q6EW}rHJ#e{O4?&UD+V*z{=-sW zigD5RP(*c@L182YQMA$wK}UASTr&909_@$9$f8feLY2%*Egmhqj6fg;N5lF7LM!yN z{luxW?-nGbR@O(7oDTr1eeVy9Lx6y#Oz!lAn5u@uG-S_6~r32YMUNVO`- zeN2#k6?7k5L-vlxU}< zrq@s%&i9N1tSS2q@3^!EEQYK;IV$Qfe|ef__Fi_(4g9!pxZ_3H#S8weB*QVd? zWN{bFUaM6>Dvdp|cwt#xzyRxfz`!n2hJgmlcY371$lOlxK- zV^4F~QgiNrES#Kr^&)&9CT*u8=D5e)Wu()FG1(5x!FrQ3boVC8LpX&OIiwzV^|b?rcL zw-pDvDHT*eh`s&>DhelyJEy;JLgohAP@d-0SJO_C3}1=_*ePT$0T`C%nTKO_zXTvKAfRC?4IFmT1Oh;fu@<7HXpaJlYl*E$8*MHUveki8^N~I91S+YsV;KzhP zVwJkTTd0Pa!iTg(D#B*?GL|P70ed#lb^v3%T?%%r17lxyM{F{WUwx1=mK^0!4c^PJ zkp?l(HXV*f(-z9@Hbs=CY z@?djOpHJQy+HU-Dq8sM*UO_o$;iOJHS)n32bK+bLFgM^oh8;Z<1Z<p zyfxGY*EAeaE+P1KeD$FR?=XZH?|;2EUhF-JpUZXln}4o4mL{JJC7>ZyrnT-~5&_~+ zRKd=a8e#C>_9gy@RQg!1YmE?eTDweKfC4_JX*2NwOB@RVVw!F3Rn{;^5``fFp zbt-70SPHGN=099kP(H9}y}i@hM%Svqc5%dpkcB!?jp_Abo=gq!=gsc0eX6^+iw+!; zleKo#O+^iq(m$fY8nxP1ia0$41}3=CytO$T_B^wVDT` zA@Fxg*CEPctB4jOKa4c18~qu1tvB%^4-VEH@{?O+J5^35mCBeORWF!zG2ey3NKmU6Gz*^oFRa3 z1Fuj8odg%>Vj*x1Mpom9SaI*?uA1 zwrf~AuCaVuEJaaP*GHX`^Kk!eiS*^K0UkM%pld?haoO^Afz1z&xi9^e_Qd~Qi7fCB zX>$FUpJ;LBNeu|1wYuHGZ_!{4&_vf&X?;*!bC{A5bog~Q14Uba3TCRvkPGV zwKs}4f3Rdw{qwaq_Jr#=-wlc2NsW+~4uj-S1Gje))>?n}u*E?^@satB{Xdt2i zj4Wr(!+X#w&%J@!Re_)I0G4m0U?)HEW}7`2EyLulfT`nj=ymOkGAr5BpI(ifts19+ z?$D-1+FVgDb}iGk7_3KUyZ>)CJ`KlOQ%{KouEu(Pvb-~(bK8EXghAvI0Jj)mS{}K% zM~MC#Wi0B|iFxxA5Gicd0$5_T%lf>`jCbV3^*-4*wFWi?GGMR5G|?@IO~H^A#F7mL zytL==2z-wrUFgxk4Icd@iQ1F^iX_q#c=@4b$G3B4SE}o2b08f6zAMCwCO#Gd?DM|4 zc1LzNypG$5!iSe47i>&GkqPE$|98A z0$$kJiJ?|cF*nqz#o_8<@=rw0u+BfyV~h4Ka>}bD0p5_9ve{RyNmq|Tj9nk+rnL0r zOmN}G@!c1g=%g_)dPL>;@~`NG1O*GWij9_P4n31ssm)d)%`lX1yg3?pqAQa+(I4iD`DB zInjDqwMdhTbL5@wDl-4cY0KY76YE($7pI3#{*VQj66n)=^$*kp0#)k1q?*=rn0lay zFKDww9p7xPB*z=uGad_N>RmJYo?$aJG96h|z?qiKf`zf^C^~v1b`6nt%yvRrrh78j`&s!;Wo9bdn%els}erbp1_o%9Xw$Gib3~XLl)7kRB_8hFrSKz{? zuf=tarB{Njt+g<#7plksA?mVT&3!@+&R1HdwC;RwqUV=kP^Ih~BR%9)WK0=%_t_!n zh4y}GK+(GgFKtIC#%U+C~Heqerm(pJW7?W z12PxWM;TbbMj@{sU^}Bs%h)=9NDTlS`gtCL1A2hN6S~b-`;|5G$)2D+V za*y1=_U$rXaMX$^-5Hm^-RL1U6MML#T=>O!D3nhlxcr4k$yW&e;qFun%`=Ro6@#&; zIzVOU1@~9d^nLRiy3;z$4kd3RFn|5`>VDD@v}JWvi{~yLswU9$5d|08WV^IF@Iu^I z&69E{Fr_gW1+mW+Z@+2~Mc)%~UzT?TX%V`Y2nxWhVK6~&ZiIHdhU+N07JiR=x75=q zd0j=vLcLlUO{nkk`#|G^|KE#I^A)0<>eqFEi~kRd;s6I!wqS9+MZG7($*q7oZPy7L z|2lS9inBC1^d{hQ>@tTmk6*)NK%0}G+w-W9&`c7ZDbS)p4uzAF5$HhCMBih3bL!Px zdCHiRx-P@GGd|#=b_!pIK5d(zeWCIsECEokKx_QqaA$mVx4!(37!CIA&?#!|M2>4X z0}+Tj85$QlM8<*D6m_k^>21>{B<8GkoVRJu^>nh$1~&F-fo>46c`+HDv>+rSEq!a` z^a+P7)bnMQaXk*+M-*8PZFrZwchE~2n&l6Ot2_WU9TY>;a0;hM8SqQLxO+4wnjPWh z0R$qh%Uwl5`C|TYxkaQ%9~I)($Y~*~(^{?=T+(~oconGK4k~fJ-uz-?^m>IIF!z}j zwz`zqG?xO_<>N1(F2-qD2+K`(jUKeVwqmD_#}FQ~uF5JOgj1ExV^z>mBNeP(q{l3p z*0*m-kd_wG7qTwMf)*^Zl2j9-fx|Q$+`x`Xx*=ox!0BoRHq&-ifO?(26w~-j;Ws_$ zx4oZuWh+SRdR+~KBQ600{&s5Jjf3<|*brgMr0@CFBvq~0kl;1iQ=RRPj*1!~Zs>on zo+-5=5NTiSC~f_TS~|?*oQDdG25`i}G2TC?z3m7NQg$tZ>+67QoYTy}c)H;dLla4$ zzgc2e z*uTY!J9tXdI^O28a6FUTBfZP%+wAGR z*^_FK9p>#;+CO)PX3{c3t=d{PAri%T;QO^sXDb+4ANpw#W^~u?SP#UOQI&_ zr3I#*#|kC|@HU&C($!;z#^yij!}MVfD1tUc0#l1>Tw_=XB9VLzPNz z9ZxBE4jTCqbGC3ncx%U_2y-66@ugwT(~=ps=Pf(v;kec4d5T4FVg=|{!ZSO9dVkC>o3pf9EZt}= ztImB&@-{P-wE0Pwc7o}o#=un^+oK+hX9oM{SPe}%DQ|&hX%Gv@a%%qs1DBEx^#*W# z{P&d3aWj%0_^OjZIJ2}_voz6e4pM|}p&TWDlW$)z#L{qZW{5DcnVdt7JEzF&JFaaw zy6CY?VxPqZl21U4HrLYr{VyE@8Fc~<15u>#y0DHFTBdA{o2^*@{_qbP5HO?`^7`jE zPR)sWg14AN+mT(;08Qka`#EEWUG#gpKT$Ojhz~ek=)wE0ABf>8jGyiOiM}n({=8G3 z#$B`Ok}~@Sk&h&!jc}rM`Ey-E%o%@1q&|XkUi8)B?y?0AoPeRu)(WF$Z=4PUU=?_SI|k5^AeB1s$B8MxDNbXOMntw zy&sXpPt4KRvEfioa=5lBq#_xMhr%LRUUc($`Q+H_VNwo*x|4^~fSn!lR86fYrG=9MM{97Flj;lDqVjyaW5PxNfn%48WT)Us41}r{n%*R@-ViaAf+l zmR`=uzNbgfm$>p5weS0^abjxURjZ^o_=wZj#i%RXv_vy7d=B}K6l}e+Y(txABd^7s zM|8ohPGw#8s!)?xkrH}bMFB~;m$0>k zRd5_TCvk(sldTHW?4?_GKqEWO7iH#+3KkZww$7VJ{jhYXTnEE+t!*DiL?a?Ih$oxz zqThSM)D)=Cp9!x^j68g6@=}1e*K6W4puP^`HZ~JhtDerqE>;gXZCpiOGweRxw0!c3 zm7=G&!1=olgdmw_Giz4dU)S$K&spIVM zsfLO-G8?SJyP~b}PBs?2l4sav;Ef)CA@de>l(8e(fRMEXRtFc_CkBh>6DGf1E3Scy z0%(X7Xwo{DJ6@z^EkElhwb8Y|a(kwl+2{LF;aX?VK#f8^vVz1uH?8SN*QE|!nqUW~ zE^cm#-gXK$=ZLe_{Kag#4)AnJ&Sv=x_1wBsA-6;2EH>J>I(J&xNGiJLCCKLJb5a=F zAB}a9pp{~9l-jwus>6qUWJ10eG8BJL>^>*QD5ab(@8f{GR!@afQ5%OaRzYPp`>d`! zBGqaPIpIWa#2Rcj0x=gcS@T@C4nq&_&C(%K1u7=g`bi)j5@#Y3NRRi#V9 zl0H|kXN)S%wbKVQ<7PTwLya%j3QHg`S^mZcaU=0nBR;riE4n5FCxDsI=fD0@>?3Ws zb|Jw^2Yn8kgj**x)WCLF5OLdChPp%hVQ|m9wZ~;Pv&Kf7No>$x_5vrI^?i4lRV2Y^bPp4LXV3#DaFj4x{{ZjZB+&Oqbi zzq4q#%IOM`w6G^G^0q85sF#YO*lC}f8|aIBXl%O;`~hauw$Gr?kMM;YK-0Qn3ypm! z;qZ${L4v{WtT(gm_`(qNT#x6@XeT}H7`!Pf1HO;4?~+zTzwtQ6x3sNzr6n0BM)dK1 z{qQ-jwg`g0?(6kvgBCY$&4BwM>N?6TiJ>`L`0SQ>z>^YdfY4=?=|@{V={+J?2WuOO zq)Spwfd&al`LgZLTI1_-8r=&+PRsIKi1AAem-2ZCm(XsDII{Ha4EhH#sij+&oA>kL_y6KXe0ygxCA{r;e{Qu4L*txU4!$+9 zZIzO{F11Cf!tx!n25HKJW;rVW;_8XsDP|1h4Aq6Hb-CGL8E|~G8>m09c-LvfIF;DD zK$I4B*FH<2BnYX5a)E>kHIRc9GlNuPY{p9$hDv(y!28l$*DR#Gne0I}Z%|(P_C5oN zCNGe?F*>N|h;vvP!v7)0tBQ~XXAsqg(H~`bKA?E3(A8yfo`&olUPO}J54_FHbyq>z zBQeZ>P#y*HdJwQHs(k83@ZQfWnFpa+`(qR;!0S_;4bor|i$9T<(w$7$xWCh5r&W+$ z-rHS87eqIJka3$VVh|kxx|1C5nrljFJmV3MA)g-Zr z#DG&->rz5=z)MDeacKpP{o^#MDbRfJttTGC{Q7YO-@{pc+{Sz$GmuqG8JJ~gxs8HXM*vA(e{-wZ8J#%#; zZ6!szIj~6zfKuz$zr7-9+*wjA9^`Tl>kG4cr!Yrjzk)dVU9+ViC#85qYT&G&Q3xe+ zAfWoN&z>2Ud%!w)vx&JYnagT7^}??d;5R_pVECT*5v*fFE+VEc7xGDxO7bL$dXys_ zVZqRIE2FuG2|{{}kxx#9Kn!r1$?*7H8y%1@$NDVhQyT{_?m z`%fdes?*%W-~-wA z*5NKO<9?pvSgK;{L!FQ-i*17d1HFQc6M+t}8TqY(fvy)4<~s1fG(=!R69kC(h5PuC zFYzsNqxO9Wl6W0-QlsWPe?0)`Hu(WS+OQ}tlqFXDk^kzR3MRZ%&DhwwvyKA-BDO%T z!OieXy5lNEx_bKi_#z?mbEa@_L`TllIp?}2n_>Ph%;36p2{gs*j&0;L=`ce!azs7D z;AopIMEq#^0!4i<8J-#r7%yFMt6=h5QBNE{BC(X|gs|X7s?SO0EuWL;1E{d8ai|-X>@>rw{7oq1ZdlO;bfJlQ{d~1ROLD|`2VShDI_!5;#ApcgdDh*9^LBz@2F!gK(FTC1;%(mj z>&ErNFr{`qFag@_F>ERqvO-sn0k25+A+35{jHk!e%2h$nRfVQcuexeDn-o+=QkG(Y zHp|I6GN1hdd2oLYGl-fDs7!I8!oTCzRrL*^n^pDv>S?7Hny%hpep4>|jv~uh$+{(d z#l8!v`<2W@B`&ajw=prYQz4>(!Rioh$d*h6vJE8~aMIO56AC{*qZ=)9SS+Bsvn?t- zt*f)z(7;ftEa`iwo%D6LHZCxt;W_~Z)`W>Pt?tM`k5@NM6BG;^PN1oPDexw)I7qD8 z;H{-4+?=B$s%pD0AlQE|4n*<*4D2-k@atDUD5gto-56aoE;7}r*QF>t(+ONz7OIY9 z_lwWp{uaTY<@}NmANJ5dSp?ij_%XiR@bOa2xMFM-5M0S#M8!fu|Lek6d zU=peO7Y?+V1icg;h6O{ATn;ufbAFGYKKvNK$l&iIg;olTVFUgkBIna@wIZ6kjuq6* zXz_F;i6k(`rB%+xO}Z_8sk7W!26$frcmBbIbFF?8iD0=&d=-?A%Y2)eMz&P4MqV3c zTB+g7 z$_{!kvr@=I6o62*ZDkX*)y<`4^EtBD%xZTeT!8rY@pHax(iG7jjN0%GcRL5!_K6#~ zytJW=X)US(HHU%qbhpJTErcBSsx6br9T{pr_+S{iA2wj+c41sBg% zS%uq2EqOO>X6(NVB$#H`?R)6T>SsjBiKY7jz{-1*;9}vK{&)5i-@jNODhPR|^op^a=mU2KZ=uyFN9l<6{XIIU6aU4IHnKEc)ql3I%;?iOciPSoW=IEZ9~ib3*eF=lRY&@lhf?VdHP`po zn&v0;b>ivZC5*hK$OCLCtccL$+7va!c#NBSsfxJcmBlKHUp83kD;Zd7PK^Y|-Z8_eEUuuB*=IRppOlk_`z$S0Fc3>@Af=j3 zXldeRX!5>Gj{>Y!NO7f20K>9)o-wJ4ymsw8Vw`)VrH`Sm)RXoVv>*1CTncBEBna#k z@8IONssFG2s%&RBIDM8@zm76oZIx&5@7founPZ;O|~Cgbn5U=z6)uiYLBE?*kfo&dpUKtswY zRhe7U8au@jmOC-ZN$bFb;NO&_QJ300Sqgb)Tvti;sEs-GFt2fe3#>ddx2umaYWv)+ zWHUEnSFr<6r}b({b9>aG2=JPnicK!FSlPoQZ!xvH4pOlMFN-cJ@6P zg$*He!tay!1c67y8pE7%?99lK6#F#KnC!z|G>PZWT!w}T&w3%KaeA*(7-^XQ#g5vy z$&6xy2Q<~}%gss!P4&-xA)Jq|oU9-{yD_xWb~3ETPcMoEyf*4F1Q;eYv0&pWpuAA! zl~u&hEOyI6T3P|!Y9RT*G@Afz3GJklbL`Nboe^2M_zWDN^t@$!c)y}+;ar2K)Y<5S z8U7`7QJUlkQ@SxABV}7Q&My^=T#uh>Vot(=HZ_Lmrwf>2CE(Gb@(?YX@dUZ(}<1dj7gJ8xG4=tWrfAp2>6$}vXko!92^d2Hm*6YCqVizUv$ z9!7qECt^pW#!U941E5spRvY0GG9(RuMz1U%bzQtreOalqqGdr^w*4%2QonpXh3!pL z>2QfqC}*FX*3xgs_1WtKq*LEI^b64xe4o_nXtV66Ih|eWW7Q}1b(Xw!Xs4oW>fcgN zKO`}<$bp?t00P?q)N7V9##hFksf3Xk9lPUiRzy5hyebY^xKtcR=(dAPhhn@fH*BVD zUnsO8Rb}z^7)DQyQKddo3xV8^%8JXL7yy@(%_CJbSswA6P6EVwcVzo9li9ysnsDDz z6OL$!ng77-Gtji)DQ_-exXI__CbO4%9_?hqUIkm(zdLNfp8uCoDkANG_`y68Z z6KI@0aGz)yVEF*dMF%oUEwg+w_*LyN+G+t=VU$sTPWJr^ZhtA3(+65X3w7Xo{`*fN z)M!$PC1rpDqVVhw_tn3p^;H}e=bha6k>XvWleF&n zZ4g*E$m?8L+pr{qbaYZlM+DoSdi?UQ?FWh}<$#;;0J_A98fZyAe|k$@C>?lEUPZ)5 z9p2hk?T39K+x|ylj)ikF{%l>4F7B`lsw97lQO!KNaU)Y$#D{!evukNfqxh^EAUcv5 zz`4rZ`a6I3eY4`a9FMg5>u4k`)mf@EyV$$z-h zD|7R+mXG+<>Xv6xowRtN!?~Gkqe=GCb^a$BOBW_Lm8HW*yD+j%3GBH zeWgg(qfU4Bv7T?sgYeod9iipzlb92sq8_pgu$jFz`+h!ia$Du!pzDm(I@(93S31b# zG{mG(u$`kLRfhtU?POUtx=ebc`F}Pr9}+SCOlWTkXaRDGzc>^%@vz z(C`yRSztZ-05>OUfWJy#KzD0)S6KY{2fjI%e0=*|h9T^U8|tbo_qU)&|4aAvq7iKD zOFHAY>)(-&@BRC2{tec-^a^2#f2l5IX!Rsl*$B8KXtHc}ype$_&S7?X6QkD2Alxp5 z6lG(80tD(59>m;tv)rsyv_Mw-N%Y3u3Vzu00t)?)%Pt$Zosb%=rtJ9beDW?rGh=(1 z_8EYh^WYfsSpWy$W!}={3p7!HfYds;f{l^@nhSD=+|*}~A9)DnOJL;}$gC#Eb>QlY zLJb;;XubNJs36q52W#GPZo`|y>}S`mSM%nk&fuqnS%<|hWO--T#PbndD7o$;qIb6w zfl41b2jT-r49Moz6%HhZ{PLRDK~BeeAsg>OdkEr4zJdHaWb^sVD|s$Ae~5YWH;!4R zu-7n$0h)lh=HY9$CUFL~Y|v!GN7?pQ5X8CEPb1!rUVQ14Iq_JY1lk^z(#NPM{u;-y63O5@Aba*_2`I2>6(`$+0EPZM>Ttglx+>eg$54<()KIxThw7&AQAEW* z45mbLb=lRmjo^B2=SC5Ne80UC_acYXObmUGx#Jt|ofk3{(J_xFq%jJcb88P|+vm-) z_!T#=ZoJaRQdA}}32ekBZ2hwQ#7%iLCTjLRku0At%S)fcbl4bv`uG_EK%f$9G86Vm z_LvP#nRaeT$XRs0IvwLLS~wtJD~)?ow(yWtMVplpi(%1o_ah(RTGq`$m= zfHrTR!%eIz;v5G?YNdkG-*zct&g;mzgTA+h=O<5gOQfxjVvh7rQ2N{f6&}wR96C2UOi|OVIUqgF$WF%&HPKFRzZ~x!E24QaTy+Gb z*-h}3R~S>qR)MMyDv8e;26~Vb-0YqZh8kGD5zG+gjNKR1&}~9l1bsNy2eJR^ePEld z&@|p=?^`%H=BCU}Zireb=0nK+raUju>G{5X0{&^#u95!8bs z<<kElOzAU$DEGe12QB2)9F0w{edIWT30_2?)#k@2gLod>PcoOpe!kL-pLvAEi> zkMj|GwUL={MGGdFjL(=Rq7K|!uh3}FmlH@zWOL&nQdqQspD>^&#DI! zT1O9x0vd)JPEuX~ivJelZq?v>(rUJNS=iRn9u&RX#stJL?JghkbUfM1p&I6VRcd2r z5OD?9RU4ETdokvzJ-g_g5o9n8#imT7M%6gbSHL9vJz=dPrxmebIla9z?hN&RpJXjM zeZeL%dbqcX%(#Hu&2r_aHk<)S=LdsaI1#)Z78@V_%QpO7OD2okwlZRIhqQ%13rukS zG8!s8`*K$-^tW`KnnRNh%)vhbzpF2nbhg|n*OlS<@3!lftLm6{5QsZM&~nmJz-K580aLG8BW^7Z zVSvCTCnbd+r0*cG-1dKQXLy>vid$3n7>Zh2ey1(UC5a8x3IpY z^;jC*hIl3{YW5CFW?=O6!%D(_gy_%49i3u>NOWQiAPqXCDG72S$aN{PUUdy5{-#sS zSm^wl%gCqUcKx3E7>$5Yw~y9O^;{Mj2@^Jhb!U!qMgNTMY|)1OmksO?A{G)TfZyg~ zKv@_!tUdxA<>x7QYPNU<;sLF2;;RCqJDZ_iGkYstR&g)EY=-iKW%-Lhx%C@i&}?M8 zdfkEl+P+-}k}`4KDj;!}ieo5%JK)`Oc!1tG15}Tf zm0Fq&8Gos%J4Y>z8t^3)rO4UMjrXzx?3T35P6nc)PSxuS0pOrE6Xbw)I;Y>3#m#w2 z1q!Z*3Ux~Y9#EH94Z)TDTS;5Avx$AWRl>wCY!>>&;+fPpNf{&`=tbS@HT*ax#Z1M-Atty=kHpu;<*XkY! zCJGIUA})fVie!fBFA`NK;-KZaBU)gTKa-G`-FQ!dy?RkQgQw`y>3i`SGYISpp7Gqc zxMz#P2h@_Vz%)w{{g$YHadFU;dmhBj>_>+NKhov0BMR<3TRV)(nkkT)SY z3Q@%Xyv-xlq02@Ifaen&ZaKsa2@7=Pjl0z9+SIPy=vV_g5R1!BVzx$UWdaomq>6^> z9VxCr7hJ*?K2<~c9#S16!vJESsOq&3s@Di20PO$vTUG2En9I!S3Sd7g3SBrCcszLK z#H1G*-~yTeU2tt0smJCk)G%Yf{Lpi-&Dd(&eQ(ek>zaW47XMtJXS=7jsnUDj}M%Hi>1IG(^izt?4DSAGn8Jzkv?LXz+|QD@`S zSRfUpYi$SdH~_n&uYihYEK~@-kGzajIp^}E@M(mwdKT+(pDO1${34Q%;t>;na=C$6c)b&!j>Sq==pVV=sRexl5h%+3XqFK6&v zMzqyWEs?|Em}%Fxwo;lZRx*ovyCa<50H;qS&dv2!dUcxZng%*9vCe1d5+R$=hRxyf z=652R3GC72(((e&d#`~*)K6h!l=3$HITd}WYvEF_w5#7`fyk)*b#F+SHcJ>mRK|`h zxLNNGV1RJT^yr zPlAEvEm)H$eX{!Tfa3AWM%nphw?3w?B5dICmnnDK7Ufi@esuUj3J2#s(T@`9HSK`8WKS+oBtJr}D;0`Q{r^v$VxXCLE)q`Wm2^TH$WBCB* zZB^Bb+p@1-o~^=C7I=`PTGG;dfs14p)8ci0;T2h!1T0ocW=vu>Tre&N+K(oFycE zG*O_I#+`Ih&e50evOJ5n1GE3DW*vyx`{KT?n*xGsO$L|dFS&4cT@xN9jp+&Ef$L ztCwyjo3UG8Qvq%_bn56>w6gB|9!Lz-}AWHlUo)zZtD4U=#K<_!i zKO(Bq`C+Md4tJV44@7?KJi(^4-xh31E++)~hPH85Rn}U3_+eR)WM`@uqp~O8lZ?-N zXPvED$^#|@jr~?}!*Ys@Z*PP{&kN-i3E7G|hIh|LZac#;nyd=ld#Zt8A4ORjgMj20 zMuYJWn2Rd70v}59)U9})r?y`dFZ^~0m zH}h?v>eKROLuZM8->-Fzi#NsNE>Vrq>L?D;M~S44>eNSP5m+ zL?hpEQ9I1?82--T5wHT@d(Xz}(7^iB@B`yp=L+Az0FSe+Mp_EMelBTn(vbu$Z7S*j z)|g#h?fP6VC#~@5#lOOkz=Cd)ZhJJRGNwBs_{sN-?4}ni3c#4^q7(Q&D~%U3mgqXT zzt0W8Sk=+*D^S47b14G?r}5IjYvE($E89t`>wx*wNGgii_uKvR+u)Ho>MfW0g3-nO z#>7*de;Kk@3V(dixY~P^oXB67`Kf2yu#r!KoHXO2Ie%d zrdn#JlGFCRMl+Ya?4Bp#E(yEQj$o^@4_V43XXV&(H@^Z0F4Kb%q9v)JbhQqGjsX~N z6%ZBuI9wIpu_1kIJG?sCFXOBHM#(!@4n+`dt0w=4FE>0RmQ(j$2G_0t<`i{E^kbz| zFBM4D^J1vo_zkH5Sl>A*gUi0^zgPZy9x?3``|k>}wu^t^86eOWCXc58^b|{}qjSJa z*0-(0yjuUSbuda+D*{s5yKoM~398#7&rD2j|D!->A7r&_x% zZo3RATLOM|JiO;2<_HGdGCLeluF02?9y&UpHR#^_0QStky`OZwJ&yjpb$DDT`x`2P z$|~-T@%b1>npQR#ayw~RrnQcXx0(UF76C1xqQ|QfK0pPz>b7HUxt_Kh6q--@zLK%} zU0%>u;BjSH$xB+iq_+`T$~v!t_WGPTYEocX^Thad!H+9jNB6+AqS62enbrwNCVZ4_ zZ$3P671pmz4D`6QHFTh=31S72I>or=IcVc-p@OunuV-OY?D&qN-_v~u zM-AU!{EJ+f70UI^6yKLgCe$16ENT7d2rkt3wU$s--O5s(9tGffen~~|5B$^q($PZu zvI6b=zIdINAGOy0mD#-PFm4iWfs%D0ml-k? z_&a-$?*;$P^4UsqQjuhN@8^>y+80h#5)%Qd&PM-d69P0+d+*Au;u)_Ic=DOzshEMFl3f zy|DziFuIl-RbD6K>%W8u7^9gJfe`BthG)jW@^Vt>R2}H_`Xvx6@rC?W!srVq>Z$(tlEdvTaboGYMb}BVzIJQ#S^@qzFyLP| z)tG!wrHC$sHo`3C4Glvxoq#U4Oa7R9G{YcOjwtUAO2%RF5Rl6J*I~f(+=p0*d!Ocj zWbV0aYFFOw@+A?@*CMrO)90bf{_T~=E)Dq(FzwUj3crnlIAzfKf2%D{ zquz4NDwg9?(lR^zT}A#^JE0FHM?vvFPO=c%?9?)}7=!>Mr>;d0? zk7(wlb!f8P1wIj$tO7eClv#o{RdM$i(AnY&Ai2B#Vem*VXQ^(|RTK%=l7pM3#~XU6 z0rRD4Ek=PE4vt<}%RQERG=~OvFc8KfbaAPt4O2-1^A#*xD-B-!Tmrvv}D`v+?Qv z2G_w}Q&~%Xi)J>hmF!aiK{ep>)&~x*(g{ltwY(91IOZ#|X(g=`8GwJmYIyn5+A`rFVEW*tZA12 zT*jtblkW;CjO{gjLOYdB)L!~s2E*vsl|3Ld=nd?Q@sJ~P0ESez=$Xd44oA&xx%kY# zRTWU?xYd%&6dTeS?Z^(POSf8U?-Cj&y==wHoTbLc%jfaVkWxLLkWmH5Fp8EPG=$O zv-!Ps!*?KFS&|4aNsuPYgP@mgY@5^57w!S}dQE z1F2Myod}JY$$`VOzWqtiLmddj-2PQ9aWWnls!SPP{EsSLMUw+VD8Je7)-RPkcfUvc zgu2{BE_q!8^;Sbt14@GqB4gcq=H-Vt&a};ERsA!s6TOR}t`F`?9Xj251y2VfS8_zo7wfN8kO1N- zo>Ly^f|IIS`a(|7ET|aor9qdeXIF=%J227YQg}ZWWUxiu19Jh5V0gnbNduVvs>Rm7 z=|n7}!18N~U%3LrOxRnPnEefp<&&ZqcTr6H_(XIYhO|-EDG!VQi}qmb;M$;nO?`SsYUYQ~HJS99KKTj0Sf7@tTj zKJHO7VJAZ~m;h5dfZnV5#q?6)Q#ig%sSGi+$Z#zM;a&*SbKIcRtj&4Tx&sIyKq-p! zvzb6`l4mMZaMI@jmR|?H-RwBl7j8=ERtM9(_s`uJDgh1_W4i@cfsVWn04Ob>B5o^6 zzE$0z6<6T$T7AL1j}v0Mw%W$WB_GY>58pY1qm8TE}rx*`j9Jh3*~BM+RD`B{NO4VBjY(eH{?f zr|Apb7S3el)`3QFrXn;d@{zS-pWMJNJHMB7&vGk$+Dk{$*C88H*CqrmfdxUCuYWh0 z+YYy9c=zw>epFGmPzwyTHyN}eQ7xM*U$_0#*?*U5YRLyebi?0uUhbvv zao%D-(t?A`B-suc=Ny?$Q%CuCYk=5stFFZ25BBxT;Xp)hID1<*x6WVRL-w(}sn%tr z+g$4bc9n!AXD~)?YaXndyp3w&3~pX%w=JzmEEv+tY3aF){TuwXFwGInnS@Kvkjkzl zDRRQbzyA4_;dKI$urvoCwo%}kW1Vh!)GMor?5Q;+3V^&nYxAl*i{4$G@X=7yiU)tN ztG3J(^Hw}};^7BWDh**@kz6)^Tot??Xu-|+rAIpNqd zxLOc92ojV^YoX@j3N#-8o(g-e%JSM>+fTZo@UN$}GOVz1qb5T>!cXG%@{<_pS`BSz zL0;|(jQ>Vf(31!DgS(nYq`0PTeYC4z-J}t;p8n9?1U+g`rW{ZMJI2`>#*;lFM^LmL z(EKJo5DlxQdb8OaFnPQ)6yw;!tcqKJ_IPy}KG9oTk~*%DK-vIo*s~Ah#SpD6c1)px zr-W!8!qZR3k@^U>00Opl01?jR>R+*O1gq_vDh;T&*?wAQ2j_AGoOj!9Ss!i>Ydvob z(@hwb~Ufw3`h{2}vW6Uz+)9hfv?2d)7YOWwkFx0gOWmA5r#tZ2I_&vj zi~CSIVd6SzR$q8M@(B^aM#zh$eXQRjfoLXub<_~FH;W$&Zx~|P0qpvX*IF|jF`(D( z*i|}~lsi#FlQX1a{m_L(GQ3*TNd@Ar3&vg26>w)jQ(5`VJ~^D>8vVT4?39%7R1>eA zP<=(h0-dyZznx+EAK<{D<1$CxQ~?9lGs=MbD|rcw&i<5ud2=boq#>Jh7^XFJQbqZ$ zTR8e9D8)LFhvwZrvPAjkv{}QI&R3D8UxBJ6aF>t>_>GphW~e3>_?MmTt6S=!)KL1W zb2|A9wPV4m`40_YCRgVf_Xwg6mA4H+S)7@0+wxk6Yzr7RE zr!kl1mLw)<;6O0L$-oj|t0QgdU`cW6dcv-5Wz5!QZ!0_hzpF>hV!XqX| zL<0%)83J~OM3|sdTV_`aO+_%Y7Pm5LJ{JGd4zkjnOw|0+>wD>fFr~6D9~hc6Q7K(J z!;l7mP5p=cY#0zbpx@HO5EKdtYb^y&gI3&^_^RPLpvig*W9GyHYUiF?gzPxhUj^_S zec(Mx542wxx{=Agv6I^nu@i3ZJ2vEhKjnjbHWKjWRdq8b{>=mN8*ff3TWU(mo^QKR zc<=C3uOzRw70=rMUbJ+JN$sMS*3Kb5`#?+%()1{zA?5}_UanxoKD|CC#;1m%CaB%c z8WXNqpc2?Y8lM=H3IWiLPlF zR=N;M5J8G06cq~)2!S99fuLZc2#SaZNbiCLR5S#nDiAv;3fL=PL$DB<5)~C}pn{>q zf*?(L$$qn;dHdcz*K@zue}CV(ceXRT=|r=%pCGfF0By zRTmr0GI&@E{rP0ig#p1&Wlo5)?9o+&kXzq8a?)dJaEVb$WWQ zVl-&90KG>7rm?Sf35vUxh;LcF{mB(Bbxr$6mdEA!oF`H@7B;|%TeFqlI3C7%=sh$VulqNU$IH5}+U2cy`rYoYb-6ifl zjMUm~_baVqcUBhUKK*bGK41yJ!Tu<5nWZY%1q;eWGp`0+svy7?_0zMwwHEI;!O%l+nx0vbtf zdW-U%_DQwPy1q!_U5yhm^g8pksl$)8EhSvd-Lbik2p&>Da`wVhqXZmzZy_0srAnH( z20mVT{XM-ovIUX$kZwLXqjN`X)Wu+77X)F)ikS+`d_BT=8sR@JkO;|LRwK&OXNc2= zI%(4%;7z3hPk?_2kz6~Z9&N?x-)Lpdp%YanolI3Am{VTZ-5eKAhP$ip- zk#bFSaml;9tsQ_hsv55n0aqch1?h|v-diiWO(rWUS z+bJ|-dp}iRajfgC4<*&{DeW!z2d<^B8-!TCUvgrnTO)()lIFgynY8K}P(J=WgN3T9 z!yN`4myEDaiapOeT~=BYdP?bf3#I$vqK=a-7q_SLbyPntl#2}$!&dYI$#D;qp<=A|=?XSS*ahGj+GX4B77lECu<-`^PXJyfjhn_T~W*fZol7Z;uq$DZLIAD<+(*g)fB z(Na~_4AgB%688N%<=*BSA?#8+f2((@jVh-FUE_7cjn=31`(v>fnJE+jQO_Wct=mF9 z-2&0G;LU!bBs~(IfJe{t49R5Dd;_+5hT^rU)aCZ}%iO}vJ>7#n;Mvh%8@JHFt$09WC0-LArUnOW z4-DFhzGoKT=I+0Is}2vev9YN3D$gKqK!BuY0O+rQJ7d%q2~45<9r5U6JmMz_C;wx@ z4JQaE>Q7Qm{1-__>-jV7hJU4f&KViLZb<><(^3(};cCtKF$NJ!+?dRVoB9f$T){|p z_DTBR`M{YgGzHK1cPv7o@mNHh;1P-Nk9nj+m|&9O1drhCUy}}i{YMUwbbjMdzVo9l zzrr_v-$Qs$qa4uDndE@(Kj(nX1P4Gg{x9?osO8TbApVsDQKEm}`Xux@l=ex@K+^l? zr0Y(Q4hmt6biIGE@u8MKla4C9UsS`i@Or)}_`i{S(zs^SpKSJjt`&8Ne?vQ{u76GN z|0C_csl`Zq| z5#{>7DZ6RQ{=Td8p8IQ8*Z*H=IsFOJp@WZg^?!3Hgj)XC)%AbVa-vfBwm%R*uICIU z+x>r`=L{x@pELwW|DweICo@3*H$6A!l1AKN`^>-Bb-agpV;o_U0sjkSH)#V-GC-gF z|B3^@X*-9QIagDVUytEWZGU3OxC+#r9QlBd(ZTS)w~2KoZNtft@89eW|4IAn|E2@~ zVDIoALuEm4LKF=C7dlXH^Tc$(fHX$2(aOUL+!S>x$}(t9lq|KYb?y5C;vV- z0MQwn8#rk%3vk=!IWaSUTvxnFg7aTz2~I)V0|M~qALq&0fWdDnFg*Q-^PT{^>KRO~ zXxVvx=?aTxW6Yb1Ab-sxxA|{N3d_nGsqA-g#kE}#{O~HDhPQqEVeJXdxtQ&;CtlST zNF~h^Frr)?se0ae_JrP3);+85=4;;FIi+{K?(w@TA3j`%J%3t14YlnlY%koMG1A%> zus&hiIra8|hhgmnU(?y`>02|jA8u>z%hbFvyHGH{{-tKN)0F&fr(F4k9YeYn7ecsxDqwL)nlu})N)79-Nq7YuvtY5!l=i;E8@~=O4HB{vkHx+$sYgu2A zci%H4v^;9}lfC^cPIsqS$d|GwcGeN?L+4+c^f#pJ9qxXgaq8sAz%6EY+wq^;8~c0qGj}$B zcG$G%ha_$I^&=DHbC1C%?vTl+fv-&NNCr~4|M6!&Ww{Y-^3_?%&wljmdLUMrzp+iY za@vng?5B4$XVpCsH0oWrX?x4JlA;Uc$xC`xwS3?H)M@38PbObocDYwy&AMAv*}=M^ zShIe$+H0Y8%GC>850(oa{pu^6Ad|RrgZ5UH5@*0X!>UN4nHH-fx98oLzm@#bKIV`>+38Hs+?kVO0B+x z4vSV+h&P8|ha(;8uRVTQ;w1PidcCxV)uPs`4|2uxeCIqUzLoM~XwZ@*ySaB;?9n4S zA)M0M#6}0XJsY?eM6WVq9-Mi3Og!tvQFZ6FUs;E@=~@>Lkw1Od*SR0(#n{T$wN^Xf zZnyF}NA9~RKX&i(Cr3nQI$+P)g&o_7aeOp2Xwkbv)4$DHut@E_s&HA3-oxycP;||uJG(mTR_j>p55T`pQ@$f5>8|p??oI8RlW&V6x7I$` z7P<+)?vZGYDAs=ZqATk*MV~tq+~$d~bb7p><;plZ@059I(>6`vC-R%lcm)Pwy_#j# z^QS0DrWEfknXZhXoENGGL9D;Qt$s?H~@max(k6FYuw4sok!?1N6z zzVs^xWzW=pnpLqzQu?i}{~jVsQ#kCX5B17?EOvTTyw|NK%Wkea(6oMk4*i7Q|yp^d6~5E=dgi^ds%Sfi2l;(mRrhQkJROS9Ka+73f@^8Tb_j5>JI*(_L8tMjk5e zZMyNUwa`XpSETx)*&A?&_5}&NP&<*gD@XnDr6yx7_q?+QR^%qw3SGLrymH4}BkKCV zSsl8CCEHHFym;aeUrw54arEo7^Zk;`j$>ycvUtx~t8;#Q)a847LgM~A36X5~U7gF~ z4@=tAYldRIB^I65RJG?DI>B0aie>FFeC>z5=T842j=z<2S%Gx7z|Sqtc6TFBpE)&XjUvb{ocrTIrvms!0gB%V-D^|4rL%~)VP zV6)5IN%(j!__SiXAk0r4uE=*vdk23}W}tlYfC++AHY z#CCbmI^TE_BaPDiO>-UD$nAT$_syY>C@h~%d*>OW6w@R0#j8nmT%|kI7|*y;j#q?l zO4q%zEqa;t6$j2osOmy8uq!>OGZQWg`exba$;?y^`!cO7T}r^r=kaq+{i1JeHtKJ5 zww&lOCmOIg*7G@6=SW3a%#$uw+TpR_WmYqp^!&)$+XcZb-`A!|`Xp{VtZb`&xObXt zl*rAg!M%$Ba3nKEsSs-zs|07IkyM z%Wt}Ccdp){WdG${+B9=r`k4yJdxPsGMB=1lEOJi0IO*uVl)iGy7u5%4iIxg6E|@pc zZf=p;YX`r6&M%V4Nt8MB_C$Y~!$pbaeP>Kh&36__8?-x!J*udBW_{+Bbc)YS0_mHf zqhRl~WN)lStM#-RwbGJ>uM|k?C#CHaEgP@-#lP5WUsz+Z>dcAntFrv!m+Ho5QeON1 zm?kU#(2Pp)ilcSTt^bMJAlTfvCYt_c`jrmds`HyzZ$I{SS6%#0yy>|0;Aba?l9PAl z$+bPTT7N6xed)_9c~Vo{?-(W}u5mq2jw z83GMORjimRUr7#!I1yDcMgGSums5*cjBXYxtlRS-C+wkb4}WakmXXg`*3pGp1lR$G z@c5C{ckB0GaM?@=f6;q;($~%I))9@$v6bBaTsLL2PgVA!s(lOx^(|+Pte-zzn)v*z zuygHfr^RHw;3aO4%9GxIN!E;45wv){WmDApfj4uV?pRVEJ{C@WmX)q2G;My(RE@_o zr9=E~ET4HtC!lzDHKD63d1OvU3(e2Q1PSEVPYeV#{Mu+WL1 zZmf6c#ifwW2cJIg<6r)Ee}mG}WKP?`z(&PuoiX~~NeSK`kF@N!iL zwo?1ksp%^24z~`qo~4}2Tl;=PEU}`bdW8OEnuwv*?BM-WQ-K(>vbYBAw)C5?H<{lg z+o&G=RIK4Et8(L(g4a{AuLd16)nei;Wv?y$PV02@IqICM%Gpj_YqL6W;NsH-OABr% zZq-V?G~=L{`@U_|yDmn$+0>0KyN<0~VH=->?aPojYx7+s$kTt8;H$g~+s~g+yvfk*fIC>0a4$4y-PQe zsMj@KKHWn1Td3^4`OEePPwGF<**1_7B)>EAK&<+QZ6u59@luIq8}_?j64BqqKU-}7 zmyb`|ra$>~jCs1oyW-%R+2pqsVRkj?4OJh~ACGw4eYReISm1M#;69DzAF_+y_C8Hm zb%Aeb%i7ud_H;Czz2s>9!tO_u2&=`UxJhQuv|C+?>|IaGjf)LU8XbSE8V>2!VL5$& z9}xZpYi1^M%t9eaE8&6S?ZZ0{X#_s9o2FA~vF66X&4%VI#^r4NbjzNXE4Tm9zr1;k zXZh^s#OJF{5|hZ^I-`f^hFxclHdzUX_6@X2bJt-Z&&kcydYZ!UGyH z@K$GI{=C(dNuSG4It|+_5m=GgZ&#PRZ_DZB^KbWNwI~QJuTr+2^G;`l#>`z;z8_9J ziX-VY9+N(pee&a3yCCegYr-3gDU9vE(2d*Y+xs{tAGPEhiOx2d&yX2UG{DT9` znz=DrHVTQSZ1(us9Lv6`GQSIpeWJeoV!rqK=NX~r!$(Y(GSp-=jv8&Kdtw}7vU--8 zZrb#-`?jk$IZ7DmUAY{xaor+kNA)&Q!I>G;rS=Qz2P_~TN?TP^6Xco4{IuL2PcgiG z)KC1m3i&Cz->jK-Yus1l)CecvH`9d!Fnrf3gi8rQts*HCQi9b-k^WnA@da? z=47zJ+SW0ij`wX1IQQl8ApiSi+r0&~>ayp%Dy{gkj6X_YpIwimZRK>cqu(FGNBmT( zksI?sEZ-?QfVehc%}>%2A_ z9ll+;)Dt`Zo8SJ0!sf5mrrFjgYL%^*J1*^E`LnNfify#XohvWrRDg^G^6)A%Cl9)&RA|pE~fVvHOy%iuB5|ZpnME8RrOW z|Li9>2cPAusnls#Pcimg-rx7;+olpi%;JqgyZDlp=Z{2OINbHND`;++3>I6o9@lpw zub1Ih-k`et*t&qhy3bJnnq z_Db&#RGQ1VoT<_9na`^|G|p zEqD>%X|GevRVC9p>3c{54cmLqYozZpFlBZgwK>sqYA&)^Fkkw}p4Ox8xxHEslj10L%%a7?#U)yIC6QuXmd`f+J zs%PqL`Im32Pv+Ui#9!E`sNs0BJp5%Kzgl*f!b@h964}%9s^xjv1B~GJmo%igF+L|# z?^ze8EVVt_cXFsRA?D1k1D*IX1&5t>@xNU2^ zq|Ai}nkixPzAo8)zgo_lzlgYd$wnzqi&rJ@`$%LzefLEBMPOF+DtcY>L#KMP17}~P z2?U8t>nzbfV`;$3I(%>Gb6LX=FWh%tJyQ4TZnlS3Yq1?H^iNuQlfSZ-d&=4!?XAE%k6869=^2L zZfleHdTWWQpN2x{)gl|8Ec;bXx&c40>QZFd-IuwEYrbh+Kae!M!PAY5ryb0hwI)bR zzk;4Ge?D=Y*agR$_Yubv;vVf(cUp2WP55o#DjCO%fkHkhsR6gX2U#v)zS*;=R%x>W zS9haoP^HSrtKSwkOq-*5=hK-qN798vwkECr*6z*iTl+P)UN}|!W|%SO;_&0Xp%2G( zjxL({;G?-c-@+qX1LkxWrY$M<+nsXO;NS)Ce(m9KKKqYW3I%zwnqjdIlV?cmi_E*P z?XYP6%a{2Pw(oXH>Mx3p8j0I_$3@i5{8T{1658^L;y4C#)#~pXjyj+9Ggc3;64)Fn zdPJvk!TQa7Ge2mH(oem4tvI!!|G~AVo3rkzYQDYX>=(75eR1L^hJF9h4@P$GCs$eh zXx!pq?RvJXW6iuPx3nI=JY)N*?A^KfF7Frd9iF|`Q+vB2##;Q|*N@K!>)!6=&bQrn z`p723%_94bkUA9JY)R6PT9@OvtXsR|d&XVaX|`IcpBy@V%CdgW3duC`8oTC>Ys=at>2r`tv4?pUj3tuyqAb2Yh3cZTuy&BvPapYmy$ z6eP-MyE|@a{)|jDTZG7+>4VqqnN^apB9l|NG6~->*@gi$$tYGZ3iGgPoJPgf);{PT|HeM z`V)}Bc{c%Bmta8FgGL8hi8@3cTc9SLzk@tR0E)BjC^v{?U>;O50U4ZQlaP7*MNu6; z(Se$D^iZ4$S_V8kc`XQak1>~|MI1#Li2Ey>!S^%)c#;RB+=UwS;V?h}8vsVXfY#OJ z@f78Uo)(YKAglzEmf^2W2+o}e*aQ;FU_hEM3UcBEV3Wvt<6?j|q8^FNBOZX0M}-2; z3?@3lsCanv z2H8g0HNguVh(efzJSt_-SI~aWt74*mPXHTbGpfP>97!KK2zmx&5cGJJOoINk8|oVp zKr(>|`UV6N85}3TTVAi>Aw4F=qoBu{6Q0x38D%+%Nd9XFN1f;sSd+$){r%*Bf*$WJ z`i2t<3WNjg?2}mk+7a~uRb5nP=#Texv@U4OKZ1rxqj5zDs^B-!|7}+s9U*|HqYN2q zQnJB#AJ;SZtsmrk6-)Yf3NaJl@O4CYSVEE zF&Gu~NnZcAJ@Gf;8)FD6Av`f8;EDRb$=-NR{JY^B9h7;#O+&(@GtU4WfYG~S&OB`! z2vZY%pKjI;MlWi5eWV z4PM^rMB>>7Yv9(J;BDZv!W$A$n_xWTjt6S2YMantcRSB*PTDr+%SIz3%(U%2!?(e^ zV*z)7A8H|Z;Yqrv|8WiKOa=8oBBBWJuAobkh$uO{E9iwJB1$sv3OWu6qQ%CpK-Qse zjbDLylJq8^fJl+_CZK>6kn|>?K)aFjCZGVXNqQ4dP+djhMTCtagJJ{zzH!`8ba){{ zqopW5qzOo9hzN-nS~6OSV#JHL7`;SM;>940UZObZPvE43BBehG3dM@&p&fk~Oezx3 zZOJpoe&s&;$}bK7Uqm+Qki5oynJ}_Vgf-|9z>~>~Y$O?svE%=;U-LHyH~2Lj#O!6N zbAuAwb>qxxc-}pINEgv79E85z+a-*t{>H20;vEQ`T93Ow~mE(sC&GV-Di(UNM-JkK3_LCjVH6pTW zjl#G_pLDo0a-{`?g!$rc&aRZ6^0=MeQ0pKsr`Y}gqqAzb`m&$@o* z+&b*7)%QrMdujCf#yY!$%ibNhr}qBhiYvF*hCH=Cp>?cIqtgE9cWu73^&3=g9kg+% zu6*U}6n3=mMv}|acXMo%HRB~T1@!#mgT#{zq6Vf=R{4|^vBl3+$*)p#pKf5@G&0W4fFXQl?s1UJwKmFeCm>M^}3M@drL#(9k%`^q%MhVP!|yB zkF>t{IxEZww|IE4s%frs-I9m=+Cp)$O!=LLgDY~x__lq+>0j3JUm=%NoJl;;a}WPv zXi&gkXe<3>Re(tad482w*8Qgfy)Wlw7x>*{QM=P0E?HiFb9-k*k$mOKlQv$dx!s86 z`>+!3<*U;x&QRafS6we&)G=SmLga%}#w*RW3epCiD(6BsM6@s#k+SU^Z@roO$4oh$xg@0ccOXFgwU_xWA7f)2jV!zIL6#SQ8o ze0pAPok_*@O!g+~l~$Rf(%2vKoFb#ynf6_}r_8CTZ&&POan414EqcDNET!JntKQ>H z3)fVJN@A?F81`JYw(IVm_1RmD$rwUhn*AFd&S{U(~r#Nhu@p=NBD+=g`;2 zjJ+rKZPDa=r?>x%--{>ro-L|xn|~*MT^VFxH5}BsyzODw9)c|5*qFPK(7AV}%C}uI zGp8Ie(>bRx`)FSNl(a(EN{8!KtLsl@rB{Bj`dn+#{U!6-#!u542n%oaxe?ubq^G2e zJgeT!oqFiqyttfz40 zUJ}fB{^?zFG`VBj8dV};?K8W51JyU&JNN9zmH#}^(BY}ubxmS5QZ0mVGcxMab`LFc znk}K9Y9r@yCF{$TsgzRftTsMtUv0Bzn=dwG3M8o?jSspN>$)0O5Yl~T8~cgV{pysw zd~X{J|J5mX_rx`Y9IKtr$Q4mtY329Osy$YbTImz`85k< zI$Js%X~FFdU17DZSwD;1E{zE+ziKOGb!Q;Y@-u^BxX0tkYW6+WwX>(5&Q863k`R5~ zSLDYcBWYpMH?OYXbIsAGHC_C#ERNYxYj@|vy6ee^R&v0N8V6R=3%ORe5)1N{>x|ey z^I{pgtTnZd+S?tUR=++ ztF2c}s*Zf7ZR_vCIcTNmvD3RQW`19pC|dA5J^A>9c=I5;t*qJ~{%6}aw#^l5%w8JH zHX#KZHruYU@U`0Y!dbOPhGOjedOQW+E@sR+v7vLVSCOd0_Z{8By*pYG$-?bt>x=lh znTAC*P6lPPU5pJf!y9AOa~V3s`jAWKXB866W`=>+0jsKiM|+!c@XXk#1sZwxc0a|_skV% z*05Wb-uEPLqcu~c>o*Bpnc**@=B#l!UE=$a8y8xBs_^S3)t*@FY5tpPIQw$44 zvlUyyuH5jZKC{Qs(H{vaz^+<5M_#=rKJ>eO1x;*W^2>q8^2bCHQ(CinwSw*6TC~{o zmiT_O2yz_a|z!70c_a7YKWQe0JZ%P$%V6KAYT9V%67wdWbwzSC$Uk-Z}i~xT;9i3dwve zW*M{jrjPjAw5QxP+dg;H&OPdI{Hmf@@%w8^_I|sp-lkn$RONhGR%`f>W{K&N`B}Z^ z7tJ$EoxM^}BvAK|F^BTw!daX3A`g4+a%tZmH-#ahGqxPi`a7$3B0!BmFq||kQ9IpbHbHP#FYpGv;I@Tr{Q(OZB1BUlh4DVL$tc`2B zITbb~jE`qRqL~V1oib<1##X6(feu&D<^LtrzE zE+KmE3g(FRmnqM=Odbftg{2^XkE+qcRSz;x@)NAv+Xvx6I2d|$+AR6ln&SXOYm=6c zE4y0_NZ=94AWGTOQ~hxW@)aA=VNa=;5eyvWAp%d7zy?M$td{07_o*S=aB+VB4km(} z2L!oHZoKA!bM#EVjMoU>N73QuWw`@WGiCM$h?}Osi@OoPlnZ&GS=JqKBQ!0c%v(tJ zaVUUVhq&?jKHVm339-Lfi3z5C-DQ58g(l{@ zQ!QPPHcNh2FQ|onwPlQME@TQlC))yh)I6UCOw<=DT95Q0E*}9$*hj3Ir7UK)PdxGh zE$tPQ0AqY+j?kD;1AIMB`fWp?@;DIDgs-oDQ9Fd zmlYks?4rTrOk@N>T#k5lwZ2rJdRv!?#Y#dB+9*Sbt=}jg6plBl&tmuSpz?s8BO={Q zuPGmdxJKrK|eNy4OwD#Yd&L^QOA)( z*grEBskE>mhW;sF)MnghLHppaHb;`rl!<&5>4nV{u{cyl+u=Xg{A^?Z-^;Q$LPZ}( z5EuB!aXmgj$O)=P1qVnd$Vz3^2M96CR!^xBGT|bS;WCU@24pv+l?<(LV4-oWh1548xzv=G{V&e*Z2Rp-4vr`4&~PSwvw!jX73;Y_(CC>dnC` zOl5vVhNEiyOvVbTM{+{-gd&*;Oa3C>N4y;x9Bn-4ILvw^xEB$p(V%(ZF2Xgvf6#fa zsysj8oe2`_wX7(vKbcaY4@u`>qgCi~Bit{UM4=Qm@?(z_k?$@KJ{K6-GcX@Ei$}UY z4)9Z6hl;rdPawta+TtV_91QmZQ!a9WT;9>syRc+vYMr?6GM5)&P95X0VRn;Cy zy&Rx|;xiqgffmy_4Y$zST;|Xq51VR)OF5<>35pN$-N4b#hF6A=me+6&jSc!xfYuJ$ z&3y@4iXix%5|mC?I)@`E6DkY|iOBfyT6$Bc@ID%H8~D$LM<9i)3k?!~Au1cAButg! zBI2TyLM1rTy2>K!RqCT8J+Q3x_+(RImJy?gVX4Aasf{ueFnl`tCej#G5Hp8PF$gLLzNc}gU)G`i)1R?lzd zaL_OLFiUuid&?J+IL1id?UaPM)EwtH|fOxKXVjL>cx z_1}fpX??u%ArK0e5ia*QLhGg+!IUF+y9DkkLlL)-Cw1K@he5T{purfkr!HfT?BsKX z^6EY|?|o&*Fp!Y9vs;-DxEw?=;H%?HblD-v>0yjTJbuH z2M-ze!CUm3z14VWP8s1VT5SJ?=%iE>0xVNXBt_#+^k3GxgB_UN!NgurD> zD@tHb6`vz>1YQ_DP!vWF9&oXqhGDuUbNn8RFaViW4cFX4ApbRVt&fw1V`qQ|ATHyJ zm{0RUwUEo`eHw59L9ao*@Y!hMm}dm;e4-o+9xeUlFFeAS&G*)%OCS$@1>PGys@bEz zC}z;rwNmyLj+IRQbO~fGG(j1k0XkvZ?#Tl5%mrl&mvThR9yud$YcH>`1>uhUGSS~* zZS!fA+cY4$yl?_KAOsD{J9wCbXlRx*M{kV&MH%P<>WgoEaGlg_zQ-8@d`>Aew2aqk z(0XGBOPYS-G;;_o9<6`8fcFa~T4XNL(@QzVp16;;7>XkL3vc_3aB-7N2kyYf|Mm3x zAQ;Ik@Zr)Du-O)^yT=7-=N2;2x>=s4n0%FE0~nUdF+)r~%hM23u97-{xukMjK)3v+ zd=PA>=p_mI3Mv@DT!cK2$Sx#jM0-1~&ET-D{7z8BKOg@5S1zTMW| z*3IQ<;@R>uJUcu@3|nsqsBSG#x%GaREJuB)msbW#oU_GWODQfjKR$uqXUS>LL`e7Y zfA5$gHTCbND&rs3=^BzJ{pYCf@E=Z9U}<@5sshfo$(ag#x%Tf(RCqQ0ah^i{?aPum znc=c>7`mJ8g70ZFx`gTYA75xp>xO&E;gAX4TA>4y5L{@7%pE~FBa2~5QdKikSrU?= z>Mw0n(ymceSeTk|8ABdUWO5|2ud_r_mgs$pip%Q~F7lKz#(Y$x>^gHeK0dZP<{*CI zx5cU^Vp#)x;pVQ&;!B@3i~aZz0qKY5_SpCA&z>-1lr)n>HY5;ShYllM?7j z_7E6qkz6^*@)szK_n69O_?B;vMX`xhPwMa+`KecMl5ZdGmH7}c|KZXK2S0zCS_WhtC7n^g$T40y&C*o>7t@C>tHp%rbmNAw9 z36(^Q8Fvvxq^s7^B?LakUXd|^NcLW}FTZW&@m81-PQYz7>i+Sm3$-Es(QAgn5reVnBKTV(rXr}CN`$NRGmXoI+gBo|0`ic zMDAntjrU6!&jcOhLOu0qvGX|veO*ki2zYhF7)MTX1W5`EY1EZS&x{a~=)P1phZ zkkno!#{*p@k56&M{_r&~Pe#!-0e!v657^1SxxfAkF9>*Y#(E!V@)Ld?G!?-!YmON64=EwYC{&bNq+ggd?m-;$ z75*2@0zcRPNQUums;U2!41@gJm!N-=6ZA2Z^2zW1$p73|1|GdJ!VMr;W_&ft;9p%# z;??wLIr+^?HYd|hJf>7#w$Oy}L@-VrX*A>~)Ugag#bHDz)4GJ?Xjr9CA=mqir%}Rj zAxN2}T1pc~oSzK)G_zgsUYO{3u4y2705)ZVD0 zG?peE;FUspRmw@Nu{s1(KF!!;!vVHccOiar@q%gV-~Pt28uWb%+y4(X4N z2mM(M8V`r}nZ@!D2*D|Zz-VYp`Jk2{Lwi8aCFV0K;YF61fF$gICH%q!D6K1tio>>) z!A^`+NKO_x2SWI_s=91kb~&VrBr}}&C8+aYF(#FRDfUEgSeEjFc!p1? z1lROBOGdK4Q7wVr#*O)U!*- zbz=m*s6S^jnc+d>-oRUO6mY|_%No^d>6!DG#|HS90D{+=lGPmm`)oZmXc=gcJkj=e zhLgBKm;BUo99?RDNihZ-ME`#n^USVjMpKLU?125 zA;?24$xv5Rd}%~ zq(xddwvAG$P+k@)6q(WpVuyj;mh(X9d?2SB(x@&eN``JmQi={6-WOqk-vYP93NXiD zPirTkCWb%I$&ryT4X}_FJ&X8CdE!{vYVnt#1;z5AAC;>dX+jdjy@{xNdE-BDBr}js zX?e)itvsvDqFgCjjiH~|4@r3AP#JM9gRN8w`0nDZ1|&cfkxs0V1*|Ow4`8B0hNIdj z9YN603QF-DOzEmU$OD+!Hr#GXz?|w5E07e>1uNcTs>E`Z6bJ1)#R!dCKAj9x<0b|P zx&l26$8z2K#!@qpqo71@sEv{|Q#S%zy!bNY!p%VvFm1~rv7i>^`V%s2o~$l!fd$6g zKLk14r{{xAdqTg>2yR|nC`?i6a?l83HOx6S{eZMS3t=0GFS_lP4SGdGIZANJF0y=qOp_$8X|_OIC}H@gV!P(IE(~xhw=!M^h6h zqIQN8ro2lYZ`t1u%9v^{wH61;Fbq3vsVr}uY#LZFcNiL#*&d&OVT5!6oMC$XwE@B0 zwY2h1EK5Pun90zyW;_p7w2p_aPSjv&MynMl!j4QP(9?9vV52Fy2uqLHTU;_{8m<%8 zAZ>>LLJdduD?YoC(oY*Iqjxl_eKMwuAkso0Ll-!*MWr`=3GN z@Ia_SFap`}Ee%4jgJn@QFeH_O5LcM6`(cbKs$*$hR5>IFd7>B6l2wgia;?UA8xc)J zI|#yXk}y_FI@Scdn}L9#Y{#hVAK(+l=P6PdA)Q!7&@Q=8Y2_-1#FHUyZMJEEUItQT z4y~VyfKWI98x>wqI?#a#+6Q_wI|s{}&tyEQrC-v()kaHDDikux%%f^#jL9I74)}mt z`Vi7+y1Fcy9|ng~jR?ydki!-jp;KNBs-(@3{}C*)nKYUP$fQTr_=4U$=mObZE%2pD zCP2(1kdzmVCXMRi0!mS(kTX6^K&(rliKAO?t6T;;CaRR7FO-XfGxYh$oEbRCQT>A4 zv=bBgE(Gr-Cb0}^T#F2wTd-i;YM+@Av4@d_bb7<7a-|TKvWOwS&AL$GR1Oh$Q#kfx zd`dqMIEzIx%b@iw1LIvdq>`E|+;LsP{;)=;Ip zs03^bA;yEYB3hXlQ(lG&P#6_}Ic#BIp>hJ@7%}^kq3fgKN|P3rFinr3H-LTzalKd; zdzL|xiik34f-LUIs-|Hr0J0PY6z`BSdVLlfzq8Po%&17d0W(5>hQ45^dZg6k{;b#yr8)G+X90T%=^9Z)0+0wzolLRi}{ zfsS<;((5~yvlOSgb>e*llb0&R-ePzt?M1NC7zq=o^#<4{lzE2y6f&(7Ux%hFFQn=W zgQjr>(;*HAH-e~vfVCpXc2GyGIax(UMY+h z{XHCPCr^Fn;hWy`6V`$OGT%@f5_`hVWA4X6hemY;;TGtt zjcV?oguoEVlLvt&rAOUjz{V|yk?Qcuc!sx92pA4Q>UtS4T3Qc)@e^TzlAx{&Tk#3C zCt!+)hG1}88XAWM(9#Mipx{?`2}Q2wQYwiX>0vh>#{p~dx$2lsu%r})L7?w5-q4_z zDJsRfg5gCki)vdU0()xFk1N>zX0Twv$uUUhZB`bxBx!&i@*cfdGTvTvp zsDP?wanjpU7_TB?LNQDFlhO4lV^ec%Aql$KG5`l6E}Cu9INKOnAr2nD)=TM9+Pe?B zaVKid3AKY_QrEAIJ;|j~P&&$j%geijz(mRuFcibZ|7rR*?iME!bjTBKaWnw=AFee) zDB}N^z`>f+zc$tVj}y4xyy1VMeSDp5asp@g&llMs=yHtkUzXVZMZ%$$KTh2Ye_LMr z1L3^K{yK9-zaIF9Ee_$OV}wu6TmQ|}MNrG13IA4$jQG5XPn;qjR}QRm-Ww&2zDXDHMo*PC>1Pmw zD4iQaVRnk(!-?bs@sQ3g`Es?0SjvL@B(AX<2UCsV>|DOSeDCIkH7u9S~7YIQGQV53tBe(<(tBB8rY6v}4P_k1Gvb+z_>#0dxFy6|s<@jKE z{!(YK4SkwMl8wQXzz#>9gvrC*axnTDj&Z4TA|k*b43Wn~+QY2e-xw&wg3X<6>Iw5^ z$Y0NQD+P%^XaSh!11kKRS>Y)Wvdu#Q+HXC}*oMbimF?-{Sy7Z)H=Ghi+{I zJ-Z(UBsQx)mf{V@%ZsKvj3O|K@F8U|EfwU?|Gpe%)C-K&B{5CtV#FhVtO`RWWEi$F z088nT$tP%rvQW{N?O?3KJjW+Q8WRoa+S#z%ty5nU!!ZO~&ho$j!|$hnfoLBQTaIU_ zamirxICqH#L0(>57dilgo%|M@$3R$6e@;_;{D3G2WQO3lmx&sUSe6_>06hE+F$`a& z*+?H2AJM4x{f5dRFf21eVC4Mxwx2<0N?~jam7m612vlbQp|BuS0dsvYfeAi)fJFkK z7ywWa5p}6Afxi!U;t`wAkynadnL=wcy$@|VLn*@2tvmu|Iu|&S;7!VceS<+JEoop8 z3DJ)=6&h1Id}y!^fm4dAkuo+0v6i4FQ^5D*UCx3px)&3ZslH&~^IdIJ;e%zHY!leI zuPy-QE<&(!BU^0CR)GPU3JWF2c}$hZb;(O{DvO z%8f^s9()Xh+9+j4>3AI~noSufw+0JQlN`^e4(Vk1Ni2dnAFPql!(rx;)1R!4DY_3* zycWiOYsN>gSR$feQW>ih3w@{JOGrCwq2xPNLrfcL!brd$jAXC6q@Zn=yhcQ*aGY{k zJJ%HEyMp|UY8-tYrFR6-SqGsB>4BLtXBxYcHD7{?m&w=|RTG%Pa4zP9Nrx27OiZg; zrk&VF=CPD={1%QR%xj}wqkS`IC}l6Ke+bUSV(~YddeCJFEZCq0n8*(}{4V+vLm{{F zU0JZw6$Iu8e=p^Y1P;v6u;JL;rlcnDp0b2-E`L~0H5^}0g%5NlBlOUZqW+;`hA$ib z$A}Dr$G>KZ{Kpabx5d;Fo2gTz{>JBLIBthcesN>?&yBEOvIZN#w?*S)l0Go;-y@$_ z)1Swr-)yltnO@?o`T`|P>9S#qNl(KtJyQ!w0nO`BJ{(n}Z;Uz}KwO+u5FGflQ;8-` z1N=Uwe)Iu-^Z|UJ_}yU|`T_(V(OnUkrqzbh&cDzyw18%-dx0mJabbs}O7;{bTS5X}S?^6=wb564;( zP=k904rZQ`DJhc=qoaq#m`|lkIO=fIFnJTDV-27HN0*pS1g%Q}*U55)8_-G67a1&r zQS4a@Bw-Dfe;$H^z%wx9O$7KJw zGol|*j`v0)q|F?cNdqvo|2>)1)#5f&}T8F~B-KI*CvtV$M(Xz{}t0quTQT0A8|Qh=Dx zJ>ibrR@Yq>0xf+Qmhd*qf#}1Kd>0>X5JqEp1gXzLurvgbRtk|8r^X{;gDpR7F${xH z;Y!nUv@#g|I(H%F`bOrY&GFuGFak*%Vj`>flgT-VBPQ~rN#mI)9AL_RK~o7juq5!buJG4G%5%Tc3`z(s8j?N zvf>eQ(N8zUcEeK1T-ccJrusBYn*kEYMPQk?R7)TVtQdX?Qwm2WohH|f+?1bESGEgz z1fC%;g{G7~fa?mT2BT->QciYZB||+_0n7rubkti!29FdU*jH2I+N-O(1cN~M`Hf(d zx}P8zhMfT;nXC)QxVq$Y5f45I?MAgLo50qC-Je1QQlQfbW|cEl7&cVrGTLAn4GZ?s zHLL~RUdV#mPkS!4V+E)rw>G0fm~J-?#SYICIvy%!{rp-vO|&d(NeBIU+#tK7-@Q?l zs`E@~_nrYvWKI}6xC=ZfFenOIl?KL1_F#u@puU2p{8n4OOihJ0un(*N$7Nr@s?sg_ zv1h1Z5SFu}i3xmnVI|@cY@04Ia}#y8bdH zb%vJ)y@6#!Fof5=H!f%YQgW&6{W3sd%;beSZwiC;s7QA zEK;>58j?E%Vcn>`Q_3hBz<{?!Aw`E>{zGTri>qj4_D9%rFfM>*X6jpJ4(_99Xqa%d zy_!gF#-{S_0_+ik319h5Uzd5C9X>#*PBc$73*yO zMklnR>gCr1zmhKz0>iolN}0S+KL|PC9XX&B1#-RAK+6+;vd5#6pokZkG+KmTwFk5@ zllT1SpFs#XDo#@_#IdHM!4MM%8cc=^%R!+pZZ9RZ%V2=C;V*9vA|-n%#{_zMpmZmS zB!rEP^o_qiqRp5cy=Y0qC@P~7iGb2*Bo=<9(6(I=ALaJ-4lk0e8bZe4Z5n`JL#QU^ zTTcgVhE6y9W5yYpS&a`4BM5V+}A85P|0qq?Nu~DTX=dH-kCL%)+Na9 z9@#q_Tn#)0e3;oA>Bg22!byjCnlITsEh>3uBiA8C?>BGgnJDZuWedbC;?Sh^>f*O$A9RKY5j;H&aoUd8< zyJ@`&_p90Txj#p)?Z8~7o*!OQcTUoiGiM&^i9Ed!7{9N5NrpwzcFmb5+P zw5jgV#P1OvwW;&Xvr&gj+uCJZaL(a@7t9>yCI>J5ZNUi_R5Vng{Q8OkKk9c&n&^>c z-3mM<(~8}S_GIHzLt%6W{gQkBColQSNFG-4^mI2UP~n7HESE?p$c}BFK*KjQsZ^B7 ziiB0pX+FBm)7SNRxEw|(J#)7yAeN;x-F+qgVfkF;YncAYVI}GJt-Ebp!W)w(kq*#t zIXJceO~rn$&SJE)HvQS%ZrN7+4)gxm*j$|#+A;5%HE1>im z0cJ)P{-e_mk!viF!QmC7-=Z%?ws}D;2^WE_BWDmeFvFDm1zEE$-*e=mdH1I_Y(KOs z5rHZuVtcX=zAJf?&^^Uh{xB$V?HlQYGI|+{`n{prim}Fhl$qIBs|3^RK!xb?1m-%x z_RVQnx-Oy;2h6#kJ?lcp-8RDgL=nYdrKoAE8-x?3@htD>nktbuKCmXRaBJN;mHOxR z+9FB~+|2D9hTodO4$P<*9U0qRxHNdEZuVdzT^zf$h!%HiV0OfB;79#+=`q3 z>{~mdFsJ&_NawG%UKj<t;A zKT8ikcl8k2kT%_MSGD#gXXe~KAJo?+>PN$r_9I5Z44=MFvqIkHrj&3nzGT*{Cw!cN zK{#XtlgTt>_;Z-=K|iM|L|4i*rU>*z*UW&%_M=~J>5?GGePMmZRlb{t3hFO9^dzeu z^l@%uEAbp0g{SU1M*)WGf|3){WfVapI2_`^^eAn!W$9V>&T-8UXLp*n&zb~_`#U_ehum~N zp^pPpPUM1&hLA8DH}(-wm&1x-EHp&P3XUG~ytWQOyAQ7)@gVAc&4l9A%H{oYkFml- zQ*ripxu;Ar?KVH@EioVIo-n}dXM}=U4E+uN&}os=wKF9yO=@yTLsmw`H1)D5EI~!cwkK^{Fe28qpFW;dYhkMXcU<)Q5A~iH>77B2M2L&E9d zuH2`RyKfV$EBvAWb90M>N)^wYuI(x;Hp5JaG{%1>+-b=)pRg>k$prJehs5hpU%lhD z_iO!yyTk{d$ zzpdmS)F+oIH`J=;v=U9vdODefdghTR)%m@Updw_(pam9?D`Cj}ELQz|LX^te9?rHm zO^H570#gZgeNQ*SvDN8k2{%v5K4KXIDVGD6OZ=pzxei3jXudj}bak=bsZ&$rx6k)r z0twy2?SxA50Yzx1w^#sNcHeoEY&dKYIE7v-X>qy~*q%Gmyjb7_&}^3zy-;&42$$rF zD27$0%|f?RLI}yQinNEOqQX0UZe$hLwD z*lgcC|B3jy%3q-xQPyBchu2x-q!ee(AT(V@kqlS^6xLg?nSD95SRaww0p1mPQkoo5w)V z(a;2BRLcGn=NwPqepKA|bH&t@KiBNS9-L9IIN3FD^F%El;tH^)Sr&mZ|vQ%Xbmp9|p^W{J)=M8jpcdW^+0`nSn)VkFkMM&JZVh(HJN4u3z6INy73<FR?<8t^8{g=p!Zcf*xkZChps0e!FQTt#)c83Czb*$q67yDGG zR!mx4uo*|ya$ZpMErU1_wJPM{v*wd02}I0EyfQGno|(VOE(g z3%}S^DbP2K-2)ML@Y!m8ek6v$(W@jS;e}J)&~AXf`Jfkc9D_;-Gdqkqke;c?L9EUK z{i_dW>E8mtC6Xu$qQ7MjjR7*ltJKbD8$KlIJI4!N&u!%fHa!lDCfsk+u5nTJrMe7< z`^Z`fD=Dim4?n_XKxl$}1*A3s*5|1dl_SF8A<<@0UI-%|YMS->$+sY^ld0~UdY{%s zx$RcXHNZB>gDRtESJ@HvLXsPdSZ6}v{K+^hn2g?=(>;kq6S3~cox)iwOh#A6)-6{A zb+OBvDoWTb>D8()`!?BQd&lCH9DlA8 z7rRLw$QCGuE4kc^E~j0ob%g}V@68~W!u!~i9c%cJVi#nfw6NoyV`UQ^YK{L;c|qxe z7e_mC;1UTJ4uw4j9ucHZ&h@E+?YQJ%YNt`* zSgP2%wa?T6FCSEzE>S;;G(YgJV!8mw#MyUeQfci2+?uQdn3&y-ZZBczL*dEDxZFnw zkm51N0V1;L4&wX6#-rJIA^@grQ#XTSDSyIzb>o%M2f14>^4zO^{P;o+`<-KU8LLDQ z!+y=J58nGqW_vplc4DeuOn`QkD2Npm*R6&7>6%kcSKl0$*$=ERS2Q3?TB1n&P>^q#_)Ch zZt)_<^&AlB>;W2SU)k*dr+43d1;q@DY-y5`7gNd0DgjC-*f1(}~ z*umNZmXsSO6=_S68A#nDDVSHF874%6;wrhQJMhUvzQY`>BoL(PVrR{DsoK~N$)9}d zt|Vva7ZFjo7U-q1)+dZA6?lTHIxm8q&ar}ry!v%>ZhI?Jn;q2-iuc;fF!FK5Ol$C2 zMmedXOfI@SL$1oaZe19GMMhE^B(M5jQjfUZ6D3lXbm+oUBjTyUtq zloGiR^QPQbG&Y#ks-{J3I+*0!d_V zN=^HjuW1d0Q&L@vbIZudnbSXILGRAUdA?=eg&&x%X^_Prj|-~JV+<;6!ZW%}Z)Q42r8x8Z=}x6Cs6#0b*6+z^7x zvdv!|zM{U?=*&7Ut`^9gSYC?8FsnW;OLdR2$@jrVY`l6rpNK`a>biaMeZp;A!3vzz zsXR6EY2yjLQ|2Mm39PTA+R~vxLQfcTO~|K=Q6yK{;YsEPM?%tvTT}aTv$~m__Q1$} z8BOjCLa`n=Zc-gHdkhMFNW8221%0^HO)X~lYgW4R5O%9|bIJZ^Y41sX&YHVKqQcth z`JEoUYbCvSu?-Y`GMMH zhG%zQOW|srfIVrh3}N%0OK1z5e|vl*^q$>zhSzYfhD{Q>m66a-Pc2LB#X~RX{77qy zXTz%X29(^9+;Sp5o_u`a)&2C&=dgok#3On&43Q0m5NJ9osiypjYf};pdztzxv_^W&j(z}Ln zg`v>0GfAJQ?3l$(A{PRJB^!H)j=NxK7jskUoquHO?rU>T4;g%0+o1;vxNo7Ftzdqc zqSO0EN~I|^S>#sAxFBVB57jGu?1e{Y<%LJEU!xdR85oZ`Msv&Rw|fq379440#*VQ<+{H)%!3?CcHJD1K7^T9u{D+u2;MT*Y{l%5&L_z7$yU zrjfXf9f(*(n|Pt~q&d~=jh02n@P`tV=NZ_Nsq)<+X9WqLLLM z4AZMkih5|Wxg?nm@3cX>wmJe-z-bm4EGL9K8$K;WxDn{^cL6K5xbgJtbxkGQ7dnCG zG?1Bmi#~42eTzWUspC;wmZlu3zWPwol7>A>!jzG4KP5|R**I0SC`{MmX!*yb?;7BO zPd&#jFQUBbe!Eou@Lltvyt8y+o^!k>tkZ2G%4z>`UrD)N5HY4TsalecME0KpNoLhb0q#bV&bbW2b={-S z0WZfToVMT{>Dmli_x-Ph1l2(d zMUB8-%3>OtvPf;>17VgUpNfGyT zsLVxI#+v|$GtfJKmE-WJ58)7>i}qTic}v2bA+^xN4Rr@T%+6`}56{}-ZpN!qmMWg2 zPgWj(;Z|EcEgs2n$G$-n{d!^~iXMO&lrs6Q+}q@nNU|0RHf}rvw{X`9_h5gQC7H@} zs$?CM2}ia*=aR9YQ`N>rxm#r1I=V-nf}jbkUTp$dqb;Ua_a3o{RXx%6?paIzFheZ!2&ujn}#{AJ=JLRJCJ!g$hbf7yd+|X>R#_{hgev3p2gtMvC*aQ?O7n1Y7iFN*g#P-6L2D+miug5z7 zl#x%^!Bqs3L=582re-y#45Dxs*07p6<dJB=`pRI}=CJRC2)kGE3hXw#F-9)B8AV0OQHsDxWKMvL ztXoSQN18m%;IMeSsw6$>3d-Y!ZN?#kA@guQrz>RL6l@INC>LI$a^<<}ch_3d zFZNL0GS~zKwob09AC5#J_k)k1Lr?WAoZ0pI62){Y!*GKv(8D0jO(oLg>Qx2SN#Uv| zZ~S|l=*cMObzwj2L8VUQ)kLAG*oOY_|u{XU7-x zkLCjO)Pf7hu=-KZpKQN4^(f z6_Kd~=-;&ra~63YbOe9T!>M@DHHOKqh$0@`V~6?8ZtVLbrdglbgVP@tmB!*lSs(PP z(YNEto9=%5{pIP5(#onRH(gf?TI?zHC%&bRHz`!44Y7A9nc2+fIiwRM)*niG>%=BW zRj?qD(WGb1%KciV@r>True#>QM*IjyMvIM@(rn%)3OxhmN? zoulxhHSfP~rSeqwtQ3L0Wqy>2BWfF8-i6DNYebj9AQdg1`hNC`;81#2_FnDY`1Y9X z{P+~cMJZWs|xdE0<0!xWp(Ro*DHo>=;^fLnyw5J`MY|`3gL(E0Vs&Nxq*- zoGua@t4C?nEmWhc9NKzDfKP=0(#4Zh%cr+bM-h6n!ZT?kLKpPWbJ2_-wV0J>6FJmzCQauCsP~w&@FNSZF!C-J`ui(?gtBBj62_CJQ)b3M~ zwX9yj>=xTvk7!}Q(Ed6=AEp$Ru|vgxouGW-!;P}%R%4pX?@@|gxyo{DIL*CTU@cdi zLVbw4%oMt#nD-pJyK?9y!x_Y2Yr>rlo{OwPTs>0qaJ4(p0IqaX?=-l*Ymu4R>!&9N zxv;&u6dwN|6@Wc^Q!^Ceq9QHa(BakM?Je#Wg)`~SaNFs=D;YgqKHDgI4cl@xYd{PZ%1 z%;A_UL}*13sXZ5=Nl$+ksz=y$L)JKxz^y+LrIk=|hcaSS_<3!A+-Z6Dx#Ra@9kIO9 zz=t5@MR(aCf5yuw#C#nvhMCxkXthky{vNp*%c&7dXWt{dCRXdP!`6MDk!ORt!WpwU zn@jd$`#)sL4bCYjYN}Yuq0GeHSR1048C2~~@dDJD6PcJp3o`C>qDMH-7BC7b42|20 zjy$UA;B9(Wv={M`$*8Y+*PMbfanja&yOyco%GllaztM7La6>2)QUH%c-i8^iS(3)s zrK$;Br(|C8?FGoD<`e}<@`I_LHQyS&i#9X7ZvwhAj+1hScjE<_^al%mVpWU+PGoY2 z?h?Ib(-5oU9bxA+1|o2@j}B(>o|;Pv!Mz^Q$^vGUEfqWGfv;MY&N_?&jUkI%ceCIY z6xL5D&^n=gO@1_{aIW%~SIkf4mb4!_q;Y=kZG?{f?HQE?%~YN3=&g*wPT$6tH{yot z05~D2>^ByMZVW!gQ=1PR-LbZG(G z?%kfVg`7C-fDZRY!Mh%C9EMUZJJZR5?r2a2ke;-{3-vp7yeOG6xOqG7ZWz5Pq$03> zfIA7Ve@IACSd}KDf6Zwo#7~%O3{3}E9$wO<9lsk;q;K(f~l5q z6JL7#);?}ZMg^xK)90$9m7=a4>A=2r2C^r+#F!%nO(buVitYiSZosJdZc{sx-kF?6 zKWe}KQM-mQNBTI;?uI!s!yI7}%#O5f{2HF8S!uyv1~#1Cl4|H}8elmFZeawBUZ80k zbSneMY=m?_?I)n6V)I-i>Ak9x**=Lhij9V}kWFJl0vG)h^A8-^(PC>`{gkDg-b@r@ z%#3{!@%@pBp@uPJrZYH59&#^X21zrIgN+sGxTMaL zEc%GAo#E}CVy8V1nm9U(39JQc-ww<7G48H;uf|QlcL{6k)n=l%%IEV z>#J=M_VAZ(t*2&v^L@&o-UiV7e~o-t?3NAY>3DPvoKt1qpuRB#^vv=Z^R@y09ZD4=ok59q@_bW@h7g7fic&tpe2jl^zEeTGTi zTj^VHMmpR^$+>#V#Qgut7Kk!#ErUcd6_#h4Oj8twIXk@K;gDmPg!-!gO-PvX3w4!oXB2W)+=_` zy@PIy=}d!4(+Yp$+Y7aFVVYK#BU8p?55xOy&TvMLI$;6C= zog%1YM5F_!9MpTRYZRRF_u7NxvbCsvGebVLQE34j0U}{lCD|D zvDPqEed@64N8%^mHUZ@F4psmF4c&)$ye*F%v6TbZmIyDbLMF;$26CruuHyoZgc0tv zGJbN_G2OHg)VFWZ$2T?&^(Vo;sbGgwm_J3*u&T9(S|o$N9ZH~IYCtk&SrO|Z^1BKq+g9^02?Z3{#FDbr% zn%jqFF{pXk)8NGY67WPio0l{&G%@ zJF3YG`=sKk4m&oR@8Jz-?HiVJle0|p9g3LkgL1z`_m(5?+>YbBlXPth-5v7|Beg^~ z&WgBEM(N3%dWtHG+>vxG<3p-SINM!1_EEOA0wv&Vf+E&6#O~`jT0v?Hj3!@(f}X4P zJ8iB|H+vCDgiLcuCpX>Cr!3-0mPJbz+d$Mr+r4*Jp?&Lvb2|Bs=9p-_f^6rl zQ1#~KYg-2)Vt&{OHe>E)@d7bK+UjbZ=lWbjHF3AFWzWAAV{&(z$A-*9Up(B`{mbm$ zecmYNKZU;h7m1TEvax?FTL?Jf{3T_|9f`N# z`~PBO{s8d1IDZ+L{{w>m3y<>uIi1km=}*}Ge@`d;=ga0N#lLuz?rZ)JK=Cg`N*7>6 z@yDb9@AUUgiq9AN%UJ=|=6_!ykCI{$ynT1rE{GemXJbvy9E-2o%H<5bgBdD!c07A= z=4s)-htGX}qxk`H`4`339hkiQ$E)TGeFYbDuZ<`wxo^=l{aG z0di)44AHzP;omoJoae9fmt*Ao=V)4(;&u_Rj{nI-nnTbi{DU59ygCG+Lzy2F04o61 zho1)+eIE*8K98D`QTUlJ1^y#S1Hbz34~dNQ`3HdOS^zj>jDQt?u(IYfp8`3UI@$b{ z*nE9F;33XG)V{OOzpwc|f7H)a&KLhm_s@ou^FRFmFKXxf1?~K$;`=+p*8f)TIsYkO z+uz0g#VY;$%K1C}Qt!^2y#1$B@Jqe>C2svcqG7r_|0!nwMX~?+W&b@}IsfM&+xbg% z3$k;-!RW(L$FYBBo(Avz*URot@%k_FwDTX4`AZG=**@(*obX?&S72%O$F2Xpl9&Hm zvU2_(arveM`T>Lay#67kZc+cgFW5f+-TbXP|4Y=;{j-1Ee>fapO4={$|L#C4_rEOymp{e6zu3rpe%btO zepv*codN&DJO5IwuKBMN7Y|^n`}ZZ^&zJhkDB$v^_-9}EWpNJ=Rpl*|)Qp%}y zY7PaEwp5Ldrkf*bdGLEq!9%XXrbM{(=jDp|wx+`+!fZodfi>V)#X$_cShubU9vm5I zj**>2nxOO;ipFJ>8BWGAx!_%ewC`v6DA~aa9~}O$Y7*X-=7T{oTPA;-fNj(H(P?&u zyH~qsNf&nihN17hF#ApRn}i=1EJX}i0}F}absVe zH%VVuTRJk8KhLNVq$gP$4$5XLTjJ)GC&t&KLZ=@#Qc(|^%9)U2vY#V7)tZR>owlAj z(0*#N?v4r#!Z$|uYFh?@-Aw}G{iq$lGxIzK|6WN3F0~`M+f&wXj^G9AQ$|x{t!(9cV zn6i~w`sworJ;i})An9ho2krzpX(c#N>k4+d56`hPyV20@Chix~%iAvHW1l^qATt?e zu;5ALMU)nLB?{X>`$mrbMi`Q2sB{!|gWr2i_QN_Qz#2}8|O(_YZ;ybMO!E_(Tq#miu@E}6H_f2y- zhj{IB)QqfVU=F!<`h7eJVw3rMb1#N7N7T@eYu(@vC9gG23`Xr^t()B-(g2hV+M3Fk zr?vB^O7jw~1w$wu-VJPu?@3r4X)~eImuhWndALA6X|>V4&qTb@?DvN%`+V#A-5(65 zvOf%amO@kb%v-5EhDp^CL5FGX3lnsE6xCN2v;S+%ka-~^eul-p%a?P(2mgpVDL$88N_>U+`{KTSoZ ztPeb;x_e5kOs@bWMDd8~UV23(mxQSi-P*r~w*f=;vD52G)S=g(L@v{^#FP#>zbqRv zaVVM*hpOU!$G_4XkwYKTDe4bzdKehN_^KPys*kab$dkxN<6iwd3&bmk1oA(DC zxyWBzz_#5b<{~rFj7s&Uw?VITQl^E)vqY4FvJa!~R&x+bB;4jFTQne5rY)1FORF|& ztcB?=MG;s*eNA(V;)|3^m8x7zkwOs4{6?LTbPobI z4ci?y(s4p{;>Ou2=pD3GIJ8IFMcz=El%JVEX&Xy*=^Vp*Xb*5j2O8K%(~eAFBf2Om zh>h2Tc)>A|zdejKcRZ~_;ajgFiWLezhN>oRN!iEjK;0X;NBalQ9cdi1U1g)KIn`f~ zIppTA1rdAV)O@lEVl0leiaH_PGXSNQ|4N%tGD>NK1k|a{=IY;Ct`mC3YYJ>uG(e*a zTScSO<;UuYOsL^DiSN1~0xv3?4Op}~50)Jarm~uFFH!5_E#gr%KPJsb%6Hhm5+&t+ zBUIo1$K2=?)X_u0QwT+#;4RF|N-vCNA~x}R*)Y@c)wV_R1cU8Z!RJG^mSTI~&+AYCfOJ9^G>_{2jRr0nIvApVNewg<|n6n5fW&M&AMhEiQP zHELYe)CMN++wh4eLKD?$z}%p4MsF+M=c7L5Q9TqLxT0sI43iI% zJPVx)CTr&Cj4A{%r8bYr+fSg4v;oT*k|1vLxg?*5m7S)m63qi;JM_1+aqEyJjf;$! zcbo4DOVebhIC@Yo#q5=s1kDl#1vTj@Vp2Bgz-&`l_ivi$nAzC6(@1|)3JRB(Dcq*S z&dh{5Bfr`wcQvL<2;HCFUn;Cm(mj;`)d0v$*=*^j281E*F6ms-MWuPzqUT|RMiIL8 zfZB7&^%~y+FD=XBo1Cb8Bspu20UY(WlC&;7a>J=KlF0~AK2BMi#yHH5{pdw+DwB}8 z3IxaL1-o3K>wS>y3rZeF8(t(WjSP5smbPnl~r@EtU%6xy!Wa=~o zb-1Itk2GD?OylcQuQNFq3C3KMqwsU+~xugHPMY0mYn>{=!WWX2|Z)~3@_Auh6Pv3oFZ<>+*YROvI9%eKX)`_3J z*heD`54xjZ=+M3^Z3ZKo70Lk$#Bn?Qo~DZ#X}b>ue$$gxQnnzlJL`j<_E`E^Y<>Q# z9@Axn!ahNtH>9+Psj`L`Cud6yZ3l-?h&DlJqV~_5zAg}R1fyMe^GPI8{6WQ%vcn0m zZEqO`C7Blp>iVFI{;#FQ6bXIXPk526<*37gZM-l0y&c8A-qENq}Pa*?J5#B?({aYB1 zOo{MJHoj>?`YCVA^)9-z%|^rSy?9mXQK$tP^@l24W*ZvF2{0p&ri$EK+G80YIjm@FB2V(C5Q>Mwwu7z;d<9Q;OdMEY+>XU`qG*>}3W2d)MGBHs7EEK0uTa`bpi!~hV?rymb zUsKs==HVg za#kIUFUwMFCal@V@y<^&**12f%Zb!AD-@0r!@OrRg6Y9?I%!LV)4p;!_CY%YaJ2D7 zOz7h7zE*dC|{&w|(o#URP* zK-XlO)EClcycPRO2DyPkh$4s3G!m5gsB%q|;}&d;^6P(p9RGRWJiq;S@o@hyM?wFG zGr=!yoOPhB`p41OT5#m>_nirRzS3Wx3H<3~(7rGVT^G549Pd)>d>FeQr0`)O(itdY zueH9?eWcfqF|WtyQpaMmZHfm0Ascxfs6uK+?MVX$24CA*@l7-GXcK_zy&Y(k4R`?M ziPA~nDA0S|j7_kOJ)6ex1=>~@fpoNGKqOT&H{us`y4&p7ngN@28g&M7mOx{*~Ams+pLW6=-ejdv;v5r{L~%os&GF>=~)j#M(kpqf(r!LS+06OWlJ~m z$E7B@@?T8A-2=@?WXZ>fP^OkV(s@C`gdxz^WbdX#C*X>QM>^+kMxIY#J=>lwkSrx;e%8hSGZ?GoX zEn279a*)63(OPWEq7CW|{$Mj6l;#G2LVKe3AtRj$ECcK>KmsdIPbdXmS@yh<-*i?S3j6_@=NJPzGKt5$rS6^69AVV6}DJX2&EL7&O_y zbPVq6A0yhiM3_1P%v%nSwko^jzor$9n;*n+UkR=?fXGeX#{`hpo&Xn*pR|ng2HEeD zv3JMNAt)`wF^bhW))p@cd68%6W!@v8iO2Fd4XZUUQKn+~>l>T9C^rGhm=7%DLiuYV z2$c!?cBYBieb#*suc_M<)dZ%2!GYF|0oHJ!M`2vh%HRWPAmESMBqiX%;Phe&5o5MC2F}?RvEa-LR^=7Z0=s zM6c7<1ecW%YWT*Fv(trB7%)7Vb+6$XuE=PXV$2l~6Rd@XI=~DB4xB-ZYXJd?wL@ou zl01a6U>^f|T=Z93=1jh6qK<@6ZpE=^)3LN)d%Fr^gDM)w!sd2x{7e&^fKfyW#t>0y z<12YVf9wX@WwpjY2u}DZFTlD7=m`PIcGrbI#5FyBi~|kbClPc&Xa``+ptOx28LUb3 z?adoOm7W}EF!AJ~2DaR-`OOC6cD@~YS)%qa!dAYa#{hA4E8y%rR{Qn>oh2X^tHH$G z1BN5oJuY9RykPjcWwE4SbzgbrkvWnF%v7EujIJJ=AN zwJS;iixKyc)&R^sh~!HM_R%3{BtN;xa?hhgQf@~GcvoZ;4omH4+t|iIaX{ljlO2G~ z7_ejF3KLhd%Yj;(GeGUyS?gLn0^S52QFNE#@>)nZcup3`KUBxc#%vhs@yGVI1}p>0 zy_G;{o&b7%J(<}I!rfK$NI;=_uaBgR_p_$>A>Z6%SS7+6fauZkf&8_R!L~GmksbPj zbU1Vu0wkEr+Lh%VtkcG=z?sv1V>*ahiyG#YjctL1tPXHUao;e^5+lngiVIdXIY zP6%erxSjh#V$dlATsRO>dZd|R3G9h%Yqn(EH^Y|6*j3F&09FmMhn{S(-D^XGfU_09m|1FQhLtFG z0q207$=KcU0kn-4dz)5(wdsjb`ydGg7zi-2y}%O%1fzp{fc*0f++A;1-*R{Y?oKkh zij|8yy?r~TLf%MbvAye<=7v4AW|)3xBg1zO7#`bM?;z&eG}?n9bozBYEpuR|fCQ}8 zwS0H{Ksi1)#~<*=W^LIy8+=)(^+9yquI2z17>G4itVbFFnS`oVzdW=Db^8M!4;3DK zf?eH)`sFrj)0`I$_+D@z)iQv)#yu%;(J8^UgTGo^8`nd(9ous+!-nYKXdp)_*8@|j z7Y)up$96iop?vS`w*-+#Y9pFWOes*a}KW*~|hETx$#SrO0bZZ5` z^Or-5dJ^FgO`(*C0gy$bURKS+(Hp=Qyz~KHiULvuY@p9za8X>r$pWJgJY?p-#GsbV zzm`cj*h&YG<=$_B3hCVg>f3&4etvFjcTh1PTB0Q{n830H@v}VDPml0WRyfm@*4COv zbv0HnpK0y4x0*_6%ETBNn5I#GNlVmfk36UIOw%@#7nuG2yMYZIs9d%^b>r~xWyLIs zr&1)zl|X4&~^W0wcQT<2zJfNnHl4 zJODDlUNb~wMirG|w`dwCQUo1rFKhh$z!x)hKFrz~hWY_~&_RcaZp*<`D*A9`6MQ2= z^t`SIc;b6a0jVJ-Pc(IVw5gRP1BMW5Ff~BU_6i)8iTPP2bmYt`C^?g`!XhExjHSQ; z`PPy&TG#Tr^WjG;o&e`1T-C4f_LeIW0_&?fHxXQk3d;` z$T(k?UdDb5&1om&NQ%36lE+*#*R$ArE&Z}H-YqP4%ku&0q-)3oXn6uJ5q;6zRGaSyvU+ffnT9$! zTGWs!@A-^mZT{*sqRr5pep=UauO=@UxpBz3kO3mxV)rYN7CP8=519~}zn*!)-G&j6 zB4|Ghx%v-G#^$+(Sg z!^KFG1luf=B-UZpnyM8jtz#B^yHKG*NX)T-CRYxboWk}bB68!{ywjlIX`j>X$<}Ja zcFEpbO#9IZI>-6tOBt=%nyhp6XVTU}m>LskB#S~~@s5{v^1IiFVc;l&CtKJU^P zSAk_(0MI~e_qYbE1bgi4Z1Y!PSt;0vh$uq@q0lcN+3*;ck-IGus-G{?oCQyCiX8++ISuDyZ0l9ZLgvZX}j7*pAS5_e*?it zPvq%&J}1`Uq-^%^{iTR7AO*KvcS5e*?i)BDQJWogc4Tzg%S*R?ge~E9dTK@e^^kn~PC$5XxX%UE8;BS5{shYMz@m(aj8Zt<{2^JyQBanQq)UP~J5 z?7k)RmJ@OQCru0=;xtSQZv?wYuARSP8^QH2Iu_;8s~NS$_3%N#vm6UmE;hxui9bEA znp}^s>%F*Pu4`nXaHHo`cI~aJW66)SnO8}C=f(r3F6XayWmheIZA=JTb$0B*`O(ho zr*~hTEr4@v+=4}gFV4q@wG9k-{5Z6|?Ups5@CaYDo}jH@CR~*KKIv0DcXpP1D6#)q zZ%+z#??0Y~?S~?hzH1-6_JmOTQl+2qv~#{b-Pmdld?9-Lhz{m0=sfPB_vyN>?H(?X zmlJ|mjY5OWc~2cX&$EvsHQn0gt>VeL^A_!%EEPyh86aH&=O>&uWKinS7Zd9MvaGK)mYz~|9J3b~)IrgGiNKLAAB2Nw4)CBz;Q z_2OI|z`B6fFGN}N1L^dtnl<2d6EdAOA0Y#PGm#Al=ec;PPzM+y@(=p>P9>Tq7<}s4 zc{Blg-^cBdcICz%3&IZ1~*QX@T6>J+wId zI3(uB1%k5IZJj2sX_X`2BrTp>QL?y^_Wm*ZXFG$Jz;sQ|asvcP1qVFP zpc}WbW$&Y6Dhz=|6MJ_8zYdr$ld%(^n8T6>vX&ZnKA7BV% zj|VvoJBdp?25eS>?dHVvywMbB>Vj|Y`(0@-iMSR}7APq_3}2%lz%7MO%jiv)3S5y9z`w^Sy1v8|^I%P;cC>wrE_3F86>6aYx#Fn+ri z1C@n&S-E9y)eU{milm&)Kg{d@vLwM11}_t|`?^?_#U_JN7165p5Y#$F9Q*`}YX`#< zFAZC!B5UniNKZm#pwhORQnF8~K50lm3X z|1nF*IbKaHFWg;BeBb^YB)imx`FVd>Ox1bFIdB1vhtw3vLrvL`J0)rDdS1?q99$RJ zeF`~qRxbr8#w{3cBfAWPsZ{xgJ6)M4p*r_ofT+K{A2jkmuY(L9EC5|%$g$crv{BQ$ zL+VvG=ED#jAM@X5iDa)S0o#`Z7K@Xi1aK`7AVfRJlKpobv~D;KadPqm8_;v#S9RUvx|C6*B0KUGjPo#9 zyRJV5zc#?cF~~xmYRgrtsgVP0xOVv#f|ZtLwNFmZ8bP{;i%vkMl}87$MW9fa-hs=o z!VgklKrT#FfXcl--DF^Vr`kIAY0e2!TCp1y;1jZc3@QHce8K1DapWtpU_wZns-{Sl z%4tSB#ig3a6AD2ycpI(rb6&FWMV!{pckI;~ShpxOCZ9z>%)A431JD4a(RT&284qG{ zkwIlmangD8i|*%j5}H4emjbHv_7>NcQ$H3ovhZ2 zV>Bt0fLEbF20HziGt_4AKT^#eG=RPw2~U0+@#rEAQV#{&?q)A!1xYiqtTO7YlItLp ze!xr`HLF#xQ_RnN=>z3?eR9sg-J1j3$^hrrE(AYHTQE7bhcxl;nF4YSF~qLLlu<$C zb)DJxfZF1`ig5-LI?99P+`DRG(dQ?#!D&&D;J(xiRa}<;t0QtD;tS_JSh@vYY26O8 z9=~VhzgPE;EW};uk6D`hYE64a(~ES}iWab$Oh!v!CJ%xXA5`d;oIXDSrCc@gB0X^g z8POq6`)2+L71sY>RMTkLmqF2(~kTDgvhU* zM>_Uc+#Z=wgzUPUN0L8BGTL)9GBP^j(n}m_8^F`o%RYrMqSjg_JpfOv{Zl z?E45wYgx32=Q9iTqPFL!DS3wjHqTF56soeduL{QsAm7(o?oT#tk8-`DI$hU0Ya zk$TMNs~p6FBSN@7A}){TyYAJaLEXIxc7p^4%~h`T_wm5~qFPTGLbd!vUSze~B+e^t zU^MbxVao*bm!FE!`2%?%n2*sP&g42w$lsA_+g{4K11)Ei!iJV237n^nwBKG&5P847 zM38|ID_^~0S1nK^A51B;*eCU)FM86vu0*kSeq>ch*XTcfoBr*sBE;3$5 z`*ej&^`h|N=M&(QA-fD$9^;~RP2|t|JP?~kS|$|E_4-MPV7z#0L1zTa{&Vsh3l8rR zk;tE68Vm@GYgZC?Nm~tq{DVDcG;v5O7^g;n4m|^T6%kWbw|G217fzwS`sW^`KLt8l zs?gy_WndjdUiA4(foDfeaMP=ZzJ-T$!x3ETk%e2zaFeOV`@#^5J~?JHSn^zbKwr`4 z4DJpmMa@=Yo}_TAVUq0r6jE1PnMgi+2vxgwi9l;9gp1yIZ8I7Unk?PgxTHikKf`d} z68ZMMg502l0@QW{{1RZAzrdZ);x>ht@5F7^SX_f=rt&YOo8j-CtyPPtk^TXX&~!g* zWicnMllYAOMJn-`xg&$_ujb8-t=tmyH~3-1 zAge*n^=|E0dTp$`lMnp5Abw<(VK5N(g)K5Ymc19rG@bPQ+_P-@lHD25|Fu@ z_l4=my1B8^%*w|Bhu9H1L#1v>tgKhpJNCNZfV%%;gZcuVaXZGvaYMDOV+wNac%R2L zb3tobz@y6*Z_b?Cbt35&!9p$;Z@hWm>H*(E_&YeKK??IbIadRJ(f6@GO&P69eb*Y` zY>p0aT!c%DSiB~lG3Y&;==CfV-Ggy)OuKpFq)DydA*-RGHZSFwW0fMb2-r|YW4Zb&2)kbkhU=Ec1}9r^vO zBmsFf@&z_1g8qZa(_in5Uj4D8IpA6jAG_q_#sy=0wlCvzVL!$aLE%T;d$A*N*+to) zPT8S+?b}h;zM2xZI@Id@dt`B<$GJ!Gk6M#KTISZSm`B}j3R!;PxZ1ifw7{5ukECLM zg&LxTa5!>!lp$RUNy>L7Alle~{_%im>()%Qe}8|Si%h>& z#&#S?>5$9rT~zn|w4NA7=xNVv-<5=Q%8WOmj3GMi@$?I`L~^kvuq5u1&%d=@3-bS0 zyPa=vu>XIxBnJHF4R`+kt>Ny!R{FoP-2s04PgnVXKeT%MNxQ>`kNwYfhk*aQE7|{J zlUs1$M@J9;zqIS;!Qf-|zL&Nx7Nh*vSNa7HjK1nJ7qo)&K zAC00<+5l>wJY=3iWY3Z~)Ii18T`U5BdSe)$4YkuBB;gUo)@_sur!t+?ix{IK9MV7z z2)XjCvPp?Mx+%X>nhLehWQAZGF3j(6 z3}VEeW1t`n8%W|3z|9>DG8OFELa=ouyCiUDaG)%IJRce;tx}OPNZ=Xo6}+xXTDwjj z_C5GOVr;t{ItIfv^+60*6CT-WAEP4*SqOl3CQ8cbF>suxkrBNX!%P85C$b}Kt$~umeUc&u56k@Vt<8GagL|X|cB;9EyvcoX13)#0xkdy_ z!uWQ4kl+*xxml{P9bs9|m8xvC(?p8g$qsPR#s~n{V{@K@So{^t5~FN)%b?16O4BH2 zGx-$!W-^?)LlV7)4ub+*3}<2`Sgf1BWdKYzB_6411|Ts4>;q?z;6$I)Tm={e_PHI- z+B$IVGdjq(bV7#w7lH(z|FF zoEW#kVeo3b63bvpw{j1fQ4vsOlq1*S;y4Hg@|X)04=^@_ zi=}iFS=l_SE*8g*T`vY_BPZIfne|>Ww(()?$e-YfZW*7e-0TpT zd%Kzp5fF_#{Mpd|S6t=y5kd+4e+a8ScF_8QMg6}9-`7Z?{L92YK5Xev2S4x+!Ih8G zCznoejYIRt2do|7pbkg_7L%<+9{+pYpbtOyAqe|;l|t|If7)&D|L@vu0dxB62I>Ep zxB~wWfBnft^5J9uGyV+xf0(sDw%Zy*&VNo#F#Z2^$8OxtpI;w;n6*7l+?~?J>*96K zv$$AhnmMKsq{}A19oo9DrOevFI%>k_N^KH&^cc|I?Zd+hcz=X#bke zgM9ugUxBduKfYc*@{xT5U?%(Lu+fA6%5nR!r9U6IKg{YN!ev`!eQq>o!SL}%cjgQ^ z*;M60i1}0Mv8ZuFhCaOg^?(uaYswLHJq@ug`UZ=R_|-aGpwBSq8sz5J^Sr{Hp=$DA z-UyTQX z^G&?Y{IhTjr$k4Q{v=g7_*n?(J;x`GygSB-uu|Ur?bh)5EgUPbfOgNrAK!y*&d8(A z)^lYUqP~a?GE9ch-tlwmuhb&O>yaNDS~KJ$`3*0!xVQBQSx#oBgpo`L#@>a6MF6S9 zvgaQ6*e0{bIWJ!_$!7#m4=~NXg}qncI{7;>ST~YgH=bVCpCP>++@FiZ2@FZ{fk|_ue|$jR?3|F> z1?^S5uKM4IIn7Qku%F}dp%KFD?m-Cnb%klAC1uT{SM0mTT>&8NLMYNi0Yr`%H9Dg2 z;l0ypQHHE%WO&|iL(BI?VD9U5I;}@HS|4AAT^~!r3JlwtNy0E_^AYM^~LwAF$PIp-ZUbNQj3-kec znF_BsnlW;#V4cidHZn}YOqNZyXUp5UO(ansD^LEu}+kZV!Pa zR2nvsn#O+_&u_{r=;+Jg%Hxt#u_n2$;cO?4&m*Yk{Vk*6Ui0y`W`IaxFuM0n2pQQ{ zBqO@E#4Ube@BqP=D^=}OLq#IlIwIw+skaB|N6qjtmtRTp^`wmc0eJ>M&VqO&a*Q=C z@iw^mpEWQkkMe|(F-oYfjSPL^Jsp5F7&eh=TT2`Im4$$DG-**|qYTR(yU>EDlaKBS zD{$%x3!Kukhf*kiwrj*f;cPtYHo3QcTn=nB5>^^!e^npYE>op$p1=?68OVRtlONnz zFc~ziAAjauAJSNk2Kxw;k=!@{`35}mBZ6%u$947*s??A!pq;fGy57*5eSh{_VuTT` z&F$ZwiZ$KmhOIz1E(-m1Fn3Am@7Tt4`S7GZ39gy~Ci#bCBU(7Hxzs{ReHd8~%@sV$ z!X8-SSO8`S@9qFk;C!d&^SfC2&b-jUqMX{AAu}cE%kNC(X`FH3z9EPbDro05;C8U? z`S|lCLWjL=MfXX^)eU8cG4Kd;s#)?wgnCYp;d#FSkVkIwt(F0}g?}t48ktaclIpq* zdri9sMoYxuz~KvXLKv?iez`Ld+&zxy+6!8W+DBT7YU?d{3T(kP+T`MJU+nO@LE{{7 zId$2jg<4)>^@%ix2YM0UENwVrpzFAa~8EPxil`U1!$?N+km;g zY_1Vr=ZgqoeR;g@^DmDrMc$EqJoYMhJReBDa|%ul3dTpT=aKbNQH${cR@jm*=~vKi z9w@mz`laGPGPu1y#*U05*c+z0&5SJLtphJTl2{I;s%6$p@FzS9r(lcnhB>={pUbf5 z&KaH8pNYs6Uu+<) z@F|$AZN6lfENf+ebJ321Ljw47{d#c#*!qX1oi$KYE;@86XZxa%^JSL=MNe`L^z ztwZ+xKzo?iechJt)G2%Ubgi>LaxkCDr2{jE* z0sPCxBMYN+2Qc80dXaF2^etn-o2QkFIfRr^b=@GccC5p{ksNIU?u(JYhE(v+i-F5v z-_oNaKL#|5DQ)csB4{0@-m(Q_69H3=Xvk{kZhBhr7BrQfj@M||?q4I!o+szKC$fpc z6lrpwQ0eJdqAxZ-&d3nn>~0(^Ltqw4h8BL zcOy!khIkU31U+RyZV)%wt2%?IGpouF16aCq0c3j%O-(a+O)#iI5lbiy3I}eDYPL}1 zm4)s>v$uu=gLyHk>#$`Hu*3YNWox95Im;A63j`sN*WDjbZM|xWEq$`8!2R|$Ihu-@ zBW~0mQAsIXXaXHLXtZaC$~NCD*0ZR{KY6|wT?=asv7T*V1R9xE?1QZzBxuJxB|n&lK? z!Xm9BKbSV^B7M#e_B5$}MohJr=T2~Mb88%WJEN&+t?Q3p&n{0IWX|V%g;U*6A2s&M z#k)vz$S+>3{p_fCljJ=j?cfyp6tV=_%Sg}r)<5R)?vZ-OC_UfGj8_QF3mS+h-_XmG zBoGNO0HPOt z?9FZ!DxWZlCH5ty3hyzK-qxUDk!bTQ&6g>Gyz=&--QDo3wl=+RVO_|gS(x+RbH1-UHizP>!lPm+K8K_a&18yPSJsy#S@RAfZxA{$I$7*IJl>ty zfZH@>TX1WbFmlGeSpA!R++DNE=W8W2Oecqu5F3$kdGbsHOaufc@@0(da$^dD?HEY^ zXTolro!>)sZ@)|pUg|k;xBugzgCdIo%6>NwBkQ3oy8}88ZyI;pj8)b`R3E*Z48`e)Tb9l~4x%`S6(FA}!PY`Fs#TtpG22>&skY z-5&XGdjwl4Z~zbXFD7vw=CCWq$B1#$ZODk>+C!7LX{0=!PcYZ`dNXX%2n@iZ*T@5~ zusQ+d&ZM76G6cCbgKN+u{7+$r{`LN}3kTA;KeQ2MA(Jp2*%?2gAOG;KK_(kW;CWg8 zgh;3JpLF*kO?F^&v8{xLWot^+vT#@)z(p@B1H+`T2phbJ%&s1-FIc61*QEMU7I-pYgb zkwKW)Lm&zMrcug^9`aiTwa(2t zK7CK$a#RfZ@!D>QXU+~r=PpZ`#0Fd!B=sg!E$pec zU%!xjZu0h<#YK=|#ZV(JPzfm3TaVKB4BY)Mj75Ylq3QtAW1z<+##J1pGO}H~(KJgJ zBdsx8ApmU;VCKz9k;a>ldR6MjrCo>LGOCASwZNhYvjSqaGZEUN7R#|TO>~yh8zp|X zS+VWV{oC$B+Tkpv>${gDF00~6Z|i+u_*o@a52|W=#N@(#xvEZagw~}oLC_dhQP-KV#GZe41)vF&W*xoYchhezjDz7C%QjO*X;Btf&{(c6l*K7~=@$igLGe3H~o zV+nIeL%7U*#3r(-{03@jz1=NDbI=4DHwGU3aA>qq>XtcPHbCZ2J%s;{2qE zFkY7qf(%tKx5g^|AvCqLYmcC;8@C@eaTZIVy)%thNG0=an;A7;;V!SkglPjiddoHd zv-5?{hVeH=UQwPiUbX!Ol%%Y&u?(L4Joe4xeB1Nq#AnzMDmO>oOjT$lABM%NJ78)Q zi@$^EXQS<7Ux~U|j90YMushP&>{R*$N}|2k4IR?y{ODUsB6Q5z9kZDg|9$S-OW$n$S>M`z z%~6Y;mZju05e1Q5ms`;Kv&AApwNRJtPEuMVm&f!6ee?3cYv-0K2l0#3eJ-tyvwGy$ z*V@u6c0JJgw-kiqW^4$E-l<|c6Rg^cC^;gYf?1dI8WFeBYed{v@wZRhWpr(=N_F6q zwKUbq{UO1q#Mo>?XQw8c5ZekJ8jgJH5R(=)exP+^mrRVT|Fog+2Gj?aE%LRAE^zcY zye;`C+Gm{YHm$JG_6^OLC^pZt&Eq&wzR_{5Xro7}cMKG=KbXFZ8?7bTZ#Eis5z4#Jy7<{cl$EN(Cd`6Rg4#e5m%A&ZX`wvopeuEZ@6V3?+8cvKm7+rITm+ zUb-^30H4tr{K!3*yzXx3tFQ$(Ib;a|Poioa#pM_&T_BVgBlzmJAfykI_PEy`MA#&Y1`9q^o0 zTx;9O{2ZxwzmwWv?2iaTKeH`lu}Vzh#YH2NSr)C#PgR?{^ysPOv~az=ml{a8*ttxp`+po)$>& zNb$+PkSz7quf{FMxJ%iTbMLIfVjAuoSO>Lfww9QEx!MjG%P2-qR_DNY?+$HfPX$+z zm!WcVX>mC#K6rmPDynopl#f4EumhS3z~9>7nlAO3obZWFLphq=6J~Ywtw6NxvSl5* z5GUQ56d!JTq=!<#%)ok2gm9LdV17NEbIBz=UK|ErBTr#)&oPi z;_u8wv)}D|y`k!blcIr`^7xQ6xu2zb=|bM5>HKmBsH=p{K}J>=+dS-1;l|w*d+^)h zaXk_$ZYLuf=7%Xd2Sv<)K8hit-pO9kZR*iqX7yCqQ(8frAh;-wp-N$XGK)5~vuC%WS(mTs%3$6B*z z3)~wdpS}v+sCas==BkPA@?+%?W+}88t;9rQRPjZ;+{Y@ZK0&J_KnlL;lfi1o z-0h-QY4dz{U2D@3S7Z-a&s%st)qz(Z8`TJI-{(%uY%zHykh4VKDrytg@B6Y!JTBMa z@sDF%#$9)bYg=cjGp~r(^s%L!yPH$}iN0~)d4@{UIDFi&Yim@ttYlf1v&B7bVZ(;m z;){)!r~((PEOpJ8|08XuI0W7Os%mlrWf&%+pF<8mpjq3{k0^Hbu{Yj43)RSy!Tue6 zE9^!1Ay+Ja^mxO)Eb}}I4-GRQ%Xi4xNPRz}zg#vsd9JjjJn^S#ho+tzJF#VC^XFP? z`ZIJ0e#kK^)+zHDo-oHAAd2G7SeTb%efK2SE%S8F)J;8B1eC!aRzGFs6<+`8BIA`# z8so*as>-r4P^;~xJCe1_x(eBG9zD;z@I?zQv>58}SBV%`Ul%)kEl4={OB1sB=~E-` z+xYy%mu_>jWw|uBoxY@rNI?r$oYq`?$z;A4OIH=>*N1ZZ@d<2)EKH{;?~JOm^1ZZG z$8xsth{(|Rs2sS{9ecFLc~xPyMAm}&(L~mRb4-@Cb565+i5Mx>1SzGpj2A=pD8bEQ z>#rUO?})<+o;C{C`?4MLzy$k~bwiHz*ay*k*E`+fZ4t#*2a44sa#G&jQ5T(dhJlf@ zNEkV7y_WpuX?7i1epS78@wdt7QM-BE9cs85UiB zv)HV2E%~iC^Z;BH(fR`~Z^$Lpqv+n?3{6-%^V8B&v3TzWO8u;kl0k zU|m^kza5_>FfGsIb!DJB#lIGa{Q$DRSDW@wxR+xuYjb;BQ~QP)kgHUAzoq9DWoI!D zXI3-IwwIlvva_aTM(!`BFQh14RZ8Vr&Cdx%*E;#w4i_V1s*63B^`iea8>e*^DJe1d z1qaMk&F;HBtv1@ki&y#dniX0OzBe|dN0V|D!jt{$XVr)QZC?MFj11nT&HJ9K;sVT* z0vrgign9y6P-1ddzR`=7z^0+a-=J6vi$L6H8|Cq*n!p?C(_Duw-+zB`JdfU9^SoWs zQfehA+5Z0hxQ#BmK@r5q+sqH$CZmRkIJd7Lw+8QPA^YSdV;-0PPV$SjFMRBzVgBP#|_^X{`v*>ig4!Scmzs%I6da8Ry*IB zf%Rf&m1b;=Yz(FPOvD^oceZfeLnDJNwqj8*L;{p6B-K|c@(t*q7I>s}`gBqZ_|h0~H!&AH2sOt_0aCvP)5p!emoAj{#snFY1YlnD5(N0znkkK}Tv zic?Lu8u3Y|$Tt()8uaNuW>qnN#&f?H3dY|V24dF3Wl4NJd7DokW2W?u{s3Jj&}Q?g z)$uoBLiVDWuZzyx`rO4>Auh-xS-~{Y#cq?Q3|_VLuA6(T%jC1m&zGeI*OlGS`o$x5 zz!P+ci{R7sK}2rr%-+}B{sZbVkbIaoZw43p{QZFV^+3-6vi9kL%iK54y}jz^X77P! z_FAqlNPMQevt_)u?B~?7J2nv`c}Ji=G<)UCoZ&a)x$EA{qEtTN4rSgoJNBbP-kx{A zCnC!0vw!_+lNqTSj1HtLGH+0z3o*-z^w?uOlQ$f(5ILaU7lBMT6v_tV1>A-5Lkk zt5T&u zWx(?E-U1OYzS!E>2%HddHU5I%6u_(Q&*}P&JKCi(i48t^elT)x1mBy7Q(>}c-7^?D zkC@o5_6@BWSF&FWueWcXa9U+3YW&@59D>|PhcAcc;g9XiK%dZDPuiRP3teA2J5b5Z zRQ@elifhu5{=TbrF#gD733LaZ(r&|=@cp_}6F@ZUDz_W#AqLHl7>nEPKQLYB>;xP; zT-=7Q>u#JuS2dx1zWG%jf4dsfC5S#S#nvQu{m^gFXQ}b~9+fR|z#r^QUEF*0LBa-G zNw;AVMLF+{eqmv?rGII_i46)?+|cNyx@fxt9K(e zX`O13$rq>V&dB-h4{Kbu_r9>kyLuJqGe!D;FQNV+qyNBc@bUfV{u1<`(>ek^l50P* zU*OqaM(g;$XjeghpmqESt@y*o{wJ*i>}vjFoaQ4Lj~?<7rwI&(vd>?3^dGkL=QzzD znH|T|oUMeBE+Dv)MGrWzQBHG%8d(-Z>`upk^_cR^#A4NTV1a7aOoykGOA*o^bC=r; zGXr*4IdI2!HJ`FxmviRw=U~&0^|sp&Vw)%-lW(VBZ`(VSA|#ia^|1=G+$_U25$bmX zLWGe+unEDdBn}U>Wc_ld*r3SYCEuMmYX1GT^!Fue-k}>y>Mro_kIz=s zK#SlSf*UNEJUjtJt%IO0Fu#a3U+iAqK8f0-9x1yx>pr(cjF$lwO zTpBj2xAq%qMt1e9Hb!c#ev21}8`G5%6A%8ZZ!ABzbSy!$d{mvR2MM1q)rJb98}|j1 zWNO1C0#J1i-_0BnHn!W;ItV4n+r*IK9hg@luE=`9uBApXuv1QubEZ!M-10YMNIgVG z3_b&qf?{9Qe#W;dz0d-ntsUAp!j1(ULl#1%{S!ZX)MA13?`~qjUh5U?KBv!!DVtN){l}3D^Rn}VwQ*HSc{4l?a+#{;&FCxotSX8_3k4SZ|$Q=yrZOf^7 z<1$!tu`_yweRHyG*)lx74zy-Dc#QbSZ3s2~CYHv~dd6*Yk!wBc-M5GFu3Or?WqPC8 z9vV-+>kFz`x1ZAvhAY*PZ=-cp#9st+VuU-9Cp=$FC!|RK4(hFCz+qQqQ}%}t&`BKf zFb!+5C$JpESJI^!sL`<iL#$EiPYc*m@}HXDRIpZseH>k)fS-#P`INP0my7%v#(7IhBjb;uM^5ojb;>^KgXkfh^)M%I6K{j zirts^`O>ihdb*NN-tm_I=G(1)Wun&CZy_M#bMlf)XI`DkWegd!ANP=bhhB?EJCMNdT3{obzF)&nU2b!Rs! z{MC~^S}cl7z?8jgd}cy&7*PeZpg1qigOD3jtS=|?c|!!WV-}q-b;sgooUHDz6F$wk zn&pO;bcx5Ro^x}GJ%yh>36NdxDfQIow{3s^Vqh(W2>OyYZ%dbFxkCGQvCXg9&UNI~ zda*t=GyUKY=0(XMF8a1Nu5ru!310V+iH2LL=v+lSw3~n5a-y#8M*hmLqm+u3X)~H> zSo10cORz$m^1f4m-9K)KVtyy00L=>r+?S_ZB?*hWjWQJv3AwF{mX3bG&UShxk;G zn@Fk1B5Qll5(_~R#nZn1JICLM!mr(k;vS*Hcz&6BN87?ZsaSJSZ$p%78*v|($hfHU z%6`oM!2pWa1nZE)tTVVn#g3z(5jpHle*@j(68HYsO~?)I@o!ev$g+i}{7j=O@&`ft z;u5r>+jNP$eiH=Tw5`IJx1>>^yg~@JZNH?|3vjp_s?AX7kxH1$5JZO`za5<{U6vfi zYNp;is){i-vOI(C@z^fD^K>`nf+0-jUIQb$;la56J2RUF2GM2*rQ)!Kx5*Wn$SX&| z-b}<3v6y?mnr>?hI&A&b(k6VGPz22x8$LarmsZd_ITV4 zvOqF(zQ$dCfnRw}{Qch3ryMx56=VggQZ$XUD;hNXMoqD5ZKxr759J(Ek)=jN($+WU za_amq(S-{3%(x;vnYk~}6Xk2d^y1vYsenF^{C<4TOAvZKLw-CCaGd9Ei>z6b?k()D z90%LK0%FoULd$VE)Kdx=y`bb+T5q#y#t$ z8;eVT-Vi|)4=2C;az^-LA&KC8vEfnxE$Fx)XIiH&8xRPBbzQMJdFFo2XQ-mAuANTD zke9GHK8B*3H0*nB{6wyT#op3bV$I@p|LqoY-R=6>a66V0SpueBe86-&J}ga?o6%ty znp$=deN86wZBhlcm?}tDw0s?)|I&4ewPnhsCwG!d`22T#orH1X@%-)zkcz6@ zo2qorI^suA;Y~<@b&zjd1<4JknqC8gIf}7apsJGt(=72F%=@=lOSc21#Pt>XA~l;3 zT$dGle!B%U_RzvSqo}QmR{F?x7R!*t^*_r7gd55&)Ra?N(3$OGEnoDtC3;@Th6Spe z*+6FT0LF?WUMw!QzuUN1Jb4b-O!xBykt5}0$aw%zo)#dk{Bh^wZ! zD>SEs${OjtJb|f(b~s9&8GXz9!UFoKUgrFK6H3C~ekq;XanW!uFSuPA=ExHWPgyn3 z*USuJbVY5vCI=h#6D-zeoB(urBeedQLJ1YBrGp6Eev7nLH^m1PuuygG4VA_K!eTF8 zL+D~TW$s9@Gxf?M!c4o(p@ntWmYDpeZSQINFIO!%OB!+)pZ|=Xx`xb@4r;B)!j>T$ z`grXIW)f2Fp2v1{MQpyMck#H~%bT;DfK})u36%6)zSR7>f7XJpL+o9FHK=>zD;=1| z@t~*0P+I`ZFB6xcyji9T(4}CF4c|wnq?)c;6m;$iPy$A=2Y-30zO64 z_lMZs*LZesHVv9CA@k7M7!rOt!CD6jw);mU%*d|VRK`R>_v>5NRz2dl8AdMb9e2Or z`tGg$0IY@O6Q!!M4I`yXZ)j7_?h89qc%(!zzs~M;gzE|X`|CUJth>(ZJ~+(~Ax+Q1 zzhbYUUNuvb@)d;efhIb?PB;P2VU1rwjA8{UfzZMDL42g;9;d~GLdmt;61^Wu-Ho z7=q}U=-kyu=+_r%X|o=hwv?+j*-URC+GaUrfKuqwm)ex(Q+}U_6tQ#be;HCM7DN;r zow-=CR#CWT8ICs%oRPBJPgj$OF?dgt6_+dW_`^Q8A4mIPmZYj(aJMKGD~7DD+5sY9 z7`#2&rT32$pMLTaO5_pZX)9s8P|dNX*np%4+v9abox1>;kxltlfyJEpK8&q|1}^@oO++3? zNn~;A7RM|b#0mU|k-Y`)5F{ThzG`a2T%cfg#o$p^1XP&fxgs}l{0i_SPnKV;l@}8& ztxpWA9699Hp5%ssd(8*UMNNE4or>N+YWBmcu=$Q!=a|9kJ<@g!uUrN zyNqDdbBV0#KIqYp3$wovSZsPzgIc*Svt4{z!(`!>S&Hks&w~c$O=;NFK%+GBGF|Vg zKH*6NvpJkI_qDVrg2)2xyDfI&S-r(E-OekMnyTlIx`v~msWI^IeDTJ} zJ=Df`oS|8KRwrM4pjF&9H@HW9X76N9+5HU@m51r`K+Z|0 zYvQG?8Yc7J*|uV9J#8wftc@`HETe*NX-@%h;(&S5FuUWs1)~||>+#I-tJb=Mox(~) z0L(%MXpkH5x$U=uswHy##S?zERjK~hDzY2}^t~*s`9nqFu7iIkFrL^kN}@7Np8CeLEj5 zjv&V5Z-Fvn2>vt~F^eynNOVsXXDpe6qd zR86dgw#J#bUTL??=?VY@TXSh6dvZ08)|Dslx~sr(Xp88yOFg>(3ayh|$PUg5GjbU4 zQ1`e2X!~~INp$5tU%`+&l6tIHJ@it%?DsNRcN;lphHwy{>q@oJD!olmRC0BU$yA!C zzH?<1%16PD0nGbyPGiHW^HTXUO54ibFF{q9u3w^fz%+&HN(84tUqL#hPR20?ZwFtV z#`gSn%b6#-jAy~;PN~UEKJ=N&25L?ayrAQ_x=;An#yx8GCLTU5nvMS=DQ6?w`xl7^ zv99%VG^7iIVZcSk@LUqj9VJR+DvPVi9(w*^5+1o}!9P)CGCW;SN|`@vjQ zdgBF{w)ABxujU*@PpyiEzX@!EguEi2yNIjE z&1#Bv8m`Q0FZOhCS*F_dCBupphAt-AW)UoJNe7~NFgNKAWE&~f;2tD9zYYy$jAAPj z>OnfesB6AmSUBJw^$;vYcB?6e^LQLp4APzRBGoAg)`LYtCQ&XWbCysN3IX_GmJ?6h zy|uU)6AZiYt+-;BLb$O<6XFOHkbgqu#qXEDIG%iMa@*#cS2KS_jaOuO&D*bu*O!3I zpLo4n56l1RG$65eC1gId(Lw9QNmuqZ&JEu?ug@fj$Zs3P+hfv%UJJ9oz4Lt?G@}2V zM{q9=!@Kiv)gHi!H9t>mr0R>Wxw)4of*QWRn|RHTdoy!8kal#^b&uz$zZ8lPJAyMY zs?=WVOjSW0-P3dz#hg*CiYcTWUi?YBP{G4d8Q0Cb-ya#DXh3QTm+IPJ8Rj9iAX8gn zTFDE;t#=_n4{|WaaV|*FG8EBdKEX!o4#Q=JqaB$`5&LV`kdvVD&)2y%BBhNZiEqNI zI*OIR8*PpT@3jvB4J$YuNyZ!hTyyqqp2UEPODy@6Ssk5+Q z9X0sf1Z&+1(uFmb3A4lrc}r(|71mod?(=|o=I6Hy6kp@6P&Xf%GdgwDlhzHPRh#8W=^4N1RhdK<_PFqy?sw^Qa64*Db22#{emrdTFty6pAB=-VieZo z?K59RC5)J_f}I_Pmd@E2Cz&Sgso(=rrdjYisO}PBnqSFWHQ9s=o+s7ane+u#X%Quf zA9-LZG>MBcL}^KkKYfN9unt}1WCg7!E~q_~Z6Z1#{7aK-!b66zEy zaTML4ir&LQ^HU_`a=Y7D!mM+tU0E0jZP^zqX3}WcwW73k&x^6MF`E zgPpJ4GRQtN6L2$%Ko*x8&3C*EI`vVJateh)tkMMFHjxn3#;X?^sA@zpCs|)3R}zBp zhjYgTD7*A3N>W@W;8!G0eTCXXI}B*%k|>_wvV88=FT> zT*E-=-<*`!0MwTd&kVAzt?-84Q~tAf@AC!ywpq?gsiz#jTxG+&akkjH9UmzMLHpvV zzY1>F-l(?gpopk9xHX^K=$--}eHDT&?iBKVGA-MhUYj%T^@=$kA5I;+7VaC>3!9`Dj~-dCb%GpBtxC$mrrNn4%4c7U3ZW?Wahy;1 zYl7x=NM@BMtc;mT3!7Szd5S$-m6os6U2I~7 zoJ;PRoD~1cp(L>uW@_WeIgY$KN0>UknM9A;Ti~ZVmQR+7f8d8sBehK$oXXlYLG+&U zYcv!E-)3=V=V1P>^4`VDmX?INlKNP{utTn-*8 zmv`PF=b@sA-;FsVWVT>7Q;0&cytJ5EY^ulO3q7+s1q+KY3umk9fEL;QmO7KQkD7U7 z2s3BR>Fn)u$&Y)sNOdpAqiD`2mw~U+G|dt}jM_0n-dS*6kT&d5hp#)8k#pz!8I15p zJ@M;ipJtNXL7jP*IKJNMhN&S?bo)m6GvYMXK92uBza?Xmkx2P3EhRmg@AjsbumD3> z*Qjw?G>?E+(J)8RZWg#S2PmK$fp+o&o2aW@hr|a}ad<*3TH<8VfbSXbQctW)p zVx=8#pP2%~cj!8G3_{2&hRw3Us+7F>gi5|O2njB?j@+Ay zHS^roN(;j!D;BgU^Ppa0;wBqXBTpgK&X9)D^{mV=XX`0lFK`Ptbl^(Pr>gC7?YRMX zi?Rj!e+3*q6E7NdOQ@&-aVWBWDg0GwN26&)YkHH<$b6 zuE?gE&#k{o`;zv)=^)>l;3d=uk9oloeb0`DevgRM zHA9#sQQom$;Ojt1;^9F0)N>zO?LSf?%}Ezw38XsL7JOeApI)4?SK=L*f)txrI4oME z^>5r3{DH39Or1W6%_%cCYzFF!Zqhcdq4!o|u*{CqxdZApAfEEvWXb=>-kZl$xpsfR zGS6(8ZESPOwC63tMxl_QL7C?<^HfBJOck3(Q5i}?p)!{tLZu=yrA)gt5|P<{uiJdi zbIy66=lA)X_pjF)1iY?KCYsvhrmY$XO)XHiVq1hUfNiOu&s<1KA4|5fCZlAU z5n!cQrk`^6fQ}Fk+=uXuwcJ=0R>waiDTk2+*(``eT3v@NXC+QH^9MNuQ2Hwu;+^0z znxICI?Z6n=%ZOBF;g3sgE=T76YIt3^X~6723C@sDnflg+tXpT$Ab^(p2wZ zADyLn>PyG&A|@I-s(rHQL|?Q>EYmkqt9qO|DQL0R{m}`|)_kp{dt&1zeKA4cgrlgK zHH@oIOFKA>g$x$=;jcuO9)}(#n)L>|BaRc|)Av&o`*aj|4ElE=nMZGh_E(;uAYj*h65oI>m z+77!>AplR=^0oVq*Z2N*OKkpZ&le>pPbm0%m9y=SyBT|(nTvye6ZI}J_8(X zpnPbfFPmFjtbaQsQ8dn#kyc)>%4y*vajM5i5<%|MPGn5vR1I5F88&w-1kSaVJXDBC zTBw;|Hk80EvLq_w;R#>Z?1)vDmN?Gb+N;sR7;scz09h<1;RWGsGYjh})4kVeHg2Ez zU~LT+zk=RTYGC?lLpbr>5nMtH(sM#{KVbH^3&pIbV~2vovXi)_BO2oYq|E`)pReQD zKPHMxUgsEF(6I;!p8ve>914$c5-^hNd!1~Z2_!t{DUn1HqX@w`;#zZaFLMJ#G;j({ zHDd7LRHq7vhgVDlTZ6&wm=>pakGIx#MnzhcWKq#(yf8(Y;@%Bb7Ty#~ru1F=V?prR-Vk&1pji^|9!Jki7-}IWgpAbMEA4}O z6ZZ^h5^0n?hln|}=3IU89Ap431u*ySs|;mnIcUMS=pAX(brUUMHUJdaJ}wHBwaoxt z;UHYsPDtrzoE@XPdWz3&mq;SWx7s2FR?k`jZWm`UGmJNA$Bid->+jx;!guKIAgVCK zqm%OT-v6!%g>Y+iRYe$`BRDmp^(yF!B;n;C+fG#&3?DMIV|*Gp`%f=or@9awzwt%Q zc>m}#kwJ)BFr{WU80E~rNjS~Tal+fL!OH<_t{~$Osr)%7djNDgTjtoLn)iHiM7TfFu%JdZVcmkwhRb+w` zErJr2H1_T7Jfn7uuEGqM4TCOBi`3@H9JtzhpKSmX`g$e`{7c-FSUxXfBAZlQ*>`o= zW%}*|?Oecoo}=wR_Dy1^RyChHqKfSK6l|{JjS<~L+3{?bBrzJ@(E_YL=i07E91#Ht z4LURGS1iT7#feoU%f{1>Ug?7EZ}x6wR-z0{MxD~w#S7#abHH;to`%yUi^cY#I)^Xq zaP=N#)|BD~U6;_fAx(DYKF@Srg`uo(9LCI?-+}iSy@`g$SP0TuE2yhf$lRCssz>1` zs@j4Y;vBRRF%$HTTTa{QHMk%sl+eg-_oN!uD0?uVb&Le-(lI({su)7Nj4_PXGMG}e z0BTB|&m|>(e>0|?enPbLz98JpO5VoTKaF`;|^NT?aRQ=9lg zgde1CvL5l&7M<|Cp{=w;7%Dn>f*}k?lz?3a2+m3ZIa`jzoiPpzo(7wC28|O85f;pe zz+R0(N%IC)lt2;K1B9!SvG1!NMTbTOCBLrs6d>^9#qKkTz*@*Gby}c!6Hl`pqYyaD z&BaoOY|#2xPO?IZTQ3O-AFi1m&l~@cC&2oMou~l@gaoosqS>J9XRt!hG%Y=IK1qdS zG=x#nRA!&$X?F3k%r|6DKi_&g{BW|hdkL2SV)3k$^l)ltgLYNH9sxL$iiO@I{`i4( z&P2V&XLZQFa)3KMN{kh|UPlAc$)+&`jqmGy;6KCzDzQ2h2;*vyw`^@nB?lwFi&d4&WRN_#({|#NO$Wh8>O7K0>?wcVXzY? z7TPqGJdhKNyQEob?X(W|rI7`#B|j6qNX?97K3_q2^pN3paRRwdt2}NSsc`PxIpC<| z-Yf=m#Kav8kO2FPF^)5F@dLWD8rPc6$Ny4)D=-!_2KL?85swJh=|3lsb$&GKBEYHc z%Xlk%pO9gCfaBCx_2O>Eg>sSSRuF&5fuqY>pZ7qLM`b5sx+n_$~Iuk)3 zI#dB_LDjLIatG;U)8D$g`%9(+z=o~s``>Xkp*wCmEB$=oxAW4V9y=M`-?`Hk_NMW% zi)Gi*fc;(bw=(DKKvEW~4mohQ5A0bjLQ`*$ah88@k?x7Hm{%r@jni{D#h0bLFsX1< zA`$z|UtVV3YP%M08#s3Z{vtDZ8+3~u7=0E1I^p28IYj0Qu-Q93lN(1;-9eT}wumIM zmNya8eZ5EsUr&u1#-dm-9Xy%E5Lw>}_HY&>YgGE<8BVJ1^Lu(}IAn~}1>aNL+|&@2 zL@9LNpKKEv>)*7s(vgr8Y!fFU$pw#02Y??w74#s5Oegd}yq)>*$oGWdrf)p}t!Xij z_V?AXz_<^RgIz_2jh$M0UkV#`)5Tq-8yB%wW!8w+#)tzneiR+39uO<%L(56NkvAbc zjtC|`t79j8*rQBGbLnGwyr;Hrpq45V>uq#A6N({<=Dc4W0jz0&>OWmvg7t6i?X2;< zY_RJdZ{{%h*J3}vrnq`*d1|whnloH`_fv6N_Bw8rnxe~M7N%S*oRZiflAX93fa9~; z9T;EL3Eodn?DH|^0+1teoFHIC`R)LzA^f1=Mzt0uyj_13jGToT=ncWfyffJRl(f&0 z{84sh?qz|4>HU64;^ z^emh!2%!Ns`WR6@Ls?nk;yV+9`#El5U=^OkJ1yXB!}ewv-|kv{k1>4AOxFo=x-30B zI^1XJ=Mrd_i&Qa;UlRl7eN+}jm84d}kR*SxH7#e= zFxagd)Pd~}nwNorH2}O1SKnD5#Hd>P5o&QAaO#5()D8_3Y?d#F@uLClx=kRjZXhQ#xZ0k2WLL4cPtTYc+i^oAVCSUuU9tIz= z%tnw8wBxsI^{oolwswT=yV&D&MV-FF6LMa&?Bv69Cl}5{X326~mou}gZzyZzi(9O& zpu6w)7$n#u9vu{vs;ls}3NDDa!lg5GT|7HcyjrSGMT+y)*nTTKJ6J;}-T{Txyfh^x zs^P-V*nOHhyFe09P=7HZpQn&C_OsiL-%d;RS)CW_USF^)-dswA?-!-SF8GhJ&(g6o z&sRH3lhb4Ub_Hl-84ymiYT~;XNZtS)^4W#U)!9xyT>PS~>Y{G>QwI4` z!Ex6bwDmyA3HWZMsAl=taO;i;8dB16dKc**`ocTklSVa?-zhYl2WPQP`z5xDtAiB* zmG1_9l9czJavqxQ{?iMwV249zAqEj0n0q!*r;{&Ws5QX_3lnKDg^AkFn2BH0#fRTX z`i3a0Fp|V^(30XALWyAWRo5i~_ANH*6mXqo!`sFohqRF6+%J5%``)#1zKc#HhG*%8v*=G{HW_nygBqaTvT@xcF>tmqi9*Q;lVptG*X9HHM+DM6 zpN6O^?aaUmyonzKn_Eu&!a$q;CoO_LaD)ukVBx#@>}^?bZMiasRnJlqGC z$uQawW#2}l(fK{H8xMTjoe4HWj=cE3*kV}ozVO>F5rf6QV_~ocAAp;r#NS@+(r;bp z-ZXCpa7x?(dOKAWIH&^thAFdraY97fU*G_~KzhW$O(IR5;nqoz1dx6-XAg)bb{um# zoVy6B5d(TzaF;rjBN1Y|OsB8d-%j(2+yhY9+m{*zqsMrwmM9bM2{9e~@>TT*O3Uoa z0MODq*bj!lqE1nG5N|#VM$ZC|xF0F7r5sdwm@0W64$|?hAr2^%-g|#t(iyh~poT;u z@f$UrasNAp8fFtFCOF%@hM|T8xRd|h5oHwgroTU;{2v%u7sy9f%IM2K1x60mO>?KfwHKKyCue$aT3Yf$M+m=r{DDzZdJD zL4Jz84+3$m|4jqLhxNa|L+-<^K^UMnkRI{>2LOW&NKAm$w~E{MZ&ncpZ~0rn;QtI= zkca(i2&m-;p`-xZy0YN^59tt_P%bwbkNP)L0+9dM{6A$xNM~IR--dja$71UhHI|eT z2I)j~&C*{xB0ThCNF=CDGWkCwN^Ia?A~zth{#ATb@S4BZh(9Mu6qM^CoZ_lJbq`KS zm)rin{x`x{^UA0VSWA%V@jqvcY=*U5w+__5X&(QqAb1Px^Xl& zbpa5SZ9{izbTS`ict7JxzfLD`kJ%c@RfxB z-B)5az+N_54zB*SDDqD&C;Z7**8X)2U4HD3=~ION-BTj5b_m78t`7b#2qYfh39khT zBnpW|C~A3mc=?)o+uA!K6tx`#UF{uBbTolcyZZYXIr?gO9rO0`boBH`09d^}t{(o5 zz6f*0Js!6Hj@pj)UJlTYv<|FXT0#V%&x~w+kNF|YJp(*E5YRtMW<`BRPiOFc9N|x1 zGC2K*C7ZX<+iU%AW7V}86D1oLd|s4^DwiFi&@e?VZwUs?ke#ADiFtxD^n<#4sdYkrq+a@cFDRywtxrE!KJDSl5@A8G1(7Y^H97pb zkNhE|%4_<^yRscU#ltP!uT_)ozP%UN4?OZ>I3;P?e^7?cYGiw)d0MHj>dY*HLQJgs zd83c_UdPAE#u9R``z1@i_s`w)Pn)ZQ5~-TGOP_kpRmx7z-K+T!I3g4Do}%4U@eHL+EtCgy?s;E#PXEIJk6loNv{KF6Ad1*g3ql#`X;5oEdL!_QDU=iwiLY4xN2 zJK+PLwFU?yW9{azHoqOI_rnWSRx0WmH*|zxU>%Dnt%NLz< zVPB5(sR^FAKP2Muy5PG0Nu?hZhQ4RMt8!jH$uw;|`uMJo*fF%IKy};ux^oSur<)wr zwG}!(Co)Nl8wTYj(`5Hboo%S)_pj6nb9?wmvG0WwqAvMKePs6O*wdX$)dLD?wnaNn zbWn>)e<&+|;hB3#$Na-QZ_sgoflF{SJ7VJzL0=lWBV}p?l_91l7tzQ_e6D$6RD`+R zPU>@u#BGx?7O&CM_NTqYj~$!LZLPo6l#i}TxHzkacp4k~B(K5s``grGQ!l*m?p~=s zNQ&3s#||SFULV)R_@~&j>R-4)H1mDisuS#(G#SNUloOynCL{clm)7i;r+qPOrA z{go{y)0NXVYhTQ27(C)5G~c4q*6HCJP#tZPjAh@e@OjVHMFwe)^8@FlWcw4BYRgg_ zJ_{MGUF&gS<@eH{gk+^SX|BeS0w57XeWzE<|^ngS_Hmzt9=NSEO6 zo!R4n<=16nTEKj(c&tk?Z>pF(?UNxFxRo~L{D?O4Lk_RZLnp);_S}%AgWZ(bCEM?V zHt~$tQN_B?fBDoLM*7Bj9k?FlyDj2b<6BpAH>DHIW(Pl&ql!Bu*`3hNX>5L$ANm|Z zVQvDIaVH)=s(5?ym5W53w~{`81C>%fed9;+yDur{JQ1h+ueW+C37B-$Jup0L)dU#5F)A@)>-<7tHw{b8lC0nxX#qUU;kojixL<0>*kq27E!m|T1LnpuJ~ z2Cc?^LlZ+J9r3o87aTvzoLT7X}NUs$gj#25vp(zp)IwH-j;(Is&Cs2 zn)MGyCWJ?tG% zx{*HHCRvvSi{B&K{mR$kzANEPrdY5);*fIR3Eil%oV#g`wwKdK- z>lMy8_-*}N|8uTlA$m)m6kXN}As+s=+C3xQ+xU!P%3}(8S-37RFxEHPP3wkA4oepa z2BTf-nP$98UQp3*xgqS5e`6q4d%EzFv)}K5>oL~xBU>KT1db3x<~Ug`?n^|mr5QHe zV}NmT9(4aQ_bsJQSvx#~dKd0$|K}0BaP&mlX!-Cx;n?b$%y8pE52XN~!3>V=g2W)y zksmjPzn$nkU?L?E9eOaq_n@w6o9k2I8{e^Ss87vUO&lC}n`tFAZfkBaB2uLI>H)mu z_9^FS(`iEF@lTGDAsD}?ZVDZDEZUoY*0tHCk$dYeiF2IU0a|^85s`!C{u;UC&u)%r z&%Z9)bNkk}TKmyoB@)?8J0mm=&a(B%8OAOgR@h$SIG6rP@wo)QlhJ$sIAWUW{V+G} zeNE*tPepc%pW5!CxX)6yw}R`0UWh7}c9T|JP* zzH>{&u?Rz*8y_R~H>AW2xzVY8jizrScUcGp24A?*-cNOmmV5Y;CCY|Q;n=09OIvdp zjbb^ks-p0&hE{%5FAd_lqmq!$Gc95_j$Fg0UOJv_@x!B+?vzK%om2c=(%|$-s^`JR zdbfwFIoYI#$Lr#gT1%ak^hdTQ^SE$Qx#6~5V3RdfcZC%m&%4js%0;*LG3)m`S2Euy z96eHpxsa5aN`b#~tkrWqP!}C!LM&7elG16YQ*y*apFY?)zQ8J}cbCqz*OT7+>iEES z4U#tg`RHVDZL@?K+r9z^29-nhh!Vwo?fq6e`c)JqG_FXYUT(K>)JeXOk}Yhu9hE`N zurv5{O5j;n4`lzVcq+}8a*cPDNZfvjlW))wE<1Illu}G0VT~8e_XbhvlpK0u*LN!Z zyTkdp3!ic#KCDsPpNy%}j*iUO&xmzEOi%}z4d@i@EXCGP6Z-&LHh$T%x@*Mr)E zlk?qseRggPt@NW)rqo7weZ87;n9eoY?2E(u?p*V7qP^Bwd+{RK;dK50`XL_XY{tmG z_h822&jog;wwH=kFZ7vC9p->Fkw5(WICq=s#E)NJE}Hf-SsfQkQ#Q;PMUZs$7Dw`| zDn>g@o9|s+Fw|B%YLnY4ZZJiQMf7>^d#zHVBA)0wqHQbn>Zr%LJ;BJl2gfcJmMiz} zw7GESV^W(aVb4X=NJfRMkFMrlZEWYsZAzR6{ z?{r_^`*^*M2zouo=gh9~I!CxLK}hH^yz8Q-tuCMKb^6|C32sA!^r>ByW^zXj1i}@% zzY%}sWyA%V`pE2P#NusAjd%Rcce+&kxYtDb0-x)SC%G*%A0s-urL@ZSc37g-wk>|1 zco}O!#kNp^X0WlcwJts6D6W8cmU=ZUyOsA{iba)o`Ztp^R*cD+sCjfFUzl^k=}8SvPt(i!onLnCS@7OpYFmP7W!gFu z%@84s>1^805M#dgWM9Z-wRUY5A-)H&={HZ(kyk`_CSi%kO>f9zU3UfkTKw|H$lc&& z*HhQgWK$oaJk5^-ZLfSxKfKNF`hMSKQi3bW%pGe&zm?wiqQS$^xC=$w&K|yXtvO-t z>a(&Gv*vT>M$%pp9nYZr4(`hnWr{L}m;o(cjrwXSh zpEH?Pv-k*FiXFN`cjLQd>?;7ecLJ{#&XP$z6Zw?4uVcj4F^g3&$IVgm3%3pbJ+A4J z%GdI7gL49nEQ7Q8Tljg2SyDv!r+I5~SM})EskxY)k3W2s3+&peSa8xSLH)#D_UQ9ftK>c}BH?zeW%3ly zK&sG1^%e+DaAFA7{_4V4%vkdj7Y(u1h>+@Od=a-%~-!4`w z30iuS_|{`rGuh+((Z>vp4R>$3)9-6=J&3#@eaG#ykX}>MxoxhEIhjfWEvM9<8wQ>< zLcF{}J$ymIULbLfoRx943eI)=2=VK-xsm4{pE%jl29i!4)#|+Fz*V*VpcsqW%Tab8 zznspFlp6^@+gvK$gEPAy94#(v)aBWdZoTi=``-5jFnNJ%5^4SM)6ah$jH$&2IlWDG z#gqh&K25uR_PUI~d47SByjQjK@LejU3aO(093q#~NDqy4W82)uJHL_qD?`zoqC9QQva zn;x5eFh!#U*LTi6@@!&{R{;Af@mZ%`m+EHQZf!*pOI~5oXSCe<_gzYkKlCf3^3=9% zd+^T_ZSdYdPjvi7P3gHxoAgO!yF|rDT(vZfV8{%K5WMJE>aP}~&3wo!*+wp)sv*~{ zB=?t7M>#x}S-*!ZPOLOp)A`vq8jCzv!|*%<(VDMR=?>bgl^!3p?oM=I_8l@asjVa# zZjGB2MLv0)vOn>wf2c6gxUq=%CE6hW!M$-azxV@kUi(th>v)r&*L>?JKyl+~RmC3{ z1eB0?7PA_uB6cQbX)?K-PfNVhR< zupNCFUi<6WPM<*0ov`xX&z@-|x}D@#Dpfzqac2AVPo=4M?6$ZhzmWS8{qCc}d&Kck zgqVfCeM$A6+7m|ySdD+Xa9%4JHUIR!h?@>iLtnznEkz}E@;+M_flE)k;VXaHXKrVX z^d(fsd1jb-uEzr_HlJ}H*TkQxd-IgghTc|my37UpCBDSgerCH~lKkDPUbx!$%xO{S zts&!Nqo=0d@Cq(YVz=#g)mmL2ynpp>=T!$e7W231tar82*d9JtnRYxv>w7&u`*}MH z_vFa6tUT^LqT)8z+bI1KnxB<-i<f>&) zvuuO!`N9cjmkDxztMF7*{C+-Dl4o<)qnr;CCNGYO7ohdIX>SaAqYuAK-fPnnTFBui zGo?2qaOTd7`-MYUgzd*tg1P*VTUwo-SSGS^xrpZ_OX_UPh#fY(&H3b`+Jgn1@HFj@ z@1I9sxS_QDOx;D&9oXGQx1(9xIbTGTU;9ncE~CN5ysY!+6#m81=C;2{SWz zl#f+KkByL$KL@F(9kueR?Au>BN7*OU5UfmvdhuZ_=O6sE8;?fW*!oZ_1U-0X#1b^i z2SzX3O?O|ZFL!a$a)^gNF#BxI^qo#IuDC7ndJwbxK$qjAh#mU=S`PZ7-bp6cE=Q!I zZPXqQ_h+^Bh~FeRBDu~O8b@8m-b5_q+_(6dRl4_zTLfc`+`!Ab3%h)GlwCDdbl;jC z9-eWVvR~Nij!%@r>+R*|M=;6EU)|!*E$yH_ldMGE-4nmt-}f<9wrnDDsJhyip7-^2 zdoDiGC5-v+(p*5D_=eIkzYfe0R_{3TsR~zzOi^{CsYgD8#sba3i@=!p# zXd>g66z6@HF5eUIWIGoq(#jkBD-`!jt3#pt4Uc(r_r8f+UG9{x)v?!^e1{r`t%ARF zf8mZhQYiUV$R31_Uu9*Ejmk~0E)0#@gdYEqZ~a}+^Thpk9_Uj?SaV`a6D^tjJ=1u5 zx}Ecu!V-+*-i}{B{!H^TiZdyaV~4xZ*;&`yRrc?Kcpooa9C5q+^rJ_8hTlmus_DwX zYk7y&1gmq#nyURU*G;QUU#U}F=zVi`u4PG5{-np%ko{!qS2@B(diCEbPCQK=MO(Y} zTvJFoE6M)wT@H2AxA*~uk4=8JIn2mXpX;8Re*VRvR9yHns;8GT<>L9tzOW`#?BZbA zJKqxbu^-h2*4!C~J~ou_*ht29M0CkLd8>>+uBwyE5o*aDKSy+AkU#L66@KOJEm2om;!DG3#~$2L3n0$0$;wL`j@+(X?2~WLK1H(R zJIJNGThii=16TfitqXbAp0>%z?{t^H7~<3|Yijvr|K-%Z{1-kO|Jr@z@-`cBJndU-6;8W}efC=^-zR{6L<2 z-f?(or3Rd6XZ35!I$ea`Pq~Nn#g2w7!8di6I8t*SM{BzGI~C*Jz@tBoUeGky$9>_& zZ`Q%Iw=Xf2(tPtHU3at%<=L)I1dzOpid_24S-RwZeYsaSJJ2X1z<*I!#ne}?DoY5J zKOs{kbgJO6Drt5mt2R%fU4fmacYlmv3F4_t=+~(aW$zNwkH>ht+>glexwkmNuBn=`37dQV)j%1$JOXm zlaA$$yscD!LT=W0^qt^Q`mHy7;H5BMxz|jgpq`xguQxUYR$-iN^HYOGw`vUv%HqOe zQrXJOn^Aqvq8S$Bo_3S^~}a+`j15;{y9DN{tmdFS62AhkXCUD7s~-CpqBP zcu{)l$ID%Pn1Gv?!UNDZy9!Po_~h$is`{%km1(bpj6RRRPjUBfIbk zIH(orSUL3#H5a7sq<+VmW+Txx*ebKPD@gPOQ>kT^5N(^)f!o{8G!OocFR8j*9~g%3 z8Wb(;ANk}-A(!yPvge4Yczuk?^G6uN9`U%4Shb^cPJ!zosUmQr}3vU={Yg!s=gd>^D~46?CJ|LtWaa z>Vwsh2TMXVtWVr_e>SgCD=q!iQeOsp=XGv4CP!MgB1634Qsp~5-;o~NJ8C@f5 z>fq(~tEeqLcsWYB?nbcUn11-MH=mm$o#^1{;TGt!N zSZ}ZT9$dNKZg*D$wNHoUwcZCS7saAS)m&4VOXYsuKPu^zKG8n$jD@SZCBPvhx;-ce zUHWN%V%S>_yqbT;;)43468~MrbfK?0edeoa7KOcB)gJg8Z2yq)9aG6ZcU{cqD6S;% zw)v4h>Iaq&e)AEFs}4Fj9jY|)y#KRae5$Ze*_eS3p{y#Dc~tu5Pj-pJ{caA?U}hKi z=*(>%1Fnj?NBUO|J&ZR#|6bA=`+Fqz%;%E9m%pv9_7pwpb{x^m%*D;nEtW-1{dmiD zhQPp6bQBlx#3yX4KIdf@xYF%%gZe=8Io!?%b$vhHpP)!RIv*jDE>$9Rs)uNr@us%G zXSaUjozp^JJq^R~#e;+U5+w63;+M9DZi&Mx^L93s$V-J-mQ0y^;68p7F41^!2jAk4 zgQ|?e{AV~M3fQH;yjx7jw@Bj%PG_Z}Ds$O)q#fr`L}z`M z67K3T;j_jqic(&9b}8B0*zoMAti>F3=2K?9gQO$?y4;t z6MNJX_;D`34tsHorqwTG>)Aa*&PQ(#f4X`9J)e!BA81;TW&Hh_N)ikpxoAzTs0B!2^ zp`%|#zIaV=2M}6X_1|mmV|G0AtX)&;D>`}7@e(RG(AeBwb|#)oBh!`hYDvS5V&oKX zpof)TWj{rPde?YuU+(sf4zntK>&V@%OQ@}Fw&QF=Z2q5biRac+Bleg?7R9uMlZeJ= z_%EGw@;F-Xi821#yU8d|i=+HTY#z6(g+Jy}UkrPScth)u7o)O=UqiH-S*an}Hgso6 zPonf&X5QCK_k}OnR(PBZX3jopgWk?%P=Xz!EA9623*n!1``w?13yMpi-qP)KAoJnY ztEpbX`#KJFw0qfkBO^K6QiBi5Nit;BxONrM`{8=`g7-dMzEd>VR}NZQk2}QR3_WV zwG+u|zAe@B0k8U0o0A)5&oS91=<_wy#k^{5oQ(g<@(IB?7- z;ABxrDm? z`{-_#q~3KnYWu>w%U;^=SitR^d$G43g~gl{2qfC~u=2iYKXmj(??}@r4!Q?1=u`Nk z#Ut}+ZEVC3!n~TYy=g7e+d9pU$hJrcbXm`Qq0k)8I(PUlcFvc#9HC8AJPJctKXF1> zJ7l1$y0JM49WpRsR#RhMJ3Uz?zHx}k^-Ow{t*9p;p@EqZs_|>@810QCVp0U0o(hltZXKURZ@m_%oP9v zDGt<11GI4{1p;B^)qpg(!(o>1z>^B7l`oK3!tyhqt*hq<1qCholB?S})uLKoj zQCR&1&_-eukU(UsY@;`5V=-$l1*h#4(BPSM+5p>hop11CJOQGO1{>7SNht*sIGzX2 z>H*r&3)e}n-(ZnQ1qowiUmNF(dcEVuS)}*$Xch2RzfO6(6~NZj1B6@ zKbYi-0Rl?|MTXE&Pb#e#5|B3*&|5Z}<(@FIzI`bPu*Ysqy zTLE=6Zk-539}@4X#h@{SHMPM5k4wSU9P&*b-%iP0Z0>o z^Mn{(=}gqJ2|_l5MX$9$dBsFGxZZ4->#h${6L6>zXgF|z;56}na=q#haVXrHcSQlA z{lU8;H(MrVO~`+~_)pTSoreL64+TNMKT277S?M>p-fWlnHCYps(8^HARKP<_BUk+E zT3~@hg2b+A3=#z#?TXjJD&d!fvq5~bX=2wTfnAXV)OpKZ9Po|ADJZSbSk{siGJstq zN_kD(7~tQre+W674HLV@ITkb&I#&mtg*7!y%uY|dEp`bP!C1H&;BxfZ^PjKrI z?N8dh>Iv~6*srP#9>44pHz;p5O8jykLR|=~1{`bz7m!HMD@^}Y6h;DitJVn#8|sh^ z(wj|^04X(Oi3BM4LO}w*B6X-IfvIftP2iujMR{)0qTo3P0NqJeP!5Oq+SZStQyH?WUY zPYtOE6m(ZZF&+$cR!1yA+)xuX_}*-l8*XaN&u#=A6q2xcum-90Ds`ZCkZJ?vMx!yy z@7bWf*)0EwIuyfJH3H%t2^{Mxb)c+kK_89!lhva)8|FW`ls_r>s?bpw+?onuuzzxd z=*?!iJ~COq*fc}|tZtGzVV&F6Kn95gvl9Q;#e&{!nEzxxV1T^Ip@8VM>QFW*IU4xc zKe%x8e{Y+c>}P$13|!_~KVv|b|G^QWH{0evdRZmZS{#776N_3?KP0eDXwU;m1$+wG z?}k)1TjxJX1$5hHAHL2nlCUvU!L1C%H;8Yx&wnDmE(nP6^&2o6TQhw$Zbel$NdLF? zx$dKuReU`<;0fzPa5NaJ{IN6tV4mw%x#r6^joPqlqgIGG3QW#c$2>R`X3ac-ZbH+o z4aWal>qG%xyEIcDqbGbLc+-GGFx=tLby1g;p{D;vjN@-<=2UKE3E-P~d za0^YMR_BNRF~!7ew$Fc3#lJRvFvwpG$S5>;VzU}T)ieG(#=+2JRmjVXqX}!_5;(Kf zFIES48&cV9oRAqntyWO_f17p#t63lU{9)P+^~whE&E|<(QO+)DJ=Aimi;|9Rs5SFsZbgtaPhBp6rxAqHVK+vYz-i9bvpaBI@Wf@$|EX=U^u zr&O3fnC3r-dws0EERZ#=1C#T0MgP+n9PP1iD5YavvoZfLfBkElK`Ud{;tzsg@Pn3ZmOllnY6p&cJ1QF4Iy*9h|GrQP!E(|Av!aQkpI3mdy`vvU2Y|9# zOqdl7935P3HNAq(p^hS8kP53yZ6I?%%gfUr{MgSNx4dL$0zNYF@(1|`2xTQ`sSacw zLTs#%g08@;jeNcAO&$Hs6^*p_tR#47DjskQ_6NT;+i&OQXzvdmf)?(a5Ks{rzyg#9 z0_2EYPN`YG18v7HrP33~G68VudEK0W7pA zv~maZ7ECnO?trdgp*6IX?|^=QxyRZav}%A=hVpw>m;u}Xby~j#?BJjkq1A5zKRB@Z zv33g>!a;L^)o%euIHe6zfF&HXJhl2Q;0XtMeC-x6g@Z=>tKR~ya3Gaz^%e!V!Xej5 zL6aODG}vBw7@C;imKRD_L_@b*@klSLh8= z5Lf7RQqXu2hh8TIjq!j3U3(EUD#KycNkQW%9A=#qH1@$^Hb_BSVKzuXT!C!RRi4oh zS0I6A?H1w+yFm)#3cF4Uij-i!xArg;*>KA>s#kOd;%d1F)XFWy)pBK|m0O6btK|gBm0O4_{5n?{h^q}9hnxj&L&>3}DBxt*n1oicaVu&L znbv0Of*P`FU65fT)(i=uXc}PWzx>H^CKW=_(DoSk8dw+3X)WytGM2ThBa9MAIx?{J zb4TFT4CDXf6r?|8odu`QhYwR|=(i~1_v7jJAX;rZY;9)3)9O+quASMJzR&2Wg}#O1 zo}TzJeiTdm3t1b!O zsIZ&jB8i=aFDwXZ3WoLehV7fM`*n%Lx+H4DNw!IUPOfXoZK#!OZkB7Fp)f|N($Glk zkl1*50l-g=x6d)`j#3CSLK<#&8zOUUy-d1ba?rG^wFAT&bXn0u-$0)Lzv-Wo%w(4gsuh9Kpb%d>nE6 zzE3Md+s&*q7IxdEIS#5vKBE6E;3MKL{=(TiNo*nDOhjxdjQ@B`B|Nr;@OWQ#d4keWbQL z0{Y(!4TPz+3|8d2nSn7E2s2=Sxg1UWeFH$)`H!?!@c3%ls-l*b-hl&qY=hSmS2rcA zg7M%!ggkf{#G-&>o_+`8H5`Lue-`jk@Ti)>;lD|3R)z>c zqCju#OfL@!|2Oe~!NjU~@K6f+Di8!7YQw)KpyfCHy@dXp`k%3HE8-YKc-1hdrKd4N zW;<0&=3rN=mLM|`)BS1^m1&GUA_l64)JfQW0GK#DHl$8w)AE@R5X%IWMvG=hj_`1} zFMU=Gz`|!$9|q+-ivc23$MDQ7jf?R(K%gn&7c~)}8YX1}#8oZ^(vUhJz(Lm+*?mlX z1{9yoY!am)JlNF$R=%$Q;yne{5Rr=yZ=x(jcDn*ZD2)~YiRlJi5!R?APz&{?uK-mG zff7e%txcX_h%#Erjt2$KMaP@b>ceE#I`G+9UjecHad^V;48Te>gGc20famsggP-u$ z%T5SLghphzatse?Niy~M3irc6!JR7*7c?Z#rI~nElB6g+M)#Py84yDsC_?D7;42^m ziq}Mu-ida^=-Q5h_ur=sgNkQQ;gR_yRc<1{)C&LB0IEGD4KHb2V1tkj>j2*8I2Zk? zg5ep2b)uR^uRt~+fnjZGq5!C-H)_NKfJz6z@q>HS!D?GL69uTAE$B4MpI=fZqm%9d z@e-5Ao4KMq!o~sITz|7*3<-!1)D`E7@EHdbYyh^jhYx^WglgaDC4C?iULZ3uG{M6W zd+9GX%g304dTgKq1|X9j-n0M;E#T5CApbPy;FkB zqtnH;ZHCOjs2)5^gVb5@rOz;_T{RAy9~iGf8CDr=sH%0@>4T zYtim*C}jL?W&G>|=+EhCqbL{d;wpJlxSy81w<4v)zpEVq@M&3i23m}8V5zYV4(C@rWQHcMO@(r9J+5T`?F&=GAGqPj}~3cr^+f`TZeB`iszh!-iM2q(cp0N2X^ z4~@98J!xi^+DMiIs6IxS=4ohTx2&4R;v)F*Lc}(-s91>uis%py2NsAZpv2SE83U_~ z8XgAFKiC_9zDDK*OUM!dD6lRNh!k}*C5eoSZ30^KfXtFKGo*EJe1i3rmL!iwjQiM3 z9A!yk+`1r~f^b$R!kgw(fF86il8%Jx03SO+U)vkrEJP#UG(n$X<11vSZk7a4Mx8}P5jQEI z=cTrk19i|iASyaR-#P}a#G1w@=xtim)JbSimIwiqLn0+^&3b-2d>l14HTAIr>P;b8>6O$&{^<7 z1bg@jY$*UFV*AHoz_i*&iTdD^D>MCZP$_69(MQ1D6aa|;C86^IRiB-~k6Z+ker}=S z{EN}8^4ifRBv{nmh`P|s^nVL0U{bmkR={$?Mp*eb2e;5B=0619<@KV?W7}ig-bHyxg(u>lHCQf1|sfu30cP-Lr5LzI{wZQZ{R(ypI zFgWlPCkQH(X2D3{CzdpNnO{TDP_y)H0JF1*%-jTwb0H#QYtlV6jaJ+ZQ3@#E1l$zR zR{HE=;M1Fc5deRMx*^&S4(z>GnXbsjmjQrQ#wCH0SkJ+w>HLuTO4FJJsiDRIr_-_Q z-hzPf(QKmtBd9G}=D{J#o+JYw9-aXo8SMxchnx)~P&PQbM*Wb)vJBuc8t6pxVc<%P z4npmtONJT&B+~i{k_d2D#T0-}s|(%(?${#wArlUE=UB$O0)hDULO3M87NDeNpmZj1 z5wAcby&(#ZQd$BR*05$lfF`V_aX~N%Vxj{yfG`7$Q&ojz?x}@f7KMS=f&K#ZQstCs zY#^ft@f;uO%VIMIwT;H634{>=DsVFko(J`ll1LajXW;gO<=8b^d2~P*Owij_EvbXv z)94V09Ru_brS!wl2P71MZbg26SGmD5OiEXSq%Rc)2DJPa+UxwkFYWQ6MZM z_2C7AHS|U{pLq}qbwN0TVE?cT;0>b$@H2o&_czE-poHRzS%L-34O=Dr&$bFyj8<(G zwQi|kDEO}p|G-=Re(?9F6_&sAQ|q(vwT6Qo?f<#qU~Oz|YM=~O(AK;ZQd#NWgf#+Z z!@#@#P6BwP|CocD&`D6;v=QKl(KvRQCd!s17(?~*=+~_uro`@k=a(=8kiG`GTR-Ky zX(2FtV)qa+8jeRZCg>2aX^j%tql`=hsLHh`-<~|Yzicqe*VV*&z7GFwYTpxvbcXEI z>$e}g-2Z+=oKW%f+u?fRx4;{`(Yw^DrzU^IQNE4tq6|~aNfsx^yjrbzm&R%U(U;}N z@(g*O6!Y+IMfiv|vYf85U#jP!XA=9NcoI2y; zJE!_h#ZS+-ema8_mST465NGM-V4oEJ>VdlVEe?D5Wn>n+cvQFM`>y94i7z9f#X+^* zgKbv~HLftQKm7VoG{#-Lg2qU^^HGe35?9*%59`iq?rT_tcgCK%NA?p!7CaQSH;I+c zwE0jmoECmPEDfKC@Ean{x15w|BWZ0}Z3lkZr+*4uh$*H;5l=xyeAoWC92lZ(&%HGu zrihB&D+1L)=|v)4C2Z#R0q0A=PWTrF1*A|5#IzN&?x9I-M0-@?*loDaMKDA}(b{m( zpH~`*6r%swgTN%x=pjtJk0`JkvhDfxB+co#GM{s$z0CtE!x4#5`C?P0SFsr(3CL`x_>MJZc&j{Kle~?VQJH^C>C$GJ| zGcflZ9TJtdu^}4{Px;hiEmL=|2b3v@*LFb;t-eF6c^Y1_Ln@5)~L_86BnE56D zmq`*aA)AJD&pdx(X9DSYkXE*Z+6Te?j{(#qiJ9NbDp>7{u1oQ|W>x;M(@U7FT|snV zHG|XU3_$&C5K0FX*meUp0oiVi6?f|S@U#TOONR4@Vn18=EDB~47 zZ2uy*Gzig4s9@vO^~Kv>@s5gANvunv?|s9<5n#m=&c!@4G?XX8lvZ-?e2HKsYvtZV zTk3O{79I~wiILd9zasNm3&NVCkIT>=-M}aIMfhIElyN9!21RfG6qH}}OHKZF%yxs(-;reV(&DJ1@|dmnlG!+P zBA)vWXenGFZWWGGJVuWq9Ohu)%o>c20%ac@ger}mX7cZ@=5&)}(>Ue8)5a4=GE-a{ zfA2Ncbo%palER>_OZcw+6l+j*fW7ps!pHa5I`js=ON2_x0-X5FqTN5^LV27Lh-2-~-UH5jFw4HE9bHe4{rpLC zN7Uy5x+7;Bg_Xt~eq<%qy9Y>kC)sncd3iozQH2RicxvewDKuWy#@cWqo&+E)d>P5H zObarzqLwFiEyTnMqruz~X>)@`?8=wHGG@dV~gP*`$eyF+U>)F?~cvt@8cT z;otJV#?;h5mcLiEAUvHx>1rP&!p7rL-~xl1T%Ilx6Y1wkQK#jfv5N;CFzU53Xd*^$ z(@~mq*?N5Vsa|hv2-~!`HqYG!?>5#lE{p4B9swSS3g2%(biP+LiQM0btl_PCHyBdA z;|H&*BD%=VK0*CO82>iiqZ)|>+o;p0=LdUE3_dM$3LA+q{9c!Ox|A5y?BWaS61!DL zz3qfno`9;2p!uDmzp7^Lc)iySXrWhCwBpxj-u9sOG7W#iRk|G#SBXMQr93G< z?+X&JRs|wLQz7%@3k@SwqyZqb{lW!0TT~i~mVUq4?9$ zG@-7Q9C+K2v33G@RKi@S%M4mpS6sj)U%2`#wWq$YWYy`i?q!2{x;jnyZXhd^^RGbD z1F=iYvQbBDu^Eq2S67Oi89ssNo-(n~>OHC>DD1a!Q`dXH!ZNaFXaM~szwF_U9d_U{ z8s&DX*`0MeQZBz$KK5-c_9*y}^nY({=_MU6Xf5&P5TyVoE|!6D)v6kAxj1JE4k*u* zd(tGqp+=;ZuKlfr$jy3nb)T>QbEezU)Ug8DQyiDSrVvBJQE9Auf8YgYbR(YL3EPW1 zC-B@i-@V<7dSefK&_RuyHD!A`{qfXprPiR&1$H@sOAsG}{{`2F?vyO`EttDhlk7os zTPN>wswfNVhlnIg@X#q$Bc^ehHy*FwWw}Sg)bWU^rf0&;70>b2(}Akk0n? zPhv&uVQ&aoKi~!2pSy5=VxQF#({*rbct>B_RoLm>XKxmw#uw^Bs|$8XM=@o8A)k}x zV|&2OcVR*D+0LrmrV4$RmV)#6Dl$Nr{ zOc`Ch;M_4t_M$`M1czUdi_W`Kb6}j$N3fANrpcC|DTnt~v{A=PzGe9Apq8M_K!I&5Wb3c< z`h&lo!*Gjfn{#koZWRUGy59^!g}Z_$u112pQwceLE}Ab97r`0OD&9?-8U{(=3ezt} zUrZ1qeaS*~3>&1aid%e0kI#h2(;t729)lv2iF6l+VO z_d7GFcbxoMQ#t{|Pc)LoMIO0a`q=pDm9Yv3&lzI*GCL~tq`OEZL-V&Wmu$&k&?3A_F&zZtn{!F7*|)ZU{k1K9N*9vu0;>Y$5kOxkKyJaaEP$}K-? zR=q16zfVXJGYX=~U`T4_KH_Gq6Izy0m}Q;HkU0;ZycPM%YXNP}BAQ?jPqs5Fx{v8u zF7@EH68NMnaQ%VKu!moiLD$H&G?6NGXEzC1r7XSugnG$WZz(Gs)QdeKTA+leuD`x} zV?eSW|L8WF%G}*7P%WvNK&F86YaKL;@6a5!T!wW2!yLP8043+oA=wmQv2(p~3AXj! z%K6sSthm>!ck<`9t&M@IO{4iUw&lEE?`PwR*#S#{6GmxS_w-P&7O%*{LDj5c%6@oR zgW>~jY%##G4-p04q$h911cWAhVi{ z3ekLAxcvqR+#)bjU&jFf!pOsk`~5W(_HW+()n=RxuDXEl^68FV>yM)V@W7AhEVEy& ziuo67oL*`)Jely2aA2L~9@YS3xjXogU8T?E@zlu@h2B+TnSamhYUEAj0uN#>Q6jpX zj$Lri3~8cT9LS^<&7VJ0ZPlSaFXF?cUE2ITEY$Z%H`Rh}L8z={_eMXrKYZbt=@ibU ztwp30t3m+hz0u6a$YHDefeHY8paao3Z{?&MoD7m$ZOjMxWh~@LW`>FTQ-G*^t?T>- zHd)Pwj<&hr+n*#jFz4SHor&kDF6)Ge@TJVw>E*lJ@|9->iHwwXbN)$8Ek@%P_GG-x zhSGB3v?JLZ)1vACLjk3t0jEih1M3#q0;Fr)J%W!=P!$RqTaR#ce{f5U+vcV7E<+?+ zqO3dmeNk$CO;YdMPZ<@0Pl^vxH{d!(rT|f(GK)>Gd4`BNn*PyBPVxN+aS*_fe?y^w zWC&%sv8pt9EIqKYqxZCW{eUL1&I)%PvWj#7pY6Vn$6m%lj7eE1Gyat!3M@q6 zayQn8b{TqJ){YV}B$QIss1XZtDFq5d$pPZYc?V3B)J<_^N{`d@ZC1SF{g&6PhX+&E zS_0%nK(WRm87pOyq(#YC_3y~Pu`WP3)A_fl@}lOY=!Itoj?Y^^ zm)drdw;w+9Yr~CLI}%RgV_W(#tCyAuiqDV8UXh_}PCo?4$8!u#y0(M-9u3VXf0|F3 zf)^m`Pojy;b=)=hE;*$;;z=;K&+u^cfGW18F;964jV+umT+n$gCOnRHiIozWWjI+8 z3g@kU^}G(7R5V?O<`sj>iFGa#lVCfy&9Tf_ON0JG0F2CS*EUYXygx?fD7zi^z4I?n zsv2X(>0%mOPjg!?+a8?1qS#qhdNUv(x{}B`1?QZj=1i%jG82++m)B{wK4hJ?xdSJ6 z`I3(hqBz2@*pJSsjPncqP>sZ`i&puqjP4$mT!izQJP1mxQaiUQx7L#QlZLo|+iaAA z^coJO;A`T+OQ$jN2kiR$)HP7p6ENm+*+!cMIIbgZA+B!5rDAO!=qOl<#Eq0@w16eI zxaIq`I%7Z}yj@wmd*ejJW|{YZ{!*wK@O7BV)iBC@cwDbq?HFZLW8u*ztT)i{05ZM8 zLcRWFt0rwH1Is+JM|x;xZ|yUOd;FxqAnY`9s8J&{3Ij^dk5$jAdRE*CUYOQ4w3m(YWK9%ikn#yKrb_6I z8mJmS2sxYDWq440!&SQ=*G62Dpfw+NXOzNrl zhr0q}!KLE=qS3ghC!|r*QDJ*vRAv)3jZ~u!({TiamGp&{wXwP=A}5}PuXZ1QlcVTq z!Y$=@YMjI1zHqL5`R4mj)->o!s0)gmwww?Ph@`8=)vMAaA&@e7Ntvz|)Ogr{vAzpm zve@$@TGhE*?M(1|<;V@j$WyuGN$6^oa{h(fqNKm+EsEY9yK6f;VGEt~AHbic2LP@qH0R)gCJWh%GtzupD& zXgceCnkp{Ff>i^9vkgZMg4CGvjr!f0kbmR$bmU1B>Gbkags$CP`qf|mS<}R>WhoU| zA$C(BYWVVB9;5rJZX4o+r4iPQFCnA-t5;@B3e}-nBQ=9=(2TE&f3Wb?Jq;Np$z4{#eSgvtx6U#U?;iga5IAkOnflnZVY zw*s>(qG4(^%snc`bM7tbb&c{Frl**1s{r;Q-sh>ZSP%Z_f1uGZmo zMY*?I+>K}9TOF_sDdj!9PjAu#)wbNIlX}RAUM3Lb-Jm!(o6!rFg~hM83&uLjih(8W zl&Z0(#|L^>I8yrggWFm~y(cRQWI1YNpV~)o53tasY^n$S47O&DYY=qP5-Dc<8*aD)N@0Bk7o>}A(JZ@A2NGOr%d)}Vpfl1h4b6S zu3dND({W`Afjnl+EO-A|*<}eRFh6jo?u!O0PO0oy06=G#A!#eW&Up5>61z*g!;Ksy z4{wxDsYOtN`>dHcu(Bz8@7XE%GgZabqUO{?Z<*>t)#kdImZfc!E3D9IQMvApZ{H6D z5ZERL9Qwrvf1)IjgT!5Yxzc-nbbIRqklvIYHKQG5I6?1M7co19{T#I)cK z^o9G$Cbi}5$bjs~1(fetgFt;}0Nv^bt{dD9*UTVtjm}!{?@uFuKxnO&cxjl$A>uoc zd=2k^m*&f?WgTqo&vV<4lY$0!KTPLXPKE4jz0@smhHBKGmVWpnQw#h$+Q=`tj;!y_ zNKUfGSaXKk?~MWe{@QAKW59vm@coq|ytT6zXvfIqQ`QI~<0Et(xBUIv`sx4Dsxpa} zFbyK^_?!^;``erSDFurD=Lao`g|uOmq(*E0qS;dFQ57XYR%%ajZ*yc;Y6BD(yR{_p zc9?Znl+xcVV9_*@g(*|?_6i)Jw7aI=l%k|jm)ivqbrSq*)&>Nw-g?aL+*sWJSWp7mH#4IZ-37E2%R_Vmyo^RAbPi9wV zjsY6Tm%qZ~vv_t6F&th{H5&}Xb>d>N42&m2<$t8cFA(8ja#?=Qa#8F0{&2PMtJ1jP4S79t>>@*kju z;>AY_%dGChU%j$N%*AypfH6bO&{^Sui=SfdX7}M0C_T}V=$85HSbTpMVeS}5Ag?f% zlr}GI`OpAK-FC|yy?MvGvou-Lz8~v$l~{5D@y0sZESs(P3_sFg$U;ra0{^ASGaV4P zW&(}^&PCf zm44i3CH({YjF2`48~zIa6^HYNf+>4&T^gIx>mvq_iZT&Ijl@DsOEub0q_)0ecj@7{ z*|D{-;YH-7@Teb=khedgWjQv(2_H}wJ`wbv;7cPQ4$?GmZ$Mh$xss5vdAD5D0N4258Tqm z*Ev&n>@?+9IXVEW*Jn%4`fBMruys0D`mb#~b?P|G6thadtkDeNWSBev_r0^Xy-hi$ zy>1V$CzCu>Q^mBRNr);~ENYOj5A;!;vH(d@&A*eh*q;U)ij{5tNBge0_L{WvYAFN& zUtox8f$q*Ez!$6@slJ(>Z&|x3;A12d#d-l{q?&E>Za_aC#g(jYg$Q|yoe5z*%Ho^< zy>^FeTeTVI9gzw;1FP@zc4NS?>H?NkcM^myJ1A|b(r7$UPu~7gskPx&K%)76L5*T? zt2yGv*jpG8M%Nj=7MGri^S1scsRB3b?f!nBd%YbzIw&b-bwW}J8!ApnUn*8@Dd!ZoRA#7*zGIB?LJAzzA z|0R(GXZA9|R1CXngtSjY)JLRI$A=BzFIP^RD(5>kb!xCQ4il9Qi%hVqiaa1=WQOjw zD;$Lt|8<~!KB25P^OVuCmyiJ5(A0O)vDRsREN?}KuSiOYCQf~w<%5Z{E7?l=#PLAo zJdUWa63H|EdQEmMxbox3E>`O$#-D_q!{s_j>#3Mpy^uR=m~>#Ao1PUE7(qD9NnPew z$b<^;(4NQYy8mfVsY>AXB+lNjXb>nr^bM@3ac+K5Ak(XfAv|ZP=iLbDe`O+sQ;yG< z23H2$!!Fb2J{0MMqpszZ)(JH_K-}Ha%ecq+$1%vSfC*}eNP&hr^(W-B=e~Ob-5?Zy z{XL#pqu#E{X6eZ?z?yspIb$&XwW$VDm1Tev%3j-**cjMpdAJrBy&*{X_*xv*iP5!V zPpSiUI=%`r`D!d3Qmc2>i1j>wpx5_%`g$T}hInG2vd#Z+4ZiroM7Far$Ik+j150oG zsWPv+(mpoKGV@8srfmV)dz_*WV~n84ttqMz$-V0!aP3^9EbChFAWvuu#yQX#DgCqY zmyIFf;PpTSZ+tQ_Srd~T!5 z{@7ji0|;1AcNdv3^sfliSob)NS&Ihna1@9HcAgOJDzNc zz7+q#HVxIwgzc?DUnfIUy~bH3&Mv?HAyy?NtlRJBA64C=oB+QBn$UL83fDAV`82+o z5T0BdZGiVt-6!-cc4vp&f2+jbt>=-pcumYsnYf#ozmyq70c>|jYr3LULD;urEpAHf zVlH2qzLI`~r^EPPB1TtnL3x)$ebk?JPaOE1_ZxA^QAwjakAT@v9itIr z_k=2bHeZ)&>`b85!BClr#3bH&>?CZvrZ|9A2%nTs4=LOPrR1Vy1UzgQ|7PzBD6IUO zAcAM~a{M-}ecDM^Cal0A+8L!G81iak;)PpOuKM^5?Itgqw@x{}=g*;dHS2UUW)1w; zhHRa8-qX!9q!VIVjI(twsCP}od}17Tzi&<{%;WLWT{6)81FfZ3jrIl>(*Ske0`UM4 zH@G_fQbg(*ES*laY#Hl8R6s+4`*(Cgl6-hp~V z9Y6AI*FyKF=We>ZZtI(2d`53q^ z&AvFwPxae+XJqmKa#v)@NgH_ezK0SZ7^)_36%4#z-J&-1qnuPA@}=}Joi&+-#*|<0 zlDEA^dlf7#v0Bea-ACWTqr0>Py+dH+a_JYHg4|rf#UkCO2#x_)jc@w-wXTAS>B97U z@7gGshdekv9<>e224?V0hz6q41TdXN$*1?=lEV-J<@X1Iet(}7oLGWnE+ngwZ|&sf z77TTb2OP`G1TqG6twJ5>D>0_=*|~^F)xnTTfDc)Ba)$f>#Dc@hqHcOXlsc1>L{4T@~R>(uHvN@Mz`l-%a2w*N ziDG2uXK2>Wc;eXXuRIDc)JNqSbd*K6Z$FUaujm1?=MCWXuDjsFb+D zLk8+ee2x%}CI*6ztiAcof-O<79f75jo;FJ70PzC|QSFxQUfdI`3>b_H)keqjVdmQ8 z5V@D(zG;?Dpag`A$Cy=^Vu*Td?qw(|T!(K!yBTA%(=E1WKg7GIBH62k@gWr zQ-6SmNefdkBTok9Drnk&k9S{k@@tor5`_T`Om^&>C+bGz<%I5&E~~yXFsTWa_t8?g zcAG6{y#DlJa=yfiN>Uh%JL{DL>tuf;d)rG89e{j8{+$L67X5B@3pXie!jb=05pvx{ zhS>G(sRuyTrr&+h+-_lqxxfo;C2cMmgYW;T%q^}p92EPxU92)sx-i zNCtfK4kQIUKFBSs5>SA8`x*RzT883hFvl>q_92$L1;llhx?}^4&^G>7&|kRk@uG&_=trKul_v-&6tD`ewr)z+xdQKfD~% zanYP$AY#jzN>R+0Jk6T)v6@%Xd_L|aV}7%QlmgkCWYpIlWMVX5R8& z4Qq&X8)a^Am*M_!bbCsVRt{98paa2x^f7n-!kZ6BXIl&7BB2Z@<3och{%-#;QeEOw zWCq(L{bmKMh1-x049*@7lCv~MievrL<@?uCkGp^QlG!`DL_W0gzMV|0ApT5PwjaDr z54YLyxT^pi>hDadZN-uI_(q|v;)*A)Jrkz<(0o}=eV}5FDIR9EGy>IlW@zw2!#H0n z&E5f_HU(E|1Z}_8Mr*PK33ZnRvA3c12V!oy`~EIm#|cp0HLg8!TVL$_e)L zh8nlk#X&rbQ|!9|YgbJO7NukYUza>~TvLiLw;$am81lgEEqzdc!6 z0q)WT>q6M8NCyN#!+6Jtdv-Sv|BwszJRSmEB+-K{jNjJ$Lbb4?#CyDZ#>xa;v#fP?S9B&u(3 z={uGk^_?otl?mkm9##dM^UtCVMyVp(&+S$cIDRueMpo=KnGYmKd`PVTNS_^7{pYhh zU3HUfpfuq|<-6w)DH^0)%|XInO!amMO65JaBx_ARw+&{+ZIIlfpUIP?gd^Za2l37x zYyo&rPj&vE^pZT(YBG97oQ&fpfy=Orhgs)Xt@T}zE&bW!{CH3+gtu~ze%vnv2oE}! zIL=yQB7xOqcy{tMo*z{;xZ=QXe-*NL2trB@V&}L zZr`rIDfY5$ev;6XVa@o0aB%~=ba=jqQMs3c6WoJC95l7~QAyTdJr!fg_J9Ymc?_l< zaK_w5BPZb^BCOlf7Tfm+*)Q%&l%FL0>0>qi3y!Ykfd9g*GyVrG}*iGKp@K6qbs%a^&SI|aiS~hx;;44D} z<%~`8nJOyTXT^x`0_JBNuQ6JV{D)iM6~4g$zPUZ~^#9Gyt_=aL!2p6A3jCsMRuueh zmpL`E071}a@afk!=f(Q^V4bn zc9=Su-D-5$XFG-k<`el7|LuMMe}C-#Bnm$NOv@mjP{c`Z4Sq zDpo^bmUGnjzr{rcU)fQbb%kgTFkrh7Dh;PJ20bSIP3)2U@7C_`1vp!^4IG8rEw^-m zDm93KRSclcspP<3ZcO^Zm4?v-UzOAwF`yA8+K9gWL0De(#O!hNcw`nvk9sAGfl42ziC@6C;QA&WiX0W5uUUFGuXr z)ZG;z;%vb(er68boI?sV0~ zf%BVgZ303IjBttDwTM|1-x;?F^kJmqEvncoX~w%E)KO)@5T6Otu{3yivDtYLwd_i) z`5TK9l<)LQJqnS0hKI!#xi@K*bn^G4VrzWc&UTq#xOl($l@mahuHd4LN*mh*vd1`m z7I4YqO{tUb?~d1-vmo<}L`u;FTOL z7+l`{&>Q&}$6ez?MZSUYlL%(s?I%q2ml!ViLYa`1+gV^xonG zWs;I$J5b4}Qo$Xk^g}CvDRp-t+ZxHzsmVnw!A^3)c9+EZj0S$#7syBV5r;kcV6Anj zS?7Lz51G7~Pmgmp8E8kyKhPq%1OF*$FJ$El_gHGxhHBT^`)8WaUW78M!1)`i9Wd0u zo135|I%KF~1z4K`ZImK;x>pp6Ri48kuL}E5Yd?Apolo4hcz^3dn5h9|GjpMX#}gOX zQ5m{%;^WI1fS7?{^s-Pb=xyr+UYmXGU@yVo)b&u7#!uz!1qJA`^fCk~Ac{jCDTr3< zC`}QHA?{-jWgw{49AQ^*Vx|e#!=#smp`t?=Ambk9RuObq8l8gr;dD#2bBki;Ujm8;U63zlcM=_V+1~&$e|4aX38a?xR51f~o=nB(c z#f|eX!`zzV!yd?#X9LK#s55N4=HiK0jyKn|)>DJjo2X47h-|DV*39^1RzaUd;CB-~$`d78}9W43pc z;N*L=0r_jHmx0-34Zf=hGNaID!!wa$A+Omhe51q`(q8lhTD|9Ln%S8iqL|jD3$`i9 zx|5}ow1NdYaUnXLQVPhc&{+a?6VlOhy(5xkUAJZ|BJnh;v&5FnG4N%eigPrIgO0Sc zJoKZsCHB$dK9RqhuY-|fq>x@@QnJ3>MntyO>8hG^9#@bw-R}sm4!g;|K!#Ka^z%w; zg$n+OQ5106WwxM%%s{iliq145bRR_hvL=@tmU#EnsXDlis+zzP@5K+>p$3-c;un@A z%`Tvw=vgHcZsm*uKv#0KyfV<=34?Fei!s`gGFD#doIUE*nbJ~_sjsKneb~|7 zXxGW5dCHi43C_Y_9Ey!!iVLNHzHfnWolrjSP^7e-A|t|N}nJ8}BG>X!O(oX~=^M6Ees_ZU}(;@r~c_2G7G^LKM(#Xz+8J-yOg;ON4 zoHpc^>#Zb^*Afa;0yM-4V?Qxu$C9Y$h|B6u^Dt`0Zb!;$FpSzp>T+n^p8M|#!2f8e zQ;)|#1NPlsAo(>zO!Qd2y$%R5@(^$qFkU?biY^WYe)aJMmGMH!l5ABmVb@!390bZ! z@fKEVc?dw!bw+m|G724aWj1vg)M;jAbC$#^8AY>#WF)1J2KXvCzXbQREk{=9+PkiK*Oy}0>3;l8D;iRj>Xl% z|K5JL_>7xk3E=DZ1z0e1#?ouGmm|s_)PYu!N?2ICa$(YBrYV1Hsdb2mnV{bH4vNo2so5jtwh zzu&nvJK2S<5(9%EkNtDi7BfYZ{DIFKZ@T8<_|Xx&@+VL?kA1bEoOmxN0vN!%v*Ipmv~8xrkNN@P{L+dJJdNcpJ&EK? z1T$}P)~Tdh>*$kVny9slfKW!tu5d22Tdh;K6)PH*iK)1d2}h#DdjL2i3=Bo7NEF-W zhpFRT3nU~){*p^sD!b|Pnoy|wngHUBxFZ)pwpTe|W9UnFhSJU=*=wMJusNSj*V!*v zf`e&tg~g5>X(%m1rv}gP=HAn4e?LsA8|52cplNk=GUP=lD6R|As+tKpvm6K9>OWF` zWYoape27Wwt{}u}@d@KMh6*%}1EF97%?CxVUDK?1n>~if2MEF-?+(3C+F=kMKJ(Sf zo^Y4m2I=>9^L#34Ci|^Ys?W0aY)|merw${xipX&LOs9Lh;YU})7>?m$jy_m)S`(`d z#A~czL-5Lf1sqo8^ij{ZiYdpz3s$`nTCL_$kZ^?Z;+yS)+p%}+gz8JVDQUF7IL$s-MA|Go@zVy$?IEf9fz`Rwg5-MidMiDY! zJ5vu?6d6Y5zs7jlx0uwha?6rdHw%>E<{f&?>)})|a|8vY{@&;X8#H`!LGxi`XpRzA z=dJuC3lWdg)^B3Iec%%k}9{8wAx46{sPdZRq&NTY|tGf9+l|cD9lsmo?dqwn!zZ3{*vkD0EHQ7hrDu1OZWV zxMKP5%}lrr7~1*1aBFUflfSAb%hK)mH84^P)ZUBMP1`hbBQx}-e-Baq2pEH?c?MP@ z7u==lq zf5W5S3TtM4=LiMRI*0D~9)JR~8eOFaf(L^=aVNiAnAn*MZAixv`D6;tPi_a=yNO^y z@rtv(hTQ2yQxvgM-U-G1`#&L_WrMlCPLptYtI$=L-(=eAvAr^00^OJdg4P~QlyE8a zBvM%Lf%fBo!w4SiJmk$sRlvOr>pv;2XeA_CuwI`6JK;HP$AC3al7jwZ86A|0Fgfp; z;VzAYhOPh(=z}fjlXY)Z#?mREiv@QhHONH|fZhVLC0wVgE!8#n7$HAy=xlBxaK-sxx&Q)S@8hur;5X>? z#GyNeN@D+pH{L4|FkgZZ@%ME;ZV;WMit#TZR$^Ow{l%a?kZc{XWlHxH+5^hoM#ohe z4?atjyPc4hAe|yJ9#ia$STFeL>7N}zYG7~!i4%yOVA|=AbCaE-Us1#~&`O&2?%Ynr zOT{2#r;GFE&RMYQQhTWohI?iY_P83+?yW{+zy5Ee>80(=uE zQdiR*stg%}4mK(XB2<&_okcq$1~d}&l3!c${rzHZu}WOzb*Je{72Yl7XiwW6S)}6H zo*)fC+9KpWx*ocFjw;Uiy9WabH-Zzw>|c2==VX&fzm)`GQ`T^|89Pke7wLzwB+#L# z_^CoTqHNnv zw05Sv)#aumjMm>uE9%TU3nYM{qy)(Kz4{wokL)4Vr*Oh~@`MzSW$c7b*@yfGtZ4qB z8Sbs1h}~qb-W@xwY&?qqPSi#aPOMOpeHE~>1TGm-8sB=NnKbB~4R)dWq;H>|-xPP! z&;%U~O89ico*3((l`#vZFLTNsD|S;`ig74|5~1qISmtX&foq)w)80CzoF4*wvczbb z_TE~)R*ngQy?3Y+)>zLLr)PJrrR^;&wE@%2mC^eFkIl)c4RmRbN{Dz=u zxpHyC&jvr^NTNBzhgJCQzbV6yBZ>tQ-Y#g`-3^>nsoQ>@99hY39smpzucId zVJL>e?5F(aQwP(_g`@3TAweL4`ydj{m^+e@3D83Y_~ z-vY%%{=HU9!VyfDMwc#D3qlztkH`5VM1kJ{c4UyMq1GI#TzKmnKklZSu1wpXw-}*0 z_8w1}totAQNi zeQ$9!$h2VZZa)7!+bExT6Z2~x@?Y4wv=N^Jk-M#lel#F7*JfK56kcXyh3Bz#3XnFZ z#?6jDBueJ1!H@hX@hPP4;|8AWu~kXU{Gg9DJm3~}LXD}rvYrC^IP zs&skdRd?yRq_H?jj1?({^`}Me6~gj)df#^ahSE^R59}D^(e(}kc(E%}Gb%&Qgylw4 zSV*>lS*mg5%h%J0C`3(cZWM;4O3$5GnPpS-YqkI7rjobd*|2&Oc#)DFq3rCuRPG5P zp03?Bf&U$oKH{H{BiH|_^a|I9`VA3Tx@oLqcZe)`|H+lTSii@$0|Sp)?d*`cl6tah z6nYh*<@Ami6Ej;#Aje95$2%(pe+wl=2&{_lrG=nm zz33e{5#<>5`1JhV#aG{1LwEmED~ynS4}G0ApW|OmpkKiP4nw8#hICh*iu~cdKYC$1 z`CPEPxYaGA4>x1DXk0W!kRzRS8+6J*Ml_6ATu<@`+03JFpUJNW&jsS#rx5Ux2v?aW z0wytl6WCXmc?yiGi`6{%keQ}FZyP0xKv;o>KJUx8v%SmJ?~#b|{sfOb8TG89*1mBQ zI7ttoGDmlBAp+oC?h_hfNqClmr)Ont3ZYfov35Q{)AeyoDh^oeuv()j*pP zFZAIlCa1*=wqg6oR;8Q$K^h48?YWzF+HR{o+&|s8Wq~I5BI`HlLFIPDT0SMzu(d^? zOrM5eDF)drG#(A-U-mJ#@h@gsFM{_@{zCe{bXPML7Vq> zcWN;O=AaYQ^IUvIJiP1$51(@rG{-j}d{xnuX-Jzv{0EQ;6Zc$vOom`76RvNBD<+ch zD)qA*QM{z|L_L$;9RE0B2^^hb_XO!XKnj}ojI|u-a%p?waBFFqy9&fcj>T?*zf{WJ z;z$fqeooUzMV0ptF$!p4s@RoJ4}zJOy@i+{4>R<#Ks&G<%>*6HtC-EyV0*2IUmY~X zn|8D$whEnc6|-eaNA+4MXDDw?6PaNV2*bS`#3eqBCtmT21ql}3V?E!l}E|NUAL)0;VEVtZU+IRJDi(8a{aNGY) z51kN!Wq=@;dvBGJ<+FfDOkk%euE>M4nnTsZ^3;{ps4*Tc5xxrtu6>4+5+ZY;EHI08 z!@%rk2CSXGQBVQTK!MS2a@{s8-VFRx0ln;C{}#Z1Wme%d*oue!;y7!tku=G!{9_BAFL59l`(Fdfrez&fswX5>VM?-y%jmsu{T|pQ7GdAb>|{l6BQw@KNN*v- z+dfR7cX)xrdRiD~F*mfb5tlW<6_be{K@eYB?mma{RR}vChpR&uzPz)lF&2P>hOXMH z$@!@#8$xAuS9hG^O8Hzt9(4T=s(5VCxNH{JCr+&#rnVh(|FmKJbL?vx*e9OmNs0d2 z$_JIBcWWGH%ns`KkTUxJ8nMMST^2f^M;7Z#5itp5PKd6Jn8}Dz5**I|{BJFBJ_lA& zxU0;yZcUy{15?SzqbgFcH<|vY*1RG!&S}= z!UKkO-+S}TLgMFTrGkPmZ0@rEx z4w+hi_)Gjm`*j7$+2;x)M|CN@CmIq7ww(4$?vSUoxhHT|F|47cVE>+wrXs8G{ z@{vN`*8-3uX9b`jZrQU6HsbIiUjYl$idLEylcnQ`z{O#OC3e5WXHWck7s`r3sKouD zV#X~{su6s5iDN2v%2V0`_#k7#D_zpUze0d+YfPM8F|q8^g*@dg@1z%X!k+g=T)uuvZK#(SEffIC z7`1ND+?|{YJuX2cE}8(LQh*;{o&b*VdYhXNYI}`lu4Gmt`8;jsF8#Rab|HM^Ayk}+ z65CG?HP*1i!-Pu;Kwc3*hpbUX<~hk^2HOFq1xbJ(bNgpwbRACv{a?K#elYQ^v|CQE zIsaaImC3zZgAA+IS>Jzrbmo=wmYU13=gqF@g2gKNz)u)PNG$f7(wdHiaW{LM}BGlLEL zm0=)TUkxwUr> znSq%yffCFB;t^I}wH{E)7 zF1}^~^mNLPW&lggP&u9-vnvs%&qK|wZx=>}t75gZO;iME5IJN9SL$!&=7G*q?p;*f z7-uWXpVWWj#AS6qKJMgq5Hdu^pA=VAkb8eZ!?qMCweoQN)jOHXq)eiR;jg8lDi)pffH{Vb)Qh- zors}|tMG#-RY|8&Y8M_ngvBkD%hdb}pO~NbX}XO0r84i~y#n7qt&2SX@J-s8PsT>; z`XK{6Z*@I(a5w_CL7-0LUO3V3Ru1+gEK~s2(qQwZZIujmG>UJiAr!#$(lhEb{?Vea za{KIhBc4@IA}=wDsZzR13X6GT76@K@eKs(%B6erXL+@LgEx<&idSv}qNQ<_pJRYIC zAAVIB+P>-k$J~2IHMOq$ze`F8Bq0gCr+|Wr^d>z71ea2*pws{&ND*8jNQ)#PiJ}m! zD2iYMmA*hwN=yP06%|DVr3O$zT2PumGVgSqz0W$oea<>#tUJcOzxy{~&bK`8Gv|ED z_oJkwrk7%~cibv#-2-`bcn~_d>U43?F2uG;fqEZ+SmIBi|0X5E3`rNHe4dENiyce4i`R zvxmkr!jNqG(z%EDIV*gOjV&|?BB<}O5R2@d^{9m`aTwE2Yb zG%k8P*}2_&Of9}PQQEmHX1x|62o=h1_J);fz1=cT?ohnqvSP;t63~Z8#?^F zDG!@$Fube0A>u&E!f$!XkncM<5(!uOic9c8o%i4=Uy2EYbEEX<#sTa~tIqI+nYrS>SkJa%w zyK0|4!@sg2SoG6W^p2rYr()Ju{Zwn14}QX8#Td7AWsf4^z1QLAQ)%vP zg}OBKfI4~sQkQQW>fA5`q+!r?02mEtAi#k{Hkf!jVgO66DXb04lcj8e~?X1L+XOsF*ZP7 z=>)!M^b~5}u2Ele3lO7b*z6Ub2N-a9G(3UCsbyIJEHzdm=ncI`p!gDNz|R}8+U|5r zL;Xvn5U^#5~kE_z=g}j}-esGKqd8CnCO`#lP}-2e&$cuFoids62`{CE1vv0?6cS*0j*GyKcygO%dVGhwmVxcBb}vJ1 zN9ANR6Vm6XPz!5d(0@|=+i-VRta-?M7uX$r8pXJpt3@764A?I}vpl!r<=m?B<-5ER zy-Y`5K)|264h0c<0Pklf|vB#O;qm9q+e-T4yGJhe&&6PmFC(#DM}` z&R5XQSlNxr&d}K_nq5HdWRdM&-YD$kVehC&GnuQh2%oeJt<025?IV8C{&I&$!S18J zsI2L;RBQ>0JJT{VF}0~AgF8G&Jz#Chw!&F}6dR9%8vbj?=`zS=9cC^flO!SW&WuEPG z(8i+y`*aCJC>SfEYlm`BLG$Gl5l}9rQCuFpr`%6}er5+38bA>`3t!|;)wNWL6H>R0 z(HWq-r&NvxR9)I2d*Taqg~Xw_T20xq zTir?;98Ssc;A;sYrzl8c8l9D^(;1FLu%M_&gP4O0a<6Ff(YLovl{J{u$b{^Ey&jtz zhowrDvkZ=*xM(ht(E>Ma?~EGgD>9SZAlUKb_?)^VC&B4!!V!(WU&#VX&t$v|rD+dn zo9}|Zw>n;0%@mY=?G;#Wot6`U-&1=Dje}tFHLJ1P)imI{Jtl}y%%23q7GCI1OC6PD z&9_!BP)nY5?EPTmQZcND&=u#P16e3`s8FO6yrxd|uNwvW((B&UARb)r7Tgn9_v%#0 zY4ThgH7doTmahsQB&KoYSm0JgF;5?wtVFrG9O_)?Bz%UeoZ6+!r#uAqE>B^*7OHw% ztx!%Y;`fTi2OTTa$mt8$lSx_VLu}r0=@`1=TroO?@|4Vu{?Rt$cWkb?VnT`A93|wv z1H;OOYe!X2Gc%k?5KC&H^3`7ImGAX@O%;wnf>V zHYwerl685aG??}A$Y)Ro;o+&-C?UrMd5F0EAv`k~6>H1ts*Z*zr`&~~wv3*AQV=V3 z%M2`cvb2)^o_Pv@EBnNsH#gZ$SQPnvCgE)KqN*`knXRbb|8UT1xdO?4y-^hzopSNi zI%V5vPa0oIe%d)xKoxyt@3Qz>c#dzhz^*DFQRs?iWNuxvtgE_eElAkDv2q(Wklz$U z)6~kF3VEr$itTj)^Lohr@?dVZv(|1Nz;uf--1s+$L{Sn${92OJP<&N#~Ui25%*Vjzo@RRo%-q?GCr7#MDf;>gH^{E+ks zX3y%}@Am0mA`BEi%s(z|utNcYrHs&e4(0m@=orFspMEnGtP!vt-sDj7y4s&);O#~9 z#WmlRmJ*o%C*XWs2VKmTE zFtT(XsNhze6$6h#HWndL4SQv7B zHn}{yYTFE^7Hk6qTL;Tw)!ya=3hOOJjm{6XgUtssdUB>$P35>OP)S>DSIA;7almU+ zC5JY>oWX^LI;!DR_skxVmM3f#5m}L)s-R-B_$x73;@B-q9<~B>1Hq`&TyDz!jJu69 zMPMPeGJP3>vX1XEg8j(+vIe(jYS$xP@U33LJO8+IvdoUh!oy(5=YeWNPI=E(bsDI< z18QwaWq#4VD|z;cIr3^?9Zl$UpKgGDYe`8-r^@b%vI2_^0y3RPHEl7jvZRU$fOC!8 z?RCFO*hwhrBg5Se3wFt25c|pZgMT0ru2W0EP?3nz?MZN{FS$v`7*MhlZRd3#_6Gg3 ze6FN`QVj>ACDNY{R-KE6THFWG1>?SB31@l&$yb5eTHZjU#?26pzET86%uN(Cb!5}s zXM!78_719j8e2{@9#Uil3M?lWxeF@O;u*1aVu4J~Q7|cGQf{p>FM3px71s@cJ&`5R zoG)UoRqfzwwi+wIA27mA;d@O!S01|bE(dt}OLCMZylk)Y<9^6hsv*ixyVGnp+(^@F zu|K{u?eMdBd%4Mt49^{im>NX=Zy~ED4XLb;>htAU%?qjnC&!a&ncT1lwQKTKMr7P* zG8z9cl*RG+PPqHnIEuOb@qzRr3U4Kw?P;-6H*p4}O>yBH;v-EiwB<`rVhizjwcy~D zB~aNcNJ9hOaPJqa#DAAf{}0XHp91M-W)^?aN`CR{yB(?@gWB;j0Yyslk z{(XYXf86S?1&PhUS?v6;!s*-KHz{OsJ*atYXf^@_fDPAWEKjFFV4;LEOOgQwcXR^_ zjo8NJkHM2B!9g3NqoW~JoG{i} z`mRygP;UBQy;w0I(^vxF`9;HfBGSM{Gqam5U`yPHnN+n)#-;ChBf*2-z)I5K#M<9nTDEAoG;2RQsi~Y1^$cg*z-SL0RZ{- z^3-@jMSERc9aXvtY|fR@mf;D6OKFawNYv9cLo3L6j$x*upA_uWP(y%`DlDsebZL>eCWfgcvPN2?v z#1X}8M@1<|fV>RKI4m(Tnm|?tFCtu)G}9U55WH8X@;-3$c{({5SlUMJ;iMniC=6j^ zw@I&n<2SnrPW)GWkV+78eFpeFrbGYE^?QepHjzcZ0(Vi!*_2B<3{Igc_~#A5pFYc3 z0k8c~B_B6&d)eXg#K(+lY|-=zIkY=_A|jhATSoK)M;w71cm%ewcWg%KXHWyl(Ul1E zUsjX)XUo6^dkju>K>I+t;}U+?kij#( zZ^-FVgTcU5Wd%<*o;&OB4@*Fqg1D4PixT%8$fl34GLd5z6(_|{Asg; z-r(NvKcdJv%0YSq(Ti;bGV_5!Q^{YQvjs&N*OQ*MrCFp10kDnMJSJgn_0 zr3@6an}fxN0iY6?Se^{Ir1M^b2LtU-HSGv!#krus9Gp8*Nq!}{T!|6Y$gd2-^=TUy zMfp-oY2fMM=$FtA$y89gw}iG_r(t@*f-cjx5L~PPx+8>AGfFo!Z;fg@Z!^bVu zspR@)vGYZfD?)aKkL3&MmTGX{)4!=A=uw6IrJe$QO$nsQnKJ>f=yQ}+V<2&^4()Cmw3NC~fl+2Iwy(>~MC zS`uvA^E2mWakW6)$XfbozQQw-a6uKR2c5rSF()IuI}#u!@rbG=WtkP!KE4cue*CiPz<2piLM7 zJaDcxTmdL5aULizadk}eezepL8X7Q_HEFE#9uZ@x7GQ`<0XackU7rt(IZ_ZS<4(bq zE0q~NL7;1z+*GE)lLnbH7sPB)9Dy;@3o8bI@1zyyB@iOCmNAL$R4XYdx#@zWVQ89* z;0pD7i~#g2vpUU#A5Iugg}!&bsP46#3qEAlgyj(g5OCALIyEQNw4V=}o6U*fG38A+ zS5O_V=m0BVgx#C{(_4T>=&Q99P5P#0N&24e1jo>kJQ)F1$oV171C4Nyf55%2XR)5a z^ZVn+A%Oq`T$J1MZ$v4tKgR=u!Og%Rxf&z5zO879uHt-Ay1gB{O}~>2bWanKfjU86 zaA)qKIK*_ZZMi!j(=b!aW?NynNU_u6GB{F}_e2G(0CL(G{3m5TI@yN`)ME5id4+?> zm8~rR^u?Ja?-dcb8|Vop_sodSlW6r}JlO*A46}+22OolFR};a#j8_GZcRP_wN+oAB zfi2XcIbKkHckUS84g_Sd7n>_9TT^FRmK+oK3ai+~8z-JhP#;H1M-9KmB7V94acW!k z_AL)jToVIyww6gv^kuq-5)$=1voz{go3;;dmJPn^l1gKT6o|o4*|<^{7{*{(NMxd@ z8gn&=V6jG)dTR{ikOx1po=Q@zk0%fb+$aVc=#c6MR>&)G<;0~Nn}PasKK|$ISDd@{ z=|HK{)*xhq$g)Hxz_Cy5JG5Sqgr7PITqID?2v9ZKSDpw4if7i9DKfys=})(2AU8NO zGXr8v#POQT$gcVS8A)diVj=zmxM5~y{hxFk>p$qZe~*p>VpsnOp7`?}@89@>_rCz? ztU;#g|M7Zv0{Y8||LGO?pJX75KZzTE9}5HmX8$qq|Ldp`Ym7iZ!-ti;Qt0>#oFXwAS-`0@&684`2+s^_iX(qj`|-n2dOIm;0yjcXAa)w zFTZ6#tl|G*?0+%yKYsRentvMmADrvIIrBf!lOVVFA2SC(>i%te4&LRjXa3W7{nxL! z2TI}ZB>v*CoBq?$nf@o^_9wIP??S*#|Ab5a|6uF?#n1iK*8g`u_XouMZ*Kjc{IfqD z-M`c5!E68Z*8hv6`>UD%)6e}EqyPJU&h&qxN&P!vqW|m6|HaY$mAU_SNB0Ms{%`L2 zpE#*MBRBuf;|^Z?FZbN+UmV@K`%j7_e!>0^5B5KP`E#cK$q=(P`}=V#(?0`O;Aa1S z;N}0fR~oZ_@pXS;@PGX5=gj{!c(eZ(PVUc_IU5U`|D3zI`M>kH|8b|k-u!=Yb?b_^ zW#&hz!cXWMssGX$U3ugsMJ1GRz- z_JsJIeZrnZ?DQ>FZ4VQ>FGt`ND}eK(%CAnx4`+L`lD3gpIp`+)!>uug(Rx6&*#8Y{ zBl$-TSTQBmQ@WZt{^H=+b{wizEf0H@uVrf?E%R7N=M?pXCih-*7WN6wQJ%*0r7@FK zUJ5+WccD*<(pc*C4Q5J_a{bo9!@VC+M}aw(evUI|_&q%@ zV4AQ`bY14y^SOepgiDg+7>OKQISClp`f7U+JDn^?xH~AVV1w;{ftnsL$f94Q>Jauv z+SCL6`@y%>^!)w!Zuz7EWo7&9X&d%fGSuF4@dqFY_vbk?m)P|q)B`3&3K%zS+qAz6H+ ztOO0*=Ax%I$gsi;U(Ge1C41)Tjj$YSp(h!cs51`~syMZTnzdix@$KO|=9m$=MrvQQ zL3C8?zUEIYZ0^y8b_~L@q9fv(Yx1YB40L-R*gBi>n?rAfZ+h++Ik7)$xpg0|QA(UFQBXUrQ?L_v zM4j}xdNpDWnoA>YKy*Y6=SM>~7F8W{W`x|V$C%%vXy4CIZ*vb!BWmq5HI|#B>XaXJ zj@oXiqz>ofZa^a*kC>>hkzvI&Weyd;ztj(K1&I(g?&PO|ORN_<8wm{$akE-_F%YIz zux$LO?-Jrx`vWm+aTiq2Z$>)4KpyfN ze%}xm4Y_`QRKxIG3kANZl22Nity7(ubTP^XWpz*+t{yq|VHkc@Lw?m-1J8k<7<}YCBIG0j;-%l2ZOqsOFru7V zx|qy*MH@0i1%1hk=Qn71Lu%r+0#DC6^if+)rSknar)uKko-=9fM-5Fb@70y*A9?!j z3CH~{wP#cvBS;q#pIKFQvkpJ*{vI`|i9x)3kqx<^B_WQG(_>(BUyS)e;HIb7SDQjL zv^Jh9h7!{c1A|?QGf_~-&HaYdq~#R8mBVlbZ4>I{G<>K!CIQmwa#Aq(0d8sP3bmoK zf?7)y?7pnoN$t+U(1lBZ!D6Nbdz>-{%fdS!&6L?ybZGl1G+~1Ti7RD$dj~CMbNu0R zbnehckJzzHJ&r@(4E#df-S+Sld4RZEm7ioX+Al8TZ}42kR;mt*=xT+Z`-@eASiA<- z&73VZ>xcq)eK8cTG>()1oRFdH(Jp(4_zak86U zn*aHvlF2u?(|0Ck|GnzeclYX3GkBZLonFJtgJTsjLEqUwkd90p-LX(z2DUd0>CS0J zjF}yw$WzmEv>WeOjDQ#)AggBb%qn$TUXTD~oPMj1%X$sF$wzxBWK1sVb|Y%HF4@Ak zzSqixNuDZ94j>xeDnurkE z7TmD^1X8A7=Gw<+sTKM78!e8jCv|Fx`d7-SC!+qObkt$kW!G)Aez+*le#*c-V&-k+Z&LMbK>o+t*f!-jVilpgG8uaK~=2 zV^b{1>(~~G{N((5oKgd}_mBo$I~hNICN#jNv(Z)i<%$tDex~?-q>KF0ZfjR0LX;P{AFw@T0<`vvm-CTj;1+<0d!y#s;RDjgnq_NTJ$Eh_F=G`ThaFC3*yG%P+!< zg^G9jc^IFTEJG_tb%cH*PwZA;Twjan37{nuzQxP_lKri(nBxu6A{z6zNx>_ytvVe- zzN%KXlpPnly5XR_5;1O6Sw=T$q$gCjx81%z?CV5jbk5z$C(V=TB!xx`2N!90LNh}# zms^T2BCwc7-R$e^JC$2J?ZA)mU&M10(3YW_E7l^ zw(WkLRo@=<*6H#c(y0o1OZHpTn0YoihOR%WHs3)|ws0+zd>Y&Yq`v#bzm&ja(@*eC zMlIXX3b4mM`88AD2eaJ^ji@5QJ!H}y)hwnXs1vwePT&)+!oZHOyb0QGFgAkL{#se} zVFZ@fcA5SJkv;*d?K}^4cx%#y_MNCH?A5~CvJRdYJeuzhbYqK-*i?TT`c~Gn1`WUqwZz^@d&S=yqHhBgqDlekO?;mGW`s58a~vMql>=Sy)|3n2Y1-Ww@gXpI zKD4cyO<$o}@8)Sy2v=#;23AKJBhACTb8Bg~i(!uDw)Ra=Ox3SQ=@zGu_KskCh8@vG zrs&ojsM@eLf5Xsrad2H+a&maPjGm*OFAjTPp7jAu6Y~wOTbd2=1FSp_*eypmD#n)+ z>v!-Y+D1Iq-+9njMxm{Tch^`$LXRu(Jf{cE?hSr*SPPwq0EKjP z)6M~5#}2+Lm%8k=Cu>JD`aM%E1(N|SS^S0oOP{9rO}!aWj<(=;rjCm2;EtP>s2Vblhx?}k&DqB#wc_8&rf!0X4MkIrioac>#jfG z=UAzf^#YdB;tvFp6$gxWcgfo@(5%JX&&WTNpC4r&j8Dfg)UY&<=~e>mQ=6=Gzo|CC z6s?k)e|G$U(eq{NTKy98&4TV}D^M$LKY zsK8dWW2o5N7Y_(2ykB|P#;Yrk4^x6HY_jG+L&Mr`?UoH+G7dA6r#v3~NZ-z>npzRf z;d3zQOQt&>*`5D<+T$i`cfy&^2T%tu8gAiU_+`4MANxujrqi5pO*(A#T*Y1q|2^8} zx+bw*$9JrpoNQb>nyYrWG+|^BcdcQ~vYOTU z-J$CaA6v%T5vcIB-s9Qo$x9LMAdJ-HVULk>DUcAjM-qz1Zb;&=wnYPk?>A zp4>E&OIhEZ_ZE#gz3J-%RR%`>t2&u+SV2#pOCn;a@AY(VRf25*zw%&cR3vG`(TVu; zY1JQNEfi%^p+s4;u-`-6wIbOTEg-L;h*V zjw1>Wa3WAVct%&ZgpFBh3^vN(#xJlq+t}}zj00<)@(LG{aRU*Ek94L()raxZZc>>V z8g-CX9LZz*E}n7Gb6U^lzQY>ZeSvijdq#pXUuJhme^Bo6PB}j^tXEVpYFD$l(<(Nh z)Tg`Lv|^m76@PM8`OEYsqpa=}S<^cj(A=tPsqX~}l5VYfoB)O5Qs!C)dfn(@0`jW} z;wS-FAJbooj&Ir8Rb(YQnl!JO(j}9kc5F{EP6pFDrC*b=nPcK3Kgq z)BS#Q3L>Z;8OF*9Nc-rjpwnUFnf7`VJL(>utbYyKvpF6&2-DJ{WRB%8U>-Iz z-a(q{vdCWIba&OD@ft+ve_taMJo>||10KdhL| zon>SXmQi=no+pWJH{orE6MEmLMxx>ML38nzfpkSB!@VumRyS5)V&ERXQZ#oE+y8#b z!ys+iVrHh%kE_h0M24J`Y7JJoc(7_zAAcrXARP|3VX~4!%50f4PcbVy?SjtQhfFI} zmIe{-K6Pqm&75qB8SExgpP@|J1sE3F3BTE~@{9y|{wI9OgVE=0?8a8$1 z3l*z$cXGqXj_SdnYvfjXl|a0>9Omk5p`{-G<{_j+e~nq3f5Y9DcdhjH6ag8`Qx29g*66cyYw0u#M4|DaqiLR65y~D$Hm(_K zkw~>oV=Az#lp6F>_h6Z$^9VG?DKE!MyRF$$c`IcD|4^U~vctqR!=!CvQBXxXqX+-# zQZy2vsJ*tkQtv@ZrxVwUhgKG|Z`yEvlUSTgoyF-hiTW(t^Ci&48PCOvKqLYz1-cu zF^Sk@Au+5-*-Xcqw_zLR%_BmRO%Z|uNzUC+BQ`Lv3TwQZg=9Sw4pYeWusuTR zJ{j#RA!C-wWnb0jQk$W>A}@9BeIEaW=sb}Ut}%(NUO0mRyT}A#x#S#enwsgiLCQw& z72Z7__b}0U`etsp@A5YlDGxQlrdef*>Id3!8m{+|$+FZZ-mn(c|Ku^m>E66Xk1iy4 z=jw%y4RHF+=l5UrzrF#dEX;BFsbCQc@Hvr{r>_D~!%=ODD>GYUq$ zG9B#cD7%!pxD_!#%}vd1`l!;dxT?8uS^kIQ<4Sv7=Pzp-cE3hS;JN1G^7*XS3%DCM zY1$Mt9?5N;cB}0%)gjPHOirs)E_6f`OzG7kEIjZB!c`L|xZ2fXW0t*ou-=#g7&8iN zCtT@WXRAg+W0*&IlXzPi#S&#-kCV~OP_*uIkwTBNJ{+W>CA8|%JEd%usPo5k9Q#i@ zez3nhFS;FleeY6|UydQz5ChRG@$3PIY1pg5FsoA^}Bt^`8tWfM_P5aFv z0^I1&lwCSr@s24E>zT*68lecxTdjU19mKE0xF&PcHP0lp*Bk{8ibW7nP7w#K#@+aw zS=18+HPzGsV1&J9uom_wMnAe`jJ#ZDbw&}_r{6;KT#%nbhm9%+N?wwJZb6YGVy#{$ zz=P$@rb;eE93Qq)bFB(EM^oGM1H$lr>Rw^Z923Nk)Qwaf2=L23GjgAd8o#;a_jGG3 z)Sgk~Ccfg!3m;0Mo|i}H^OyPke7$h^7XRnekwvwf;laC_ou3Tizb89=8dNxN^IZ{m@*G)*|2(S zO-5CZQen&L^>_h<@iryhROd~25*Wd}I2-E3$i_rqu6B-ErEPwzgtxJ*H6l8HvJZvk z?r3f~0y*>=E|0r)=*3Nt+oTs(>%!GhFQwuFQ1ndMzNRq`9e8)?X2=ccU7()K^qW=F zF6_RYaE}V~b2XoP8f+OHac7)AkS*&JL%%wL^3wLp%LUPx#I1cVp^rWcUzdH_PLiqp zA{n-cyI99y#yJp97BJ-Gx3+&%o;&a3l|3|2Fv|y!0^ahB@%m4g)fBBHeq{wB zb|rnBc93^Ld!Z~+lNhs4>e_Axs~W_R0e-pDhCULT{{2}W_x%%Pc%~xGZgs6}R$Try zKFf64acyIwedW+_fXyR+c?DLEpj$zT^$yyB&rlb>_QsJ|Jd*~sEU;GTB;2WP`I0DO z>+(i3&G_HbPR$WLM|6I3dvzVjugEpnbJS5|`nNoH67w`#TC(aMTD3r0s{e|#Zl<&( z#J6jf)pmYWAIFIC!9mSPm)2}wgsQhevAzy8FBo4BVtgo8=FoVp1~$(#=`3fjv35^$ zfyH>2EHvnvyk;JcWlF!Uu!ja`NqVJVfMLs<+nY*+EJV zV!A0aS_exb`gOA+hj*!Z*AZ3}9AOW%)5S;{zH6(Ch{!*9BAOP7?%c~k;MBegU}KD0 z!710P0lVF#WFc>{zuo3XR^Q=$kV;s=724L#Kg}zyTHaU#dsLF}rtbKcItx`+2OiGA zsAOxgM#2p-mk<=)rCm%EiTU(tGBHB9<-|KG;kof{&Phqmby@e1jXmtSKHLYLURI&* zKHOVJ6V?VEujSy1T4aaWI7dS9rE7T=H?e#m#}UQrq{&6Vx5Eb#c&M>c+2rMfM%UMG(F?s$dbC(#h7o)IRKRc{_e)7PT79I~`-GZ8+| z#CLq})4;k(3}XskLhc?r8RVo74i zIH_yWm5Hrx5@q`l;m3R-x75()SqW&AYeFmbI+f4@ZCGFMf{Oa|sMIek?=YmY6n{0U zn1UV)w^SOaoIQm(tr6#_EPg&#AL;g_^`tt2^zoj&cJ_|m2=OLVNGfT*9ZPfBB`orRvei_ITHfgPZtv zFW0w2Q8`*ITJ(qT6sWnB`-|ZVqAgUgYOZK6!M{?>oC!Dv!Y_Q0Y*_r8gW8I}bNI7E zF@%}ZX71S6^s4OAH^*EPE??aV9Xeub5FYRACH#$abtpT&2YsPQwq~aY3 z!ya;160&U6)9_fKPtC)$rR+Zi6rRhYTN>04b*A2Zgr^PxO-cY5I zYRO$Guk~Ba4`E-pe6$;yt_)O68CLKkEH7%_FL_dK$P8&u2v6QL{&C07jdX9Wbuq4Z z*4?IrbQxBV<|4|p){7OgcPU7z>O*^o;+xgp+7jzKcXvb=jR;}_ z(gzxPUfuqlB3F?sWSx=X$pyYIT-2?B4K0X3np3$?*FMPo(^=wmPAe_%=L`*qwH8EE zj+%^57j@4!;yoA6JNao{I^FKf`>4oMU&Z&?doOu`f>7-#F8O|?V+Oz740flxZ^u%k zM@D2X?N{@_%Tl;|IG3whZe9lai(Vh9E172~q2=OTli+HEVxJ4Ai(6ILb--;n8RQhm zN|1Ey)V84I1em!oVes4dA+(7J#{nBhcb`ANafT8F83M-tRV!-_8e6R6jjY} zR_06a7G|0#F=&teg4i_QNf ziTwBL;!OW6jI*(@{xgYe{qId8gLnGtB=WyhjjSt*kfP&lh`&65XYsIBq0iIk9;%P{ z>X|A^xN}1{%3`S&n#*^`c8(nQP()4YGY-|FIE^OB1lb+(ze9{UaxMEglPs5N{Y{2G z^0D+7%$u*HHVne(po#d+(gWsA=^0&BY}mBwl3mBC8Y3b zp?D~cn#c2?=jbxAm!&-nleaf)#8#&TcP_ODkg9!WS60x;LBoR0!z^AAZMO-D|KKx4 z1y>7uwD|!A3=y&-)plWGe3vK5;0U^E33t}bvriRVI7FT5+pwRCV7)SvIVs=s=H&FV z6ESLjUD4bpJRyIBJ@-93t9%}JHLWE2&Us#=&>aIZi7||WnF&p~990h+0`v^ZUSmKb z8t&=_LraB)>K?F70$54Fm(BglxQi2r2~e)9{%lI}O4=yOSmLWwc(NgO$$kJ0KT+)p z>nOn$8m!y;M9EGn@VDGUJp6~xsVdsvue2ro+O~*py|BoNPN;pm85!FbNcJ?H>(r}R z!mD74QIYgMlszE55S~;PQmqRmS~cQmXZR6e!xoJfmpIB2TZV5TWzy<@ z{q(x%j*~dpvpjv6u&>p(5Fz7iZ*@#H$Kss_%6rk~(8qkglDE_EJF+WJndtEge z|J+ERNr87DAz7zFt&?-RCr~tNrZ6DXJo7{Vn8$8&CC-uf#^_L|bD2_a2&i2nZFvo> zD2h^=G3n8f( zR}O!xA>b7EwZw+?NHtKumu5EU zQ4Cxhzl(4W;B^@{n|NvQd}_RzF(SBPgZL)L|e)BV0|_Q?w_ZJRhOzH<1IRok!#q1=z-&H`9}pt#oGU zj*wg!##5&QEI{{Yx`t7@B@#=$4nKiQG93(!CBBwa-0{sW<6&*f7sd1D*^HgmHLwpl zM=1g}B*}E_)X-}k^gv)|o=79yU9rLK-GRQ{(<4^rAkBms=dJOqT>*hOXQmGmL8WxHxf^exuLBj(KnHoe>|vu{0Z3yY!(?-n6Sx;)b}_ zT20;*&!QE72#Ph7B4L-5{ax*SC`NC4ZqRIsk~k9Gv9~Wydx}SnIkJoHxq;0HOTx}7 zS8d)ClL=Xrs|-ojI>G!}tB2tSh%dJBE&f;yQR=)#C`~I|dvVtm0`Vktv5`5@-1CUd z%Dsbsa4T${zHwH=XK6(@$1Q_9dGk_=u1?2-$@h@oHYd<<6vm8up*HKfHwd-(J|pLx zO`mUwFSAIS+E(Al+M~7G_jT^OIhPh-(N!%z?c5+DAgJ&7tKUdWn=48_VZ=~(tCL(0 zGlVMaLqh5)>oyM^n4i8dv=unZ+i<9p(KN3?V&>7Jm=SX?)&jJV9<#`#`L#Kybmlj4Y`a34P4?MgGz1g!(%!ItRL696OREFy z%ps?k^nv$w%*Z%sQj5YVS^G^3wZoerxd{i_a^8Yc5_oW8PvHAi6)@sT*=xdP^}a9} zdEJFoLy8mc`a%f05Muer5f?>37N$7H7zr(P`q(*WWa)9f2Sten51MHM+ zsVRzSOd*sd?F_r5q%yl*DT!hjPx=7wZl|yxR8LZgXF5r!++#$K<5xWFsJ&g->Dvzx zs;15wO@H_51a}kvVC_N;GV-dr)t($LsQV0G zEh#WJnz@xEws&d=mO`g;SA_inIMr1{{q^K)8tO1b(EV||7U%LQO~;$b2ze%omHQxk z%}*jH;fjY}CHY@&Pw+Xvzv@|>PH@et3`IakY}FJ@skvjcr* zc({uz5Dk09BL>6VGj|y3B?`I}7U7L<{PS<{eZQ$KsJhyWqQxiyb;{;|18)qa_1D35n`MxREvdq!C2W=+u0iTV8(XWN4^dsu-lgF~8Eh zJ{Jplyuegn!abDO9>yz-Mk^gsxI2F6g7F*nugyOOC+RW`%Zydaoy6a_s;>iKI3bH$jaNm%qg-LW82heJ9i_^ z;p(SI5_+hSfGBJEwu=!@glB0>U@ua~T=ZgEO7bmNACd(Jlca{n3UUcOekm&-1E1QO zHf`1~Anbo&h2eWpIWsdt;A#zBqj8mJQC(yhkRb10(X$ojkmI`g1%Yl<{ z+wh^RY?~>fmS?Ef0W_4}uF`vMci6yaO^x;{RU{0ani>&oc?Z;*c5e6#y33LbU3DW> zRi{x^VUJgbBVkHs*qMo?c1WnoswBcy;g3GMWbdIE@SHB%oI&}Fx*Mr$?S5@-u_XyR zt+vd+Ik$8H?$9{dl`34YA40|Y5Nf0c?M^GmW^dnWo60;T$|t`|bf}8FdN`Zzx0t7O zrgwByIN)ZtcWooCky4Cc|xb&Kt{mYXtDA)$~m6(Ul0po$A= zN_el7p}YA<$%HTBu%KpQiO0j7R#mi!5t+p%>53-_aLrftYDQjnHPnL=KFYgtX z?#akRwFFk>Who*5AKKmns)?=L|36YffP^H}03i(oRC-5hNGK}J3JQo6rAiY4X*nbz z2{plTL_q{AR*D6r3JDN97Nm$k0I|>l(p&z+x%a*AUGKW@f35pl>(0_inAx*u&n~lf zp1q&%r{dzsFXbk=?xtx}l3UR2=$wfmM_^Ip(^=$mN6r?x3|TP(#J)v4mcXVqpbv;^ zjH(nT#V|t?m|JfV4Y^Ap2Lr2~Q2k8~ld10c+u1Kup5=GG?y@OZ_hNh6=`ZQf(v4&X zm-g>jO26KX(6EU>>**%t_uRen7LT`QDqtOD?ZjHda8(H7&el^7Po|vZpq%OMSt!e+ z!K5i(lrlX7|8@KA6@}D=OQ{vDabVCS0#m6U#X$z!F3KOmlCr!(1OK~ zpfJAH;LdT>k?in2zrMhNdd$f%V|TXt6E-MH6`w7&S+`19pbw@`3RQ|t%@9B_*$thSH1!F2hYiG#lj zxJhw0SWj|}Kes3wt^QNO%fp=R+a-wjkx@^F2epXEMj;qVx_pBG@#1W6hr(yLFLK{< z9FUdNiz3J@JKRy8_DyEQ4d|)C$re=N?IUixCwIib)M&~UJTu$6F&3^yytf0)mae5+ z!nSC-z@H~&frkkX4RPmZ#89<3CZ1ppCCH+(Y{wwKjh(pKP)SBe-p9+3TKa;e7$Ebe z18T0MewRNvh;4v*v6k{yC&`l2$h{B05c6?Nx;jir0b4nYZ&htLPjuC0F6JC&;lj*z8PyCPf9c z%jXG{m^@>6v6SN0c`6zA*Um7hQO5CBFE^{zxuvE_BQd*CyVUr37W*M*d%^AS>nYP- zXF!a5nfkP9M|IjA1A^8CWKC_T6TA^@f-_7uF}P6OuYuRPfnjw>~cAS_@ zVJ}p)I8NbnxMIZtyJhi?*waYI=5Ngl&t?_;)jnr7v`Y`1JeJw%N~FqSN8z#9Pow?0 ziR$R+ZgADC!Ybq8?je2(N&83g=hzvS!*L_-8ZhYZRL#UoRFEjKt2B4Dx!>fcP~j(~ zOmo5KlhWBI1c258Un)p4(F=jb@F{w%g2|su2Y9||QWccuU~e2~@S0=7ntT5=Lqt`p zHF?ikEc9g5Rd1l@9*rFt*Z<`7vtlMWmbWcGgM9!+qa4cHlHokB+pZcsVc{&#d(>O( zvSe_qk}`DmJ(Mk1CsrF1-vx+`iFXKUxTd?Jn<&03@5&Z2bB%rZW<8yJYf9}%xShD9 ziTuD+EukH(qFA9PaO8S3XfFI0`Od14ED@(=5gf={m}a*N{!qF;<;%JBhtZ!`Gsn|T z|MZ!bV;PT#YvJsfd=ch}OoRr1a%iYOXGG()0}NNx_#zuYqO&?NE@HJFJC>1q{rok(8Q< zDdi#kyFVS7^S$r4)gOV?8yB>FPV^ta4e}CS>@+xsp;lHQNvhAO?0f@G79%`U{O;+> zgfpY+r4=XwAR7K$e_k$MNEX}A=Ne62;Vi8v4sZAmEB?X|r}-eQ+yw(JJ>sulZf^qN zj?@usf2v9;HM295L2^0zCe+GJ5OIe#liVts=~1+M|IA5=?(o)xviUl6hCdG9&s}{T2lnR(gUe;83?O6`J4c4k_kf+9v#PLi>*=B*{{<$zsZtTV*4u_ z%ai;o4n?v2^ogV3%1gy`e4CiHwnO1#JU#?p8>jXTVAvr^N|`|_yOB)xUxf5oiJ26S z;HYN(4W>3~zj9+r&!D6ZrUQ3nxu)&+r={9u^NH+XZ6Etzv4RUh1+3s03-&u1XnL(9 zXWzN=tLhm;>j_flz6*c0^g_!vI)WgI9!zVge2uN8Erycd7OUgS9c5cN><_WmV7;Jn zD;k#?krh6WH2i}3<nntwZ8r zO_}2n7k!>h!h2ITg*4p zwrD>eTYscRuoQ`^>>2K9R~GMgsZ5Ne`{abuc*puTa%fH>LnByjpaTKY&B=m3#1!4n z&rqEBxs4kKlX2iQKA9Dm2(B+yG;RCo9YcUbdxxt@a1Rjk5Rm|^^NMFte5A4Dw3&(y z4%^m35z|oMBLNy%b1*pWD|YYtgoCn(8soj%=-!ow=6IhYnXgiKkz|I#0Ev8039`U7 z%a>B@VdwkgT@*hWf1ej5Aqi`2N~>L@tDJIIagdfS2L6=%_?D<$dI z$hLNYp7CTn=%G{huvzshZrwh7M;Bzu`#FWZ;$A=L<||Tz<$!qrv?!C5h`etJu?6Sv zRlSh9O;q&el}`@9O63}d*g>G*8R+mwJbvZzS|F@DY@vm1toYUa&?ld0wK8h8(p@h` zGy?~2DPn=LdmN%6B;!^t5rikvlnrKPEM~q}Blzt1!B)dt>w-RauGTGnVzAbmos#># z)P!iRGAkc{0D;=|+$%6A4*TsejQ_&A7;GW}F%EdO5CKv0CUCF3l|2=%DL8LHg;+Q^ zEcAkka&Q+#hz1)rP@QNo&sFs=KaM8Tc7hZI8EZA8$z`)*7Mi%)7+&aUuSgs&+es| z*tsl)T6clZ#me)4{xEz|+XO%4i||*GMa+$F)ZMxK$!N#MsOeXsU#ea=XU$NWF&bkH z*W3+KTvm8d+meULBJKD#VvWpFKRaU2PSgTD=5|`+b?@|N^Lq|y>?o2($5>P0p|TJw zz@tJ%MuB8EH?tS>EDlz{T}s4$xjJ9|iZUV?>30W(e609cwy4_{kflXe&knSs_hIXw z4cNKp8A8@_+nFxL9|OMfyupTN(uZYUP0zYNUcDY49qHoyrJrzf-LPH>A?xvF*hXT~ zO3V`uX9IQ$UWi&L!C?Ps18S9woqf(du+QSLs99aNe|$f-*BiscxG>D$LoN-(lTlnf2F_| z2qTIM4A+FRkFG??TSVf`uvYDq8)|j8#rCf;mnBkG($KPVE%H-@L@!OjGNWCAjr+07 z3gV(Y@|>%s_fb}PIJekEAJo#PgixgY77I~@yzV)!`ff|y&?jecfOsEJMpSemL&=^G zqZj?x?C{nG4-HxE=DQ*FgW+<8mt ztUCpuE-K#r1+ScjgxdUMMd%8_jz9XoE<@qw%3r&GIeXTaEFH213*<>DxQjtySt3*R z++8o_=(@xJ*;+%$pqE^JM(;Le>#Z0Q&DR$AnYiM(n9oWds-fS@m(FnAuRha4uOAXT z2sXMVw_)%XXEfG3 zpwns(StewZK&i{?GR1oE$`7r5Rm2Sq)%x}D^>jmjm`5uo;xvEgE}_0-)SDm`!Gub zjpD=G^qe8)sAJh_GmQ~${)$y_$8MR*Lu@+ugvidVzbxqf=9E2Z)yh+Fmjqw%Zlaz9 z=E(F)cebnt?IpTeZH2Q8aoy4BZ`JhV2aa}E50feB@^!yhScmHI52zeBF~6xMQxxJ@V&e-R7au@Cz7@kz~4PUkiA-&QvjD6G9}+h-75M2u%Z=y|#7 zH4o8k-`OvjJj8S6hyyatKGe%3a3G_!MU3k{s)0Nu13%u-Z2Alg{01_+aRykS_(&~{ zvxr>Vv3TshS+<-?SY~RFc~wR7^lOU&oOkbwP8UrFTltV1Zzc;ZCAxDhEnLiuzE@_P z6U0!EZ!J9SY2~SBWo-IRq;H?{1@AwovHtCuh;I9R?`Xf%IiB5uqR!nq2}Fs3Z@5dXCw4AijwvWMsL8t z>2yN<+o1Igzl)l<4*1j^0}doXC7_}_NCqtnKUII6C`q+bk$S2EL+&{&2}xv*)T#Uq zlU~ywQCVsS-)1%iX&<=?btAKnpy;)u>U7>7y60$62|5MN&r^E2E$eVh9%`Jv)hY*u z?j#+BH2pAfq#zTtvu!{YfR|Miq5cj7=Zt@*Fgy5Tqyza;j{`WgwyLA=ZXhJ$16L%g zm01&8tv)_QoUyx@Zg)p}xXakp>lMnWm7YnW#rHPr^OYw;QCFrkqB4#Dh{YbA)g1=I zJH&q&CVR*{aL|t3gZwyiFE<^!mQsFj&MEnt%HHKuE_B{YH2C&|<9|4vqqoSfj?iu? zRk{|7(HIHLkUU>fDk)a}UEs}HCSN?@Da{EUD{EfEB}&f@rohPEa|U<(hwgmAwA2CQ z>dp;?1IS>{ui4tM!F=fqMQ!I{_DxNr2^h3`zawG@lzne=qpfp@mThq0F?@_O;m$YU=V2<*U1iuy;kZ(I-!Ay%z0 z%74mJoins~(piFIRB_FQwFj^>ImQ#I#GluSY2zPfm1BAcY`-<%6f%wh%@RXVS$hCEgS+zsygngG7=w=%My7P?M-oL022U%k?Ojp4Wai`d+^ zK_s%L1%h)4@U{|k5Cumqf?P+Ok%R~gxVyZwekrQ5FG4fr2@h9^*IAG$$M_jpZ9)3{ zk+nMMDxVd8@conPs)s0SYpifEv9bu8uVEOh))^qGl$+0`r5P39YtcE^^=8fDNWT?lu zD%LQrA!%QHJSa-@uB(Exz*f z8cR`T0#1-Hkxw8)uiP2VfCBGW3R%63t8QXRg$vBNt$abP0< zLC_gFN@hX#^n+RH`k?`5F)eV;?vz+#c0R-q&u`=snN*MypXcn*Kxa5Yo+FvH`9x=F z&-M&qH%3Oav0$8BTIOc&>vr=DNMh|&^E5kRxC2+!6-p(^q39j(XWAP4>KKY-UV_`g zizKI^pATo%e&|@$H`6YY7h{C>6QT5sZet<))60jIU8_=8?o${-d6S)FT|u$lmtA`R z0WzKLD$ZlJMW~Y>sWTCA%h&j#I9RJMs%1i_KGg0m8NbL8<9<0G8X^Yn=2>8D2vsW zB`ATVsG3P310tHk#D#5Pe50qhu%YDkNc0q^>>9en~c^ibjS zqKRK$_*bNO<;$EKV1pLW8!^@V3A4+4_hfr^pC7fl6J=~*Z7l3-t9#7vF%N`Y5$1ii z9n%MlhpOKkMGOinJ5w+nixVB#Bw5>w{ELIJRq;Z=dhKqPuK&pkVEJgM&Bj=pqzM{n z;M)t#NK}sXC~ny59!1d1j4N1Bt8CX(Z4^|2}%_{SQ7+`uw4zV<}B?~{$nr1IQV;SvgDF~*^R#jovBz!?&*a$ zw~!h&tc4ql^_IaIgwStapKq#ObIpq0l6wuxbilqld9qm4U`I>lP&N(Y1yh>^{aG#e z-jq=lv&xD#<=es>g3JOj!ky=$i=95C@WGGYo)C_sd(DBFe5BvT`ol9hz5xlqMQ_6M zMZWdeFk5-6Je4b3L{&EgWr+8=cFrdQmqAIfwP=7Xhw={5d zcIbpu(ey&o|139b^be&TOH)gu{}LrL`hO7X`KKzG#eb6$75Xg?^@>@~<u4#zSHV{7e$@172Nz+Fh5*ZT^W-vs(Hq?bW%t*p8qc{io=`!3I0^%W zOt@S>N244kFgzbR$PlVt$k?;fDInDLUKBin_A0O2yZPaKWjFnfh{fb$=?hz;E&LNj zJm_3?jJ+VViawIOe*OlsNC>cf68Mn$=gUknZj!r20GXe>(m~GMiRiBFFf;F{1vDK`6v4| zMmqCp#}gr4T`?pUz_}ffr|+E&Xn%tU~7 z2ueQ^>^t`2xK7p4`kC;cy}^1lLmi8APr`OiH|Q&TMi7N*#(Q43oH1uDG+j`gx#5zC z8&h@3U5sCqwnFG?S9iiDtKTqON&P7XG3k2PIiAnD z+XmftdZTe2w_))KM=0}-;4_;Hi3cF^hao+oQDr+qjnHcKcoKV9g%^Tp3-S0MmMGOb zQG=bX%WagGbP2cPEN$4#bnA9{85l4FzVV*xH9ec*9=Ht;*?C#kI(#aY6FF;G1bI#e zpn$hln|(GCACc-(4GyVFxTM!0?=2uQvyr3{Cv^qPi8dzlY@A>L@0G2Tlq)#lvb{|d zf(#GQriel8;GL7&yG|?+%34iDz6shbtt=u+kfl-jN;mVB3}e!ks?|OqW}#ni)BrYb zi!OtE%MDiisOtA?zhfNfz@Z3r?dnc9KOB<5u;%w zT^s(F)B;UMudYr^+P9fMcn0(;d4-4aSqgLBDyE`VT4soiv2g^uI<~zXL%D@i@EcYG2)${xKqVnlkSh8N*8dWtA{zW6;^I3%;?<*f4S_L~q-lC)qRLR0nX* zsV}&UR!h3(>Fr?iR07wWebgNIp-z3Kg{__^===BY%!MxYt@URNW3Brei%h}@JyOixl?V6p2Gf+ge`xuhY)$SN$JW28h)z0{)(ekSIdZFbfBX<5A@c#JJ}^XP ze+ggwTC@XA?vDEnFp7?HVZj0f$pNA*tGs|k-PVd0;Rc{TZI-_kBV>0@0A*D`+LIL z>vo)0NX%Ilo3K)PcSXXp&$RYE=yt1?%uCc^+7k@(2#~Xtd()T70;q)dTp`o2<1?n7G5#5;N4>egv|#)#@EK zHsGT2pJ5CRtgVt3%4RXX0%UK}>gF)j|k@V^VK`dR|s`a&mkr>T0(%P)8M( zrr{4ez?jq>Yx2`DxsF(uPMwkdb}*JpKgaawjv0jzPuvttf*fE!Y4W#gt5(XRC3W+4 zo^K!2tK3IqvZo|{he?_A&&%mY`$MSiPRK&wlQ^i#)JS?QsCIbZnREY$+^TfpK; zEyEWGNY2(KcGlZc^`xgDI)knN2K4*W!VhyHJ&8we>i$Yc#z%>}W{F*v(UyQl$=gw@jDGezvMVbn7ZuWrvcn zJ=3ShXErF^vl5i+!}6rUpYSQKGI58poCdf9-f30Lol6@=R$qtRbb&s-^Y%8(s-6)QaAgKTgl&A6xr zZVR+;4&ITSy939RR2<&Vjy5W`CHm-!gKLh_D+lb0<7ZLvRvzLtUMbQu@AO~m|JeR* z<5nCDA@l15{FyB^8%(1R--gQ9?*&`nOEgPTdHf;=FiCr#yT#dwG_FzRMwQ~lF}vTA zWaoCK)q4?%%+Y!rdk4KLm60GVUWW>3Yf|J5{5Z2l!d|QRY;(&J)PV)Mn*QgF-#ba_ zZ2jZCD1%q2&Q0%tnZz!3*q=VC$*)JvDqfv(P7EukZN+KgwL1~RM57i)a1vfa^BOrb z*pmZ3FBh+~meKRr;dw6<*DBLUZhm3io@#1HjwVwLMi$B66MdFwc)823B=OQM9W#;l z)KjyR(z~wA$VM~ZmleiP^!@c5WZ@TJnORaCQi~bnTIb&A5pwoqd60hIgUIc;)#iZQ zohiSD5z_FmD`TC3_^*$mbWLkV%()qp?X%!{?*wyqW!29a%LB9fYwLJ>o>l>{BoB7f z?9PTU!Qk4Q;DAB%?RE_l>t{+@Pbs(H_+Lo`CZ)Vxo-~yt<|lQI3VL2Z$hC~U2yRzB zQXwEGHE%ROaNl}%npXQYTj;I+VgA^1gAvp`&}kUHR2N`&Fw>Z=HOOhr?Ts!*2>9Kpr;VWJg=i?y z7J-me899e?(RuDM!Kf+PCq4MBZzFfj;AT^SSXzDJ-6uUp9_-Lq-&p(+h|{oYLF9tQ zq!^9`zm4w7fje?6b8F4@PyoTSH8?6H=$6Z1unDYNDQV-$kyJSiQSr8l^&NopQC0Gh zEdQrY<2HZ-S`TI07e(yQTxg}R4x5J9#bmokZ4lDuKYF(Kl{PO;+;0;$C_oGSaf42P zYRh$ED}E=KXi~1jh*eXjiC4A;Be zqLc#zYO74Z4v^&0s$q*t_d_SEAYNFN`+4Fh0IX@MT0$i$z$NmTe`Emj%@P;+>vrXU zDfab3@pgmt^1XHXbsxE8faN6Yp3X09zq)Ja6MyE0e{7IJ8I4b|VSjnLaxPmL{42ss zdg=Ic!ApX*x1O?i5w2v1>tY-GFH`}v!)^ac4VW@LYA`)BDxJSg0-sOVN6|{K2v{yr z5pxGes!7P(cz}BPJu~~B8ac0|RK(tvIQsnw!%0+D*hvxomRcFkp13?iL9+p22=&$4+(yQg*7i<&p7M>TI zDDd+>77M?E@?|M)r0$6L(CB0z1i(N?=D~JL2TTTg37MT1bGJ%6Ra1hJESiecdZTYx z)F0A{SM0?9QdQ(YC>b2EQ7jA)^W*shwjh>vQot?-mK{(Lb?UAd9Q!@&gY9d{S@VN8 z7guXM&pf$NGCbDB_e~oKC_H*?Rz-JbD-@yu#_PlUdcJ3$nm<1p9f>{bBWZ4CQ3g!5 z#4Tyqb748@qdS^f+!n=kg}N~D5W%Ay2BG4%uv*v?3j(j{tS))~>3>(yUN?W;gPbnybs`-;W!CgoGRro&E$ z?HU0k7A)&oJ$eB@9`m_Ng3pR_hmGW`Covfa?+7iI00b&06v<{kZeub^ASmd55o9cG zh~(Uw;4NI9?x!sNV3*I%jthgxyRQ!u(skcm41~zzRdnNGdg73%cXrpm4ex^m=3*2l z-#7IutKJbuWA51)0b-1~v?L+}tyufnft4!96VgnP0X?yO(Hp*CK?b(O{WQsreLS~j zk|@J6C1S755fRU>h*1UK)vOO=(Jc&~)jUbXPvQY%!j3LnnVPA5O3_Y@;xb|!=Tkg+ z4;O`)HQZ5tnehi~uL9Sh9O(f3R z+H?z6x|djB+63uh_Ju&=!B=#-!Z^PV6?X1oW_$g#l;WuHmj#SdyCRIQ|`K@N)#QDHGbuzd(#Xxcl`UcRdh z)LmyR6XNVbWV@IfZ+YCAG_08-E0;qn`WUGYieUBKx}OhYwBN-&Ey|suWG4yiAKwub zlQwRlL@YxKV|yFBN@Te<3ToIq`@yeMofHh2sw71>guSW5ku9qIAlH29#Y>~ zGpjC~Ua_dctXjvT0Xt%!5t-E_hjq#aL{KK(YqFdTs z6Mk+KwmckMFI#O+`@Eo$*peewwm?W6s!vV0(}a0CV8@}GAgXBjSAZ&cDJBdCwXk_0 z&1AlZ`Y5`~@s;+^hfVPhz~{6x81_F!!L}cl$&TJhzILc9w-A^R=5FQmQ83DP4H}9i z%9`7^fQUr8iYk+<0O++8v0q}apC$>w7}~H*#)7PH^!uJZ3rawm=8Y@;fio?y8p4_R zi8eVT?O_rhPY{J0Tq;pLy4vHN38v;xs&3=?6PBf=>;)%07BBH^Mmq9|i#_#_`XVU3 zMY<(_@HDs#n8HNnAIYU9A5ezS(3he2@^dhBg8k3o_p-X0D%UKKL8I#I74~#4j;@1; zSlqyYtRO0#3=vlLOGyQy`Qr#m3nDvQbM ze>P~Cs6Z1h%AN3qDB<~Th4!mev0Wo8(rf#ZTRTHt!s~wLzR{E1`Ivi#q;{i(sGZ*S zqKEx3c7ife5XCf_3Cfc)9{R}+jf3v})k^Eh$NojcI?s{$2TlT@duzY)mGoVoYL2e1 z{PeA9pgI!_Zp~z3OXGV02PdTcji?M%{IS~L*o(?2C26p_H+|tN$7QVjip0hZ2Ey>N2e&1#R#B^dxkR)G*RLM93k^5Fe+vt2Kodd~ehOzb1|JL|#3%nnDX zLu#~?@-*DV#fp(x+K7tori5(;9|xx5R3Vh&^m^7U~IhIH7Q4b!FyLhQ-Ln z_R>*cZU|fU!70l}Y`>sHQUrIAGpg1K?F)4Y;#qs(@)K!t!*#>zPJ=1aWx@Sg3j-}1ND|w&%!5QB}(c8_-m&2jxv7HHMdP_Llc7QQLzmjrSwQ}hO zSa(#l4G8y6?=i~!hL!Zy;YLQ?qDet%qRFbwH@MDbT z2y*Dmu$DLt$yb;6H5Cn*++XUjpJ^zAd9v*-p^CrKL;gXWYxu?&5z{aMpn&?$^?*u8 zIYO5Uj34n1`KWV!j{&qUc^<<5l@%d>5N_`%V<$#F;4KVg70+_KYp(0}ri2{L9jiOGi;- z`-FO&V1<)As@#Gi5vO(eYzsmC8EC|jCxEwfdDs5L$+0-8cV^AP#Yw*Do{sw@I8LHf zOyAcqwW@7W=h$>iG>c2}A`k-gBZTa|iS?sq^|FW;haqpVF_x8gierdp|EOXMEbLh# zgnLiTl*NCVwX4%<8ABgo2Z|I{P1J1J2-3 zAudMw9QpP_Pj|HHGGxKN{vH7*S-x|a*!a~)I~P=z(HMbKFIWxs>U$OI=8#?f6W*@n zU_v0v)8Y0^Ob=6Icx&y2upIyQkS|kB4bdvK#HC?8_!#F@?NW(OkNuo2;m(I!o%{KI zDT@Q!A0?+}EI9L(_V7ZhB`5?@`J_QYO%f|#J)dyICS*q~;K=v2&bSAFo2CfcI~@o& zCwclH$_{3hxY~_Eb(InI3^HIhwG)12e;{v+?~}-$9))Qg0!9h6Sb|)NG{mo+yOX1e zO$xKco7mDP>YE(K1XqC{woIg(CzIL3{uGP&xa8vq_z$ysVSS`XQI!``mmig6$v7v@gQyyAUtQ4u|56jB7pNU@uDo(j|2LI^@Kfh!y;C)p>-ZRm5Wl?VYNnri= zpUr7Yi^I*!3?Ug<=cX(aPP@h&T@eb+B&ec`OVODamfM4qAGV^q*CW=~(0Du^q5z`V zNT>!3*ZNx#YAp}}Y(uBp3Q_!eYuCM2Vq7b0PzYUPt8XB8F* zY*2;asffG+ZovZpNC1SVd3F5XDD@ltL#f})(DC8)so z|4*;q_+Rzi+qK#8g&VnWK*NMX zUVJdPxN_A_ssli$833?#&QX*pfG@la5V8p!vl3LV)4lTKNr|OE;VK7sU!8m>h?oHo z*SBdwLK(~qdE=2P5c;oN6(Oi0L&u~d{R(|hxMsBq(eK&lPBLBHgg5tKh8CXLziRHc z8x|HeXTezjMiP__w^o?`lnPu^nZdump(Z-*`c5b`D7ITnfBLm~MA*GxH@G+*A;`J; z8SKIb*zy20P-(3%ZCG_N8u;#x+prgOs|);vy@kz1uqFRZ0^DFXdn=U$kik^D#(`Ab zOc%~?w%yHbeM9M*SmkT=@oKTkFd=pi)B-pN@cA}Rr2(lhMr?8bZ;*v6!s)+{ZZtIk zU^S+2pIGIGcVzP4$2NCB?8YAB!c`#jmH!M1M6+Sv2bL#MzNUd$!Xi;l=yM!Z@10#I zlNkXdVZB)>1paMqW0gF8zz`8nUbSoHUSRXi08(#4;p%2GA2thXfMCm_p{MSy1;aqW z$umbo}zwEAzvt#o_UILF)Dcv!pT)ly-@c*@W z{y(-4!dXzu4l!qcUhsF}a^(w1Iy0EU{5vK7n;`~)|MSg4phx(zvcU|_*u={HW19ye zEtjj?u>YhiS#bx##sV*QW$Zmbp64GSfX{g#jK$pzqJ|mkciRS>{Q|US9pJ9ll=a1r|y$@)9f7lrF&AV7Kdxr5kHm9jm{;#D5MX_2VfGXG9y z^Lz1101f#O2=I5N0Uu;+Xq%V7f1mU*7uMB_zyCO)oCEkQY`A#|g(J(R{y<3K1`z0k z^p=V{q6Sf{@v-NxRhrqx(8%?_b4w^(+Dti57bwB z!tTvDfb1Q?K9#z%vMg%<>D~r7qts~b@AUrH7g_3dyAkqHH?Q?2;1Ph@KYBp=qUdjfD1S3}tqOfG5RVTAy@*dm)m!6I zKUxIug=avNAF0#rj|X=F=YUNsd8~z98@epYA^!E&8F=sX$EjRD8wY7{mS}Yvu?AjW zl%5^Wg5lPdXuQ3k7f9L+kSyVB_&uPF7LtJmWm2*9cOm2fhex|Mj~D}$8sH6v`8RL; zN8SLVhc^Q+g5+kw3~)uc@K!^`1Pg1&9nfOFDW!mS(VM(D+Gocv4cV$8UoyIqY=pVQ4>o@56^@Ex`=%* zL%6f~tzZ@c@cahJ1B9|}T#=YEV{kLg)IH0PZV&AHVB=%dP4pjdea(M0!p%SXB9h0) z(}4O1bOkQfUoM6`YV5l`{-9#>@4vUhs%%25vGOVPYRhU#Q2ad48wU*4d5Q$tH)4j2 z-zMps#bn=ku^~g-tbaUa%OCLnsfXU|$2NOtLjWE9&p!Hp51%pmCt=In;-B!Dzk$yG zXA3y~zSF<&qyH5?Q@R^;%>pfzqL&;t^ZVPPusc%2e&$%Auph0$S9k4snmNMt;mKy~ zod!nsSwPK}ad6tknqN%=u$f)gVEnZoT8)LG9PRov&s0)oDjC26w@3i|*UQ}w0M9Y4 zYF&cUX+s^nnCenP1v>oKBc;pbafy06gKAP{EvC zZ}ZRwri%XqBT>2hgnRYa5>VGr1jAJiT5fNK^-nQZc~EjtH@i>KzkmGf8Bg914ILA9Dtvo1)B# zPRDdvldEmRLbj$-z^quMMa$4K1LxLhMc+f9#sm+Qbjde{e`Gy)dia$m{c@Cgf^&` z*vu2gM>9lo%j#Yj2F6>&?uJ^(ZvA22qGm7n{HEDsR9(nV5g+OC5eMcC-;WLgMu27N z>H;(kFn6v(^g5^;NT0(%aoGzUmo1j;kc|ue!x*3d#2-!*&Q43esY&x4ScOw3Q!uL$ zA-De0Aa5qbJEB7fTY(V-Kr+VkG<-Nf(Nc~1q7yTdtG`nMrdKh2qmqPs6Uos)kvm>5 zg2sZa=2=3vwGzdALnsAj)U58A-+vVmXTDikCt@nsC0ehDIHB#&s}P$I7HH*HR|#3N zOF^%t0l)Y8cp*JyelS1ic!J!SE^uHrV{KBkrqz60v*M)w<`z~lC#^Y7B#q!m5 zV1#vPKh_l;EO{(yq-8c1%#TTI`z_ZdEVN??)|UdB*HWe#9O=y}tfTnosPgrVz(~8n z03qgSJ$iLA8bI1oKFj8Z&FL>U*V+XQQ29XP`GEQ%$LX!KR%yVJQ7&kx5)DoLI1S{r z_eF*AnkJ0T#^B&Wk`TjK5p?HU0@-PM6BvFnQn=RX(ZfL9tpG^H;sw2c5A0w|v!M`V zmp%vp!Z+c}I!%z`1UU{G1EW_)0QLS=`U%d+dg0R92H@n03G9;H*q0xGWg6fMf8El& zM27Vy*j|OFW*EN1x+=^7M+c zS!y_c4fEOqp+50<<3eTVNLkSxF+knFjjxvxWLAbPD$(KT$6%u3LI=lbSe7Vfdq-2& z;vV)^(2ZkPW7n>1G(%*U!cx7u%Y@h@>%_@zNlv!<5=m`~tNO9(6Q;leN*lLI3{bm^ zz?h@#=)o_-b^nkSYddxazrv7pn~RZX5u{>(E)?qubS9g9DFE~i89V zSQ1qsAZftOlO!4Nc%<@tEgr^_NNM{f=qD_^TF&6IYGBVqyd#EIVvapg-4~Hb>gYR= z1ZGL_8@?yVr*3I$+V8$Yqi)4`s|qv@CXfx7Urdi-WK&po3rhqWue^T}gjSKSY-V1IINGpQ)EE=ankXi=-|zZhzI`T ztKVh>o5wr@;!d8z`b3?MjKps4{G{|-dXdT`O7 zHkw1j-W!O|H@eW^$Dlwh5ic<@a9Cy0fs=J&HC3ZulkyDR9o#Q(QR&|6?cBC~t5ia; z;aS%Y6YY8ZeQu38eqXrt5)xwLnorN&a#xhNoi!qMTQzb2bc%W&GA|vufm40^?E9a{ zyaB*AIGw)OWaVK#Si3Ca@a=j+FXxhzJ^O<0tPiYvpa+ik4$chk(T}>7o;X(Xa4H1W zZxjKMjTb6Cy8W429@bI?`tg=|!Q1a{U=u9nn9|9eWKE z2#?`ob2ZHLYzaGIM`J7`nFdwf^6G!N1O^U~F$|%E;fu4GuO3MSD*ePTefClZ-wPgz z&g_tesrkDuq%~}>PfiJG9Ut+%Bo%h2rS+2)C%C8!OTGa;CQFy+07uvveh8 zdi7zzM;Li-=*0D~J(Kx$S73Itp=lpIO8VzNe)YKIvv7nwu#)pM%GsE+$fZD_Ht!I(g$mgLdX8(-H?({aMY3Y^>2TVydnr%uJ#z|Nu5jhp%(MwD|ThjraOf}s(N$V*1qM|e(sE*`xU(p6 z)eHT`1u__VZ_FF)MaI=hf0t>C>GCrgbjYSG{k!1y-Z4y!mI}(K{hall zs1Y4pge1-hxy$2HfUE6r(KGrBOw<>oJnx|5qd57H1EGzood?ihV~UG<*4WIYHSv>k zEV^IXp;tdJp)+PC9HoMG-SoE1+zTx6_XpsCXcuwCfxCH@w&h83j|6K>ncK}SJD6Oa zYJ!G@daXG#^E!`m)dc|6yna~X$Md|_d)&e|370kU<#2$##^vbWTU~DRU3Y6jGa^Nb zU%E<~PQsCwCa~`$ydMgC@nxntPHn|)0g-XGA=PcxBM(MBg6_9=g=oSw&3O3!$2sO6 zdQs6#_@Ky&^lf8>clzoM3&AhE3)!wJuPs{7__MpK4%OL;9Lx%(uFZe@B5Mv7#1^St zXv(It3ALKRGs`8)pPOn)S$3!+f9~*j0_R7X_|o`PqZi-K_J0ekGCszWt7>%7b6ytR z9uY*zy-GH2dhq74-YwsE_c@0x4qSZ_=zNiUd|#*4QF_JQ_csTReH{%;5O0>76JGf- zu-LL#FRHX|^h0;CYSEp~3a!DX#$Sy8&%HBR5Akzrie;(Z11ZsaTS}B`kB}Db5I_MmIen_R zlN_Y>aaYj%5_#q;uIzwz_4)Hsy9Q32E4}%1w9eztU%`d05>kx)R*Fiu9v>Ncviq6Q z(N7=3k2ZrBBLR1>SAOfZRrOsC$*1wrSI$jkNM(-ya(k-$awQ|^U8T&UT|?4o-_Cs9 z5F6LFfJ&5fA{FSV$RJDO>FSY$X$|u3KQbz7(}NlaL7hm)l#deR zSnp4uQuVV#^1eUL{3>otnO%j6sPu^i-0&Oj>9e!Ge&@wxJL^)epv&ga)pPfkdvxD) z|9|YgcUTkK7B?&<)P&w^0thMsNq_)S11P8nh=??S(44G+Yp*u5*IqkZyQ6w4)CC&D1W99u z$w;0(d7bMn2mdWszWQ#riBm$;FMZ9em`NT+O0x*2mlWII*Sa~IR#qX><%Yqisz&rP zXfT}vQ}IQSLq`!jff_}{c=@rMRGQvRYvW*XIB`;)D-fwn3b0RoM z-MH!w*W~HbY5iVL)r&4@ONWQ`8rpSS4E&tpr`8vrbj)pJ{`>*u#@5@E5|Py+uLv$y zSIpOr(UfnUq2d5@NEa6BBy|i+s(mxB@N?dVtjkCi#k|!QmuQ{mTtWDcIzN3Hoz}!M zP6<29kZ1H3b|fx0=Oj%OV?!hL4Mb>rYp1K340C5IH2B_Ee415`L_%iIorF=zvW=Yd z_4@*J%{2H3J}UJ2?hBWlJ`BHHPD)rx*B9fRX0oN)dG@tcS`Q^0>y-w&C85 zfJ2p#dM2-a9HqRk3ux$$W4!vKjo~j^`5!7jz0VE$<}rEA;Ao-Q7a~jA&cM}`Cz#R6 zwvUxoVY9xfA4B>N^GG7~sOleNdG_0FDGz-)MtGTT*HvQlGTkto7uLaY28m@VzkGoD zcJ=T;^93h4Q~X=d^pMbE^*5Md^CwZo&zZ`MqKjfuiv{6O z!#QzD#FHmcr;6vM<@%4`fAEpAs#m|mdCqF_lNLyIeX@!#d%*UZl#;80200paC|H%& zENX>;BBA0$DoqK#rke`=*tloOlj!lFV<2Xh{>1ts&7GH*DlRUeohvLP@>EZ29AMjk zJz~XItny@%nIW2Vx+<@YDK$N8=L|CxzH_{%xuW;@t`cbW)GI}24EH+``p!I4|Fhj7AG<1=ath?1Y{gnYTtMW6I%LM;NHu*tGER?2+^|vGkqS(xYOAySQ1Y9k2KxL^yof zVT7ghVx>z;UY!@sI*hq=f)v~~Le9@F#^Y(8S^9sygUvipF5QDky71VFy=?9lCSg4^ zsDuPAHeo&tGQUtY`EB$&JodGp?W~>hppoto{EX1ua|0YsAD|&DGAfp2yX~$gz!pT0 zyXCJXsM2$sUnw)oH=bN#SB>R62&dIcdoy0lyo)Cy()pV{TV7d+m&khNh&!Ce`&`&D zO)-sT?Vi@tjWYLv0>6CYcGaTv9!NdQV;yQ9I|%QXCZ2g`OnCZqmv>sqmCtQyUfV@q zLON8hJnFOF4G%6nRh&PF9(us&E>dXX8b36U_Drzzc2j%~Ht({#XY1BoPEZsqH3gwOt7}5nwWLCySw;aw*`0~D8{>=UEGP$tCHMu6AW9*XG z2hm*>u@>446G^99o2j6DBha;ssm^U>d^kI&#nm}d`+!OQJN&)awnc8)Gx?XPCX=hx zWT|k3h66Z6SMCKVl2g?E!b8kgq@K?oeOh#?MKt)guL+_v1M3_avv$PuebeV zta2&H1qGi3N_GN-dz>aaPJmP1mg!Dk6{snBy1hOf4)3iI1xgVMP~3Ndkqb|Ib->7Y#o*R{DJvgzlo#Ow_Xtw9P2 zWAq&*fAoWk5^VQ!LdQ4T;T3(>8*2pR(Rxt?k@Qh>V-u*-t^mDw+D@#$(Z;`%yLSEDW7`a0kplOJ7$joAUpr>U z57%ILFR8-M`s)Ep{vDl1!Y-A=C*>rbX39{+%e+5cW!gRc{w>%Gk8WJ1~a5J)qS`Vgd=kqVK=Hz*SO|e*MDgUCGbcGZIBaR&%LG zoNR~AJ-HdDVZi@b19juTV?k^BkCu5b-f-6?$B^bHLrv#fL^gH&C%Dgzf^5W#F0-`crSlkJ<4|5mA)_~ba!Fu;ZDh{LIFn--%V@Hg?MJfgh8)lj)-@0 zJgwlfD#Uu;H1E3AK{NZ55Xc^sx_;2?`MDeL3cjgSjk%J|Yf?1yO#Opml!xBGqRoC* zo6E9($x^Ef{Y1aUg=+J~GtVT4?B(qy_Z2_=ZHa}VB86_Zntn^AqSg`y1`gmeeWYQ)aZ< zUXW9Y=|O&v&#a%7sFCI#^9!3*`j%~w?|VNXAT^30&vx{z#`=LwD(g+6~u{jC;j!Sy>;!vvru@?$_rTD{DHDFSQTj zz}=I@%@3w06iw|>ddCejcsEBSsNDJvw?AkliCS#M9u2o6P@OwIY-K_0^JW&zNg?<9 z(%u|lFHtM2du^GJ^gIsxHMaSh>idh+9{pq=+jgYzXXzXBL%vGr$f)g z(j^j;L)ohXgLhWA3k~#5E^d9YO_E+LwE94tdpq9XZ@q5t{5VL;@C6sz*eO)sHNNC& zcW_Pe>JxE^Zz11DHeWg)IQUSHktzgddQai$JCe~skxfVFWhEf9-iE>GC>P~An@qX6 zTve!Q3iZOH9^%#hy3I~A`nKU(TlQx$Cu>M<<^v0@lV8VfYurmt?-Hw7E!%o0p28ZN zqJP2FJV3RbTXB|fF-h+WV^!&p51k9WqlxK#h}MQqO1l1i7w)gfTVmEa8ZKtnZyN!d zgg23Gr7V^EYwZe7me_pS*lrPaO5Y-`FJ-hy57h2>iK0BC-mJP^J1+m_!*#|xM-b(i z2=fDp=iX@0t$q(S-CoBMN<+4stkzmol_bG*(C(a?VuREqV~gu~8L85`$=;V+ri+>p z4zMI*5m9;)T}AgWc78cPX#IRC16z1(*86rFz1cUHVBC|vlbg#MQuT(uC9S$^vpt84!&J8 zP$aEV_xkngqN&#pPNfx{*RRY>E_ z8GhkVcC-K3jq&O^bB-m>#E9gICt-?-SB@l_yc9#{qD?y4xtDF9>Ula?1JtLXYaCb+7dSixi{o(cO8$(tD)g5gM>ekoXJH9NR z34n~)yf}r2-`W-6!&Y&XuZ(FoDV<+qAZ`%<@`cWecD&gb30-0)be=W$6l843<}72;*2|QXTPZ2gHP}p zc_S7da+CYGoj{lcdA2Y zgdmr;)awgE8bnUX@R0>093H1Kd^*(hvX#vW93cMCl( zdNz6~>UB2_lNinQJhv-yEWtWfEDt0Z&on+twF&4KQ-qOWCvP%jvYl-IE_asRw%ty? zRz2_;`|aha0~lMB%69QJ3#v87M+V2go`+GKJr>tz#xsWM({95a3jJ2WLy2p+>##W! zlQ0<@p5Ka|y5aQxUeC$!J4Qs^WUKn~RPr}&)IW5z*}6N_k-{%nHb{F{#3lY&0gQS2 z-A%K(Hq7@HBWX4yr+&P8p@2`Rf>FkUp;^Yk=bDQ2Yghe>UkYbf8~Waflwy+Yy{6)s zBY?KEVdx*bz3bF}^kH|Sts&;npxpCq#=`45){4x&j9EB3?7GhlHm4c%2PVll_|rgZ z9x>dLM^4uoStAoD7*5`RPC0FzJO@&>n@(ZXJh5>q$>9LsWoY<{%z}c{`e?^>p@iA* zlB#ru>5rBoB-86f;VDk`ekoPjcL*O}KA;ak8TFPcG9~clit|!DBpr}gVFY`C>*<%~ zUYo>{!|z|20^jj^%EO+nf8dU#)ts7-p8JfU`Kz;sr=2kG8^1m99Of6%F8-<%!E1|u z5+{BXshPDrbeQYeR{Gh?Dj^PzRlZd3gKb&$-S2hH=66X zI%OYXrOm;{}%MULTh`|;S*F=sOJ)}_lZS7>AB?Nie3 zAQ7`P4^%&M!H`o3nvRh;q*Z3DF~_H4RwRYjhO%QaYDwcZ2b(X^D$=oBX3W$((DfA? z&cdso>{i6Ha2!*3Ip=H84FeIs+LxlKOB8zdBUQe=kE*4+#}$`;{RJ~cC{8R`Xy8UO z{@Scw8**$@@Vl?0DEKO~#$CPfi^iV=;!ceqq=NLzKUpI4!3h3COqPg#5BpNj6^%$Mbh{WIiSghaHrSxs6`YITArxXEfM)NW#VSU}D?y zqNJB$z(~k<@`3PDOZ$H{G5I?gSmBR}Nx8jD+P&Nw6_wx9RdRdTuSN`NY79QU-VPXd z1}_FhIk>bE3Jyo^U4ld96=kK7a5xyyk8gMUbvs-dzyf~I ze?IWO^twmQU=k0&c^Me*3U->5~aA|}*N)ZL55J}4-mE`s)mPX1dArUAjfQ^(! z$^B>U1RMen{_N%-&`3oTQURFol}0Kl!uMwRq>&1WazI8g z0F6*UNXr5&`$;yCyYvekV4nO>a5-6NIe>uQ;6Q@XZ@T4Bzz>)Vm6rQ~2^`2PDkA0f z!BI;45B>qZ-w!wx0EhqRlB^tZf6RV^0~5->!S^^IzXy&4$laTA{eyC#A;sTx{}^|m z9VHZS@BW|VWaXq4fjfQ!%l!ciN6O0Y<(&gmD8S|aH~?58_m3=*lSfG_{9uG4LVBN7 za42w#0&w^nTmDaMc{sr5J!}OzdBpyKBG8=d4|Xd`%m2l0S$U;jd_bTO(#RhjQb6u^ z?Ke2;4{#)M4|IBx~efN71;lC*T`@ugW|7=Dcr63LHGVp`S0^CRZ z$!Vav(Qjmg90H-RNAuqg_K|-M+P*&ik`;NyA40K*jFkIFHY5I2$2}zk5)A-oKrQ!b zGXV?-+LGTN#C@v*K>UE-vpB#Bz~`UiC#$5muSCDOfdI1Ze-pi@=lg(v9qf&z(jGGW zm!$n8(F#hxbaW5;AA}n5r!E4b0f-(zt2_!2>pjNE|FA0mmeYVl>=6%;FOQJj7w*4^ z{!=5!l@b);Z@Hb6F{HcmcfFkbMcWFg=IVJd>;Y0nl zPQTUqA2_X`fcj;mfE6RZO#Dx~_opVJe#tcIm*E3aGnG*LhVO66@>B8>(n@bk8F@PR7G0s0L@Rx)QC?42>19)T8n&nwCyq<@A~K+ces$7NsOVIK>? zFznq7{K?3}7sxz?9l|oP`_^8 zBMSK!)E-s)l>vWnVUMc)<#Inx_o&)m8usIKk1Al9+E1uG=~39v^ZU^d5DhEH?o+il zY=4g2-Xnhq4fNL_L1oVQ+4=%v^fSc|Dx;5a-#D>4bdC8vmWwZ5GVJs$omZec!odtV6e#H(Gi;MzGu4pe1fm zDp^sm%}N5a-CFPH(bl6gP%Hv@563}QRB(i^h+c`NNP>$&l2_gvuXx6?d=Z*i)+gPK_ImkOLcRQ+ga7Y3 z4!G-|9Y_A|xa|M1<3KC_*0Ah-X!x_^^g91~ar*v!d)<`(J>)O@SErSI6an?~=dzjzH{1jQ_jt@3-~uIq*;3)DHrxZ|AgU zdQ6*F*GiFg6uV1`Cmb7x*VRcg0t*QJcy?bz2+x-kjXHt6NP=3*lM{QlRsw7LZ2avI zQnVJ>U9MSg>OyLS&`a#;e3tDmSDGVx<_WT(u$_*t3mtT7pH|=bVzFuHBHD1fv8Z-$ z+URO-6Ty*fbky2d{zw&d8w5E9tmevZvK1Xv2dW3;U!k--lN7nxv890%_T83aFEV@i zAlFM9N|jgO%x)}L72xyG2YY#RwP~^@Kg^5k=PoeHCp+h59kh0vJ{lL zY|>`r$b4~)`LJjePUV9U&B9KPDWp~Fa_KDQZq@a1(Mi_qZrjB46J%)ytY=-f!w+#q zN9Dk4`oeu|1E7u!?TIm5+c1LB*!rn(f>=)?m1aiG5!VVX2~e(WDtOWH!7gX3;pPIa zC%A%+96E;R`mOS~}Kjw(iJu%A1U7gpB86J1ai9Juv9G78vGtzZs) zstwz?3M@S?#A2N!F6j4pVXPC#n>8jou7kv>-swDp|e<-X& zMLn`cQ#fytJbj^C*!GB&mfrEp-LGW9y#n66A~!Xw&>4|hyN$!e9T=KKZDz{Y1~894 zpD-IQl%zx(uEJs#0mki2p$l7{3-Li+7%#d3i^go^)VZh04gqnXF~w9m@^~cIj!_VqadV*x zCJ=5q7Nw2Ua&l~mEHqv0jgK=5Lz{I#T~d9@$AGboknqHrTAXBY=o!cJQAkkwG>i}m znpi=nHy%wt$CI11(oXhdwvtgaSKp6xI497P>?2?HKE0_rws^L&6zx1~wcyzNFnEH0(CRJAF`gxN>eLCgVZFQ-G54MqX&2qOAz}BadI=6{&bX zOY3Z_&v#;aaSkY|VdT@X*5N0v7|r4Y8=l4bcUaGe#(!kR%hm@9I1tnAJ@MzhP>)-* zGP3bDvogj8*^UF-#?x<58p=@sRVyxttG|$@zJPAn#BUBvAa%~toPFmH?BCs=bwz;A ziUlZhVHp==d@nXcW+ZXAJgdWdH1KGq5ufgn9v$i(zjR?ujqcc{csf}XQ|n-$)=`-m z%^6dmT7pL(-2~*ophMIRoWtyyFCN607Z|K_By3m8G~0ihkE`awSLK(Ky;^PI)6vC+ ztn5l4*lI=V@`HG2AhMD$5ExiA#wjPjbI1%NAx+7HF6#iQPSDeKsRPh%UP7}8RQ1CQ zIr0|W{2|ABtd~Gutb{j^yi)qyFO5=w@|9~cY`&Cdv{kP}kYV{Q?S7D!tye?X5kRdT zZQ(KQbEVq&g6eWaXiv{gbuW8Qc}PpNE(d=;>-;*owp$V1o$DqQ+?9RxuI&U;%k$ot zt`NAuiIvl1FhZHh;3!`>IldYwx$M;p6iJ{W0AuFPrA`SIzsyzT|!b_ z0}7PX+X355HZHSt%?1`c`u=Eboy`4ZjtJ^&swfPsTlkm=4&ZtOEuD$Pnm%WeqMOCm zMb{`d7Q`5Vn1`D%&!B;l=FDSJ7#eGBW>7w~1=y_KUPf47W5I+5*XZ!x2@WW5enAk^ zWv|&|!^QDf*lq5H2&jwXScRu`5Iyl5ihJuFmzpQn5CZDNuo^_^vXzGJw?0GH-GpV_ zY8wn6)!!^;^GGS%plY~1Kb=Pxd9%cXAIu3OldaTu5=1d;c|*oiywF0j^U7*n!ILwE zoK+T!WSP~v$B&k==-K_46CDdn{}%x1Utpw2$bczR8rzle*?SP z$VeWSDW%WrQlcX2+BXm7sk^4M#Ba7zBZ!RISbRZb#42AGjFs(?>rTcKYiB>G%jgMD zt`k~f4Kw2MYYQh1KON`Xkx3HmYChTDajtE!AsR}SE-Y;^@QN${A$Eh-O4Ub4&<77^72BbPNZo5t|%r< z1W0q0{T|WxPFz4XXy*$>tyPVBZXkwUIS3oX3Sn^^5URfK&8iC^Jt4KoBDX`Vxka}xHF_}4*z?R6U=5%N;Qyu+^JzqF^>m0@(eY+=S zxQ|YKy#2~oXrEVR=&fegUh^^a_lY7;;b--bd-YXl8i9rtB9>Z6uAC4zeGl?AOqtb9M5~JsutVwIRUb)&o@{Esp zvlKA2qHdUs$H%b1U|fiq(HRS2aqlPCmjRXSmGK*bTThiWTeT>5^$o zn!Fl)~5O%#=h`@ zN<%S1-C!_WV{tPQA$+Ca)zkSro0Bvb(2Fk!#@JWs9rI3xm@2TF2wzX|oJQfKHN*RG z@lD4DtKp;N>Oho7kBGialh+c7P9N9_74lbaGT-**J<#EpC4HxuJ#iJS51~X`eBLCl zKL7sSe2AdJCr4(i?$tXFf7Yn{?T}k|lZ-3Ds&1rzy~`~+h5Io%0{@AQjm8a#IScb% zGzC=w^`rW|y2xKXRFdsYF&J8mmF*TVfc!gAQP$RE6}HDJh8RCPX@Y5K!S2OmrU*84KYrDF}R6eH$re5^Bx;a&~)A$p!j&)M4Qd zRObYbjhOl&k~ZN}d{nNq8NfCm~@*B#>FWS0WUv^u!nWDhw&&;+)C(`AWf zNh4G9M`m}1kD99Q0@V{~7TR`8fe`6ESd)oYU3H4_40c#!;i!;jU_2VAKiEdim;7En zSRpBvT0VlA;3m9_t1ZBxff5eY+*OGL9sRs$D_)Dii=sU-jHxaBvZA!M+tdC zuB3lPApC`AqP)yJw3j8kYUc|0YQOL767PYW)TrqRejzfEj-~oo6nkCXWNsANH?fkO zQ;%q1;xPkCoGIF5d;=^@y}aplz645TmKf+9Urt2Z2I$nIHE)K5$X6fUqRkajm_Zm2 zCj^dnwPhxkee5C~c6w=(9iNrQ-%1nP4bw#$x68u&73$IowuQ+g9UiMbYX1o0tAUDw zlT`JEec@q5X#dnrtDdn>U>Sl6uUzDJyU0roR3DcSQPQsgf6ZAz)lf8J#o`&QB~5`k zj0Ng-Z8dIyuXcA60pi+DmR~ISCe34VW9_7ezmRCh1&1br{RguL%Yr?QEeZ=;D0Uuv zHqEIdPj|yJ_yxS!<@PY#bUgW^l6Fu|_~-@j5ok70E&t<6?Xi}Kj~3T21_DtgoZ%44 zMkRdoOp@qW79G%L=L`OJ6u|{#=Vf9k8R4)>w|VR^)&dv|EY8UU~B}_Z0dT zupJ>qvCc5NX&gCaGq2qKDv3s)Lp}JF$(<&9xfNK35U_<6`_??U$N2&$tc*Ga^aATB z#A4c&|BWSnIw(g<(wg9O1^?a1({^**6wih!zS}h*A{OC!NJH) zsQa;^iiL&9vtGikx2!{f zn$-W1QH~Bu8|BQFzA26M-Bm| zQ%+B9f;atE6Oj#)XP_5-e(3bIx-V3Y%|JcU2NDzP6f~?4o3z8z4v%8;a;XRxc+lsU zAbD0#c~fMj3eY7ZXS?JL7!4NgL<&T-%qTiX3BWdJ2IP%JKkoFfYBP-NT)9D9QQ1YC z&5HLMR*PFoOwLcc9kL!WEB}N}5ACZ0oH%NW-s=zq(bx~|v5!1wfT9hzg$XeojYyl2 zuTa~u9@+io@-`iEsYdPCNCWrfooZl9=cjXA)6>&S^ukPl{&w_tNi}YQ{B0mw{@fnD zH!|26BO+ zIZoLsrh#MI75}QB&3B8`Uh;SQw_0?8}Krv}Y8BI8T>mR}S|~Kq!jd(7_0xTNNO$ z>-_|Wu7(JyjYTmem2-<{U3bm%R(j4+oHG&n#t6`MlTT+ruHR9&Il{3Q^69WGyB9}D z4q4MCli82V$re?KH_)# z4W{i;vH1m&IOURe)aw=`7xWWLxn<#jzHsNV2pXU$VKErkvF~-MjS$+5bUNp_MY}75 zoBH!m;5960_<@D~u*|131cxA50=lPTac;-8a(-hp$^T-HmZ|F%nnBEDR3czdEH;7q zZZ<#_NY=U$ba_Apt-xU#gU0B?IFRAMRNZ1gANcA=?<1a%sxK_&aL@Q>$GK7Je z#I!hHAC*~!tr0k5BjIfc2`=ov+}&99d?b@hOR}d@eefbEBwB+@5u<|wMKx+8$J4RF zw7cXGe-?a9-&N~Y`i8AQ`AelqfMem&awe%9x@Du;=*n6)ozUzBbhUiTh~XV@(UpFu zR*WY^HI+Nyo4J-*3|M^)JO7Z;z??|7nSpkyL_aQNZ(D;sJ8#A?u4v(vnasGE{T}Y{ zD(n$qRSOsnw+=5%N6n5R-W5+i%PqL8y4G<S@`{CA)LntVIm|F6r4ctq12sGzz~q+}AQV z%f`!S02A66^K)I;j@CGGQTZV@`YrwpL3gW_A35hsq`6av1IBm-|~559BPj%;;p z@ijs`Rtzv_fzKXO5(O6q>BM_|DwJq@ZqGO;DRJk=n4UGVlmg z{}boz?)NPr@`k55!5GrGyFhG-B*-J0>Aquap1^6@z&G1XkC7akqst63l-*s;M|T|R ztIby?*CREGnqvH5_gRj!)Zf&?uXG*;6O27eHfY*o71f#FCwBE3(Om77(}Hpo;10zF zkWkc-%7=+{yDAbZ1$ATOKF}HG2CA{h1&sR31GN|Le%)Q`h-|)5u09qld=4YV40$>U zFaCP9z*kEdxb6b+2`7MSGH`j{4?OWSih@4fIX4Cm(LUDN&sDM1?j(oKfEW^jS-H`; zm6j1_=n8$J1qo>$WREFnuup4@s#tgk=+`1 zRtz8B>HJKmS|V&S&sBi#Uqw60uk5&5+;F5A#n+>#MCqNL*mK&B-)}cpTV+dd7+Fgj z#kn2r^@&$u4=Y@F$OBaA1!0{@?B%xw4Bea+ed)_Fy&Aco$N>Ste&%HAn8ys;S@I+K zB%{eYE5E2jXi+|eel$1KG5 z=vffDNv?6$v1w|zl``34VF$nPMlXEyEJx=QA2}Kb=LAe=*oeTa%nGb{VkJ`R0zpk( zn8b^-U#Oy~%6ljzLlWXG)jgpZKgBWwPt3BJUdJ4UsD=iU$&(`u8McI0?0j4zS1XZa zSz}>vteMM_{%HBF>^36FUiqucN}oTQD|GH1h32>8%a6WbJhg>ZFD+(>glBYWQ9l-A zqWzeqS6)CI%E+MF`Amc|@(zB72J$yh#nIW*l-|n1$24`aawl`4@4_+Q6B-Kxg+}0T zf}=OYKN+nxiVO0?xDd{hmzz0hSgEvxD@n-d8W1d#da-t!=U`A$-FJCzbbf}$g1a|& z4*t6;Jr!Jn7k+$)rG9DN>66SyR+?z3yj>m%8LLI6=^e)H;oZQ4G>hHC9sQo3v+0Rc z-eUrX=Ya2?f`JB@DY8(5+w;(T>q%wiadoYLBGz@xaus&|E0^1m3(rf|;$v2bU+VKZ zC4d0d#-7V6QVv}phJ-Gxvb9lNBKL=_2QxzZnS^tMd(HfrUqz~+&nim`$q+Ll9;dL6 zj1Z0nEmZA&Zfg1T9Jk7QILofFpu>@__Y|IB-+sc9ZnO__TAJFCS3gWe9r#cqCe!XW zw5C59UG74BmzM7qk;3JfXm*drUCymi79R{d zIv&QdhGBOM6aLo>BiylWJl*_!7*zpSZTz;Ta3B*ncW_`>Ns(YkzWg+ZF z^L!6;HaMJ?U4wW^Ej_AyOu=3>|2d%zt0y5GE3`ZiW!g<{1glO!lmmhSNx-n>*vqGs z)pbt;6L?z7T|w(sBThh>P$KFLot~Tq;cB9r94vPUeBTM`IWY!p)E7X^p6i6y66yT% zW9I9ct9x@yolz13(F3K=276BxG!}f3rR8)_XdrhG99|Bp&GEUmznCv0*oRHlT`73b z3I7Uj*XKB@Gn>z9FBkK9PHKzcQP19+#)0Xez+z^}a1A_{#cQLJv$2b(dR!xB z%rvA|ca?k2OJ*f_Wo0Cuxd69lw7bcDy7(()a8IYH{wo^cE#MWB4j25%WQDm})d(7R zgo!iCyqn0V!X8_Fjur3Na8D%s^tD-V^otrYk&%8ek(HLj9#5ZkTzPdg9gfj<_p;6^ z=7T;L(kcgDSgEUe1divgUKfz#CP}K_mS_}Lq85+?%Rswl%EM3xnM$9^JtS{UX1P2R z23bOFYT9&&?O=5YoftE-YuGKxk znOZEI4L&B^ULFYDQgIFK*ex09E7Tp!N>>Ad2WYPg6U%(QizQE6#3~8hq$N!oZXW7k zVk4pNg_YL>tm|=(t^iwXD$DlR> zG84-oie6-yV5SU=ww@#;(3L@PFKv5uk9k0?h}Ob8z*iP40Waa)pm{=A0lANE<;m5N z2>0U76OVZ=l&$jbDo+;=?2_2DN4Lhb>`{XerbVM$Gm_>n2yRy~RPN)L(d797a^JQut_CeQ0f_l^Jv?jU-EqvFQ5T=n59+TZ{s8=N!v}-@$JIJ6uL5g1_Qb{`e;Lf|3{n=CzaT&cRQ74#JOjaxaX$G*6{Ah^>`uDc#` z0XFUpi>K{?3$jU8Q_@Ef*i#W zBi;kq{*UkUad8+&->bnyEyvW%N9P)&xW`G7PUw?8U>R4|FHZ0mfi_9Wxr71zwJfc3 z)h+AkIB&3$vVUsA?hVw(a*NZOMV!P)W@_?|mTXJ8et*=0%}d+EUb1$p zT=|TU1lnkJ#xq)Y&m0t|53*1T@5R*Q%S~L5!S{MpSyMBS$MQ{WMKy?=Zah-Xz1j@4 z7Q{$f5K3|l>cPb0YL{OD$&@*N@kEU`LkbbvI!hxoyGA%PvBUtp?|0(t2y?~2XZagn z)oMGKyaF-S!ad~L8Z9u+bb^0@n17SUdU4BGn4Au1uya@YWFq(Znkeh8%fP#IP}B;> zRurz0?RI_9`Mu%6$Y^88Zv&BYhlOa}B8Spz07Fimv z?^uK*@Wy6Q)xW~J(~gOj?1{%lvpb!oEHiB&@kUf11Bah5Qmss>0Lct&+wJUVx_3-h z(2c37J1ZoECOFFB>&_9h9CuBkaYd5RJx12Xpf=EVF0URxS(YgpWZ_H&1yHwUGx3$5f**(JcO4?`vp@ zR=6Gigo@GBCT$1e4)J0}3oc|}`X%Nz=UfCN7r#y*DSWXDE@oyam_SnKGMle7-v89_ zq|;njH`L{e8t^JO8q3Osegb?SHXDgQrP0c@@0uXeEXx8yRrZ`|5w{@Jwcvx!2n=S^ zb6K5n0^D%BaVDs_Hsu>{5f>@sVK6LnhL2$GiLr@#N%6P?EOoh+vhd4Q1te$>2eCmx!ks0A$r6N`bKnHSQq z(p=C7Hlr`WlY~H#Uh^~M2(8Kz=vho6m4BT_bg9Agml0P6MI%Tlho;c{1X6h@e>lb| zqSv{CLuX)Ck1@k0#GhT}g`w_oR0KrVJ;9hq3FHa^_B#P46x1x~fO#rmR%SlnA3x^p zFJ2kKOu;gbX~S(+$AMStgRvYM3m@y#6F>zH< zJkf&Uk2Os(w8TukdM*Jwq zivIWu!lopbcUfxF1Y@RKU%Lv+G&wg1T{E-ffjG*YvWFoTaEA@O2FEB9W2M4SBs!H1yBtqnvmy?vM*=S(!Z_N+;Dtq8^5g zq<{_3d90Kb?MG$SVHh)e94y=3&Cj%~$1*ls& z_8?qmNUVR>IJ$jYv)Z3CQ8rwRnX;UY7j%rlB?r0AE6x0w zkxy=Ns@FVi+Bq}FeWqUF3BsZcSL1)k6&fht0ONDneH*S$Uz(*}6ZGA#zm+$nCYh~s zfH^2~_JZs?>Kf}%RKYiGRYqgY=~yc;cT*s??n=Yg*ez2JVRj`dulX+&uonzy+vz-Z zPxPy!+Bj+~!NgZEY7OeuzB8g%b~ZM=dxjGaJ>Imw##9zyf0++ZUEf>wKa-t8wj9U4J^8Nh()}T;0kv ztiZo)!R7%|%27p|bQY7?WZH7f$*n`*MqfnK_%IgKl6hM{Z9`{$*e3k=ux`)}X<-BW zjdgvVi^`d{M_DkTWpTlD0d?r$mC-w!*Dhj>`yB9(kr&u1q+*^ zJHn43;sU*&c{2|EVeE?SYjt63y|DWNJ~R7bF>mzn=#yB7g!PaX%WBB=b7is^C!#$*M*n>{0EXjRv zmVa1lSef2c$qT^T|GkekU4iCgqC@S6atefT zebI z7U7u!rYL0GB;bMcW$uGVda{ZLTf0r`>+$M7&TFXqTkYfa4eCA*s;?PGIGdtr!UnN4iWHRX^3EhXG= z*c7;78%2pVm>?e%g)a>Lti`jCMp*o1yuP3u&xIW_P4IuB7q+|OOz#(5;OqT4TXkO4 z$kKD%!$Ri`w>)@9E5~beMTEXIWQYyTICTvZy3wyK1&A8R*>I6P?ovJMp%K2?)g}18 z;^~X0VB;%j7uvl6AEH;a5)PJqEJZbmpcwaUzmo)rNJLe^q1F|cYajcOB4X-_eB1KG z-TgUy%grx+bUIrBew4~E3fGyR4#fLXJyV9 zx>yu6;r8N&9L>NV>hSzj{s&$JwE1x%5bek#7F)c(P<7Dj$Ts~`!ws3+Vcs^y%}pE% zhr4>4Rdm!!Y|JWh8uL=qW(wvpIfTtFDOYn0ra=bRMI+X)$!mOel6dQ+e>uU3aiBS3 zmu@^8s2z}S_O2u!_E<%&jP8DBZ-=g?8t)H?G3q{H((&VWcQ~DhxNT<0OvaHqm`ME0 zd&s<9HDTfs85p}Yv$7~|Ejk`?u!vNCGB3Ib#0Gqz87KKrYaf0!Iae6tDDsOq@{@kK zHz(_lyc3zT=0yA#KWjDa?v{j#2E&Zx2l!v=+zb~S5E*~6nycLxzEVTDJFJ+;yqTLR z>aZU=C5%^vRD+7S^@bZ{jN~mCjGGX=N=jjcUhapPoy+Y1! z)1st&%KZ6vx+wYVKv=>VXF#RK{sOgG zlLXgWIbjOVx4H{MtQyy|!N;984oO^$aQfKwD%a)+Ouub0+V@#cG;O$_cp8HBO?XK& z_b(QNoi=_$7=q~|uq{vvdLtYQxhhu9pXIHSGkTvK$DIuybyFhZsO3}xT3l)Z=cWnI zJ>#J9L_$+BcOSOx8G`&HMqC>ye?_hO2S3O`h(#G<>>6dnL7668u_no79-5ChNUqq{ro~=; zoG|FG{UkBGFky`vy8cA_3%uO|!P_#0#8g`0!!}7y$O!RDejj*u+dl~3=uK6>*igv$ z<8&Anh@%fi{bty-dpW*razSN$W8u_-_#So?Sw}f))edOet6czjK;bN)c86WgzfsV- zs*`dtF)^&2W6QeE@^LR~N^-W@KY2|rZ%#Ai|ACpDbM{L{)}iGmc%ILDj1Z6a9vZcv zk2+zhlf|&CkD{WcMAB;|I+!wd?@`6T(7Tb-rt_wnZeCU@)F!%Ui*(W&R4jv?F;vAliC9(piB`7ezl3rH0O|)9I-Fy~rrW9sM)8#5~x#+MZy|H9A_< z`}Difm8F`6ugBTfUJvt+KWwpjxK3{~FB<`Pysl^JN@;hg%|q#M=g1*L@3Y~+$Th?Z zHc-IKXoqv4?q_L>=FX_$WJB+^#b*LUcM9VUn)X~TTVnht2t3%|XNVBs^O5!& zU|%`v7oO!yKh+SYoGU5|2IkHNIn$)ws}c_E2NznP%{V6ip1a$%i#M_HfY|F5{~SH9 z2h0|%j_H+}84ods^;)6Wc$w&7a%rq`b_j<4gBEv& zODi1-f}~@9{#os=*DNoze+Q=aQHUa(5RgZ7EU%oZ3vq|3oj{}K>4>$Y**^_dlaB+-q`!JW{9mRScc|j)lbLyMCuy}=j7AKzkpg8%`W&qz=Jc;pkRNaR%% z8nhA_XT`(MHRh-mIfp>>eF#0m41}XT+3pjcoU2J%OVW$xgyzU2k5pu-sedpwo`!Pi zhVEAHtZe+WLB!*Y0C7KG$q4D8t;ySw@j-Qow(1inbc2Cagz03BDDWF(DmrzM^OzCC zAEDS2BrV7~OQ9e#Cp@aid%{=uhrU{Njeb*skfLAoR{14}V!k zEWWWRA!$w0nrPo$H~nsi7CdSyHJj%icXufstK-}_y-Czx z2dzs)1)$rWr{D;PdCp1BR2AGYnKCau`Q&2(6^=d^c}2Xkb&DgzbHx)!?&=fTKYkYB zMEZziH9xdhL%=Ip zI%k5m*^AxnKpKXUw!u6i%=fA6h$1I&VNi7$iRfc?$&7(Y)N3m53yK1QD61rcgFG{$ zMr#peUXT>_epl}*<(2a@QLhZT5{5_|mf+HwiGWzAKH|s{huIsx&-y;^8@n-zl%@4ac$`*5f_5J8};N`Hv1k zv@Kyi-VMIq7g3M&Uu?b)#hG(0@JBKAe+*m|d(PSH1CNYBDZoG~aexn_NCt1=OcdS? z76FWnmm7HrgB&AzXY$c~#F=BIU32j*M71`0N|l-&kh#O-lX`Re$;O;^&J0)MM=KU&(Tif1wa`5N$de^@RoEYR`!Eh^ zQnSWi>J&_W2F+wv@M4w`_>7PsPJX{AY(Ht-W`|XI-q^4xFhM6>`ZN!$LLFLeaQG1; z#C``!pZ9~n-Dj_jPp)B=gk9MJ;2Fnq7A?%_^SWW&@+qrmi{tC&4e}z;)bvF17;mHS zlYKld#&yDe!o8YN1jySGTU|^{;9+W%;fe{XWV@_bPN2szj1H>?bTtdzyM1?^owMP6 z>1hS|$1hVzvzUzpJq%-o3dl9plSmh>G^m<1Ofz)rxo(r+v)%2{YHImki4tzb%w#fz z4khSguG0q{p$Bw2PU3Ra6cBjr3fyN)+FPXodz&&s>&58mn|Wh32%gneeUfneAxbw7 z{WMx0pP7_C%xQ5pf~1%%KH%iso-oJSMG;T!9>q>G) z+v*Qn*&GJ0LC+rxA?)|lI#NSo>4cQPOVr0rURfTHHSeChvTex2zJu*u6JdenkiHz~ z*ngBgNf{^_Qpt}Jd0UPWg4r6!8Tt+5H{{t>D;9y|a9NUtYjlHg+;)0KHBLE1sf}$k z0YQ%gwSoIN1|+7B?x8&h(!TtnI5(T%RC|!Vc=C42=q5&qhu$@8i=j`3;@J$s$Kt)^ zb;Tzwl8TW~(5!jNMF$mrW1y7@Xvp{l(?ZYc`_OjQ#~cP@WrxihSm$>hVNw>^m6(RI zCmR?snJ-JW*rlf>t~d19dKQ7BLW1ttZ0ATHT^7aPALk|LBZp?1inY?7L>XUp)9NvF zBf;5taADqf`_|U4+c$Q5t&cs{Q$XnkwfqYM$ zefr%s4Qy>zJmkU{C$SIeX}D|lmAa|%i}}pa)Pz7~_Q!4w5KJ?ERP>tmsYC6x5)LaO z8Q4=>{aP$Q$LmfPVvyDipXAQXeNa-*&b?9$M(g7ifg9S&X;~_O$bl>Ojd9)=(vc1I zj6R*o-tgUv#x2GCxur`&bcW}jtgDv2C_^UIM+d8FghD=lrfR6pY9<4K#X_YQ zIBzz}5=Y6-8An!ZPg{S(6lxYB2y#Gp2RF7OqY#;LlF2NZV`kwCNcnVx;v&2cow8^_ zbINvY3QZuS)U<-`xWUgHOYn6iGX6q6J2aQqU29yK)i%XO> zIyst`Ttw27!NbRKlCR4oeTTl&0Np5!JJ>I%^&k2H{Otfm{E7RC2PVQq4HHN{v(QIx zO$FVKy<9{(uM1~q41l?cTA(%W+0C^P7{-u`7En1mXdR->FK!=nQBH~(t8Fe*mRLaU z4S-FdAEnMYlmTC(L45=^tCa$%1x_%W^j~|se$ycQ8;>6pR&o13Nbdi+t^ug?^LNTK zDCPD~#`9L}f0N_?w@dwd!t?)-H&|2Nn`HTVS(3$s-AF!^D)8_#VsT=uxUOi;OgN{( zd>{MNfb2}RW60NwJ!cmdue=5{SwI+w_XhS5iL>nu{Z`1vTDSVPq(k~fo1=f5>t@jm zv7w3cwjoG#1I)GS!HLi!Q4Czyo{rvh8WR0yM;aIWc?VEQirezu1$}N=u#U#Zy-hkT z-9%@@Gn!nE>0l3=gIVC-vlDO=7=Wp=bG8jrsqwrY;yoO|*^hGhX>I-roSG`IZc!&~ zfD6^w>*u@ok9v#KSS;GhqJ;c{E*C}=@O~3T8M`dW4ygt9cbxLidx?z9=b3XY1(7u{ z5SLNCvUM%c{B_v{)mt6)YWBjm77bg@ji5VXzMIK`!$p-ti%G_CU6IJ3D__x$e_+7+ zjSv7fBy_8tjE6J?Dmf<^J7L9nx7uN`Po-V>aY_d4(PWQ|lo|l)M7EqS6Q{NC>rFLL zSC6k>Q80>a*&70Og3%<>wGI#>a4pA&eh<;#fXbP0BgF{~)29gTJ=n2bK` zjO&XA=zI4jtsSNay2r<(o4a~cX`M!k@6A?89f*SYPl@x%2Zr%fbHi1nzj`e^nQ&Nkh3K~>`%3=0gBjM zn>~v*5#B~6)^i1gJggTfZd)Jv?Wixpr%ZE4k4&4v@m!2pfbjAf@bDfi(@<2-5D=u2}{ z5^TiGHOKtA?zNFp7pC!Ckf;SVK(MM^QNLuVp<5p6U(YlJmJFVJkCO*~dO`gxj3e-Y z@s+P>$~kxmIOjkZ;Z+F{?3n79>^vaV9Q}Q(#TrF0urv**L${+Oxz{^Re8B&#Nrvoj zk1zw~?g?^oZzzX8UO>Fo0iM8iXj@%7I+C=3R|u@VW4_F}m!;_4C`Nyl#sf4HpEuyGoXJEk3QGwnfTtqfkx=lEV6GGXNQ8`#q2?gEU{Aa z1j4Rl^yk}dej8i_aF#N56Xe?ICKqw#;df7&+iv$el))|S7Q0oloydL~DAnkY< zEa3fMl(CJXDa;*h`^1w{TKI)&zho0M<2Xh?MGi!i{=7YGaIO$@Xp|To_v&!pv;e0vf~K?X_` zTJS%nT?F4g63dyKi)*WG`9`qIjr$tkgNX5WR#Pb3Ft7o)byd_e2Cq$gZJ ziJ4qTA2l>>gO+z(C#s!pcM1>M{X>7!FA{GrRI?lxS7Wz^JEyVD`C6X5j$&c~k^hi3vk;-zw2*{5T;wx$7mlqunUc|Yz>ZwFj22AP>{}4ufEs)*7b+PT5%PZC>a*GV#AjQXN9{C z{Zu&aycqX0%)78f3v+KQBE^s6AHwHt&hynai4DQl*aoQUcd>S3Lmvg37w7bYAZwN- zS&|BuV4;|G6I*V<^$c<7mU8x91hLaaq;Kwp;@AYUZYhX&&&NV=B!rJQ@RJ@@DF@!@ zNol}Eic-w*@$zwrq(Dk_utH)XraYT|cL;u%W57H|-f84yx!CB_oMJ))Y8JlWmd4m~ z`CmI#Lz#f}1KeZvxq1zJ#)ZzdmQ3Gb{WhJ!_$r2-W%xh@6L14wX7beN74f2w?J2?B zlieSZ3zwiDd^b@z4HYhz&q6bgym2jr6LA}#*Tyv;QP zqSwCU+@2B$`n^hz(P>haLzzLKDeX3qxj4;Ygf+wKt(pjkLqJpIt!dUCW(Sx{dYd&p z86?-}d87u8Hc^H8GP`WoNdwicu8#J4&ErMS~wD=H9>P7x4< zg9h|RB3O>LQGOcIjkOW>RgCwlCY9`^Q#%hhLln7+h@(o-`7{0+G|;0G(Cf3JPT}%f zi`UegdHM;t`^5;MCA01WXSl$&mBHa$~mm1@kDT5=;AxV`|V!1yN~);|J7^ zHnYWjbEFM>1e&zFdlIhd8@d@Kt&bo?VSesNf=#%VpK&nn>-$7sFtn44^ucikR0q8= z4Y-8H3M3_q@rS7>Jt_%$w7h@Q5`Ww^*+9-t1GM}?imBu&t}q*4P*0OHCv5ZCDxN!h zV#RFg^`a(nG8PZiv?ZnU)t3@M({NZKy@H9kC#EMELqE1=&>Nm0gF4RXo#J_I)&fhF zpL8JVaEXkMZmC7^l0W;?zMyl69w5_q!E~!nG%d$~7k4Woz&|O<8VqDgH}p)lFVoON z;Igml%uIals131w3i`_G#fL|hyaXH!zoT({i)}ERBb))WEm+%wy316GqHOw=`Ukdi zd&FqMt$_-A#3lEKQ~u+)&s@<0un)m^nQbW|*78Wb!m_u1G%UG6AqP$YV79>YfEUSO z`X}dr({@2NVHXuz5--4r(buM5D6a(i>VZpw6O1TNVb?tu6d?b!jB?}xFgXD?giG6g zeD|qY%+uV#|W#^O1DR9OoeJTvd@4Ol5%KPcOQYHUy90I&LlZ zwuRjV$=@VBu8{%Va{N+Pj^7n{pNtDI*-OYg#eVo&&&Lfac-~kD3suQ z;e%G9dMDE{bqWkXep)bj(6&8hV4bF_I@4Qb2EXRrY~$^?gn`q%;2Q4bT;BtFUPCA| zy;}waY?z1$qy*pq0E|JBf)7Td3uolxBvHfwU!%!NOs0fKbW)rb;XdK!6`y(s`Ef4tw2ghCY*1_RxkE$a4-ce&7{O7v({xAGQmap1YA^|?V;8Eu z0k7B-ij3`qZWz#LDn|z@X!%ME^Xi3k#;cDh=s9? zlc_NRw43~9 z`(z$)b$lmc(>$_dTq?-W5_YS!GIWmt^VfBXL^7Y3DSe$+cGKh(+c5AqKgDxB?|I~4 zS-uS(5F0wxL}jeZ|2}K7){K%{254kJZ{(+rx!ot)Tf*_17Ox_x`qqr}I{jzED4qpw zWKtU#pF^IoAgxB_67q$&p)TC*HitSUU|&Ba+;n<_loal&h^DrTCt;pJ z@dX`^bW-OZ!7%cJ$dXUz&wWZ_;1_<eMjX-XdT8%Z)V+1XI9tL^Xr| zOQp*73nkPIP|(@$iIP%X<)h_!L-5hR45T7U#7oeJjz)`B%5yL*r8#@dn!Nzbcs-3? z>QlA6S-x>+Wjv_mu@mgY)$aee)g@|W59I+Nh$H>YSGVHK&#K5ne3ch(yg+~_=V$T9 zf4O6mGbo8$&lL+{=@|)ZQ*=-MylrtIV`X3Uroui_;lNOD@@>7k1VQ3^$|tbp?uw>$ z133w$Z~*w8&jzb)I$s0o52wQ$%donL6!X**&7o(;bEk0x4gOebC zA%Er(D|5=`L^B1f*%?$Fm(N^~-pupKcF`=7w`oYARLf5-z~#l3MYiCW0Y7;Sn0_38 z#EZ`#Vh&kLdj@UEK1HI3bwT7;=qIogj%go}XZ-|wIk(YkTSkyZG6cMP(OijyA53_9 z{=TVt$_H=Pb-q zp6hlfI_v1DQKiutTfLKh?0j4O7e%Yi0e~(tEUJq6`|q#Anic!r?grVMzTRi7ney7Q zmN;vTGIHR?ntSe}?4r)Bkhr$0cEMUVzJtmT4HeERJa%zYer>74sPWV-x~ak6M(mBYM&q`rgU zl@HXn681-z!flI??S~PsQ}rE$4{S&jE<6QTf;)*~Rdcqm03*ueUn-eh6loM20_4Ah zyfG?>KSOX9Z6g~!9D%Oi8Gd*EU#T;GtLXhrj}x?e`QHMK9{;%*DJVn! zk80)ru2ue53zh#L%9NmO!~Z2ls%v&}|G%!*{_QWnJ^nuxXg&UOIZ{w_{r^AD{y*eM zL&}$bhyE7>|II+?{~7pyj{1MDM+(Zz|NY59iPXRAk%Hg-*91Ai&;GZ?Y0z=y{~Gm& z|5}gqZ-4e}$p0zC>hYiJk$%(n|NE0~23-dJ@$8$uK(m1V-sAu6Qvd!1{J-Q#Gt7T} zF&6{Mh2cdhX(}05823ksz@zcW^U@UPra4TZq#gWO^d)EZeg0O5+*=wE!0@89q7~`t zfLWF6^rrWz`c{Lhj3np`3vZ3`oDO&G>Gf1(m!e*_(B7WqqkiXLQjyZ;r(GD8&H+FR zaFMvbTfA6tTT|mshgt+&;D(3m`ai+)iz4X$C(ZdeK7B}#L z5jGdXhpz3=qX$G^o3j>#VjHZ$&vkoSo)O%-G7V+4fbi^F0J4C^wpxFwR|RbOt@{rX zwG_Cv5$*xIf$ThO`yEe>195GLGRA`sKsKWg>XK;- z?ZJKPtUVW9AG9{2VrEM9OClPcX0Ct4kwhT0x*5~!4Hqg8qCJ+!?I`*0oo71 zJ1CC5Shgx)g}F8fdSX&Dq@Zl&e(3M?4FAjl z>q?v_OJ!fY!sdU>j_X2K#kOYCO9Z5?<9)Se6)**({{(27zyI8 z@BvVsu76uQsF!&|IRi+qiuTDh+BTd_)eAP4rmoI06KoV>ZWL!uOSV&*5JGruA`TP{ z05*7BK~AqPMOeRE1r#Q*?}yjnH=Y&g33(3sXo^D|#vTr{xv@+n&6zjPez7(eE-y*} z)On5?4e$td00~aC%@$@dm61zg#E+NW<+g~@W$cbf?x*Vw6#&*??5}-`jV6Q6AYA0< zE|6mh`MHEEL_bmaAW?m}R~UTTrRC$9M^zdkpu*Y`CCxO=Oa-`7F%H7CLVl}qfI%^MUb7*`uz6R8r`m5KLu_&5DoI$a_)WsWpKc^5=IYyIfiwVu}f>xJ; zW+Qv;;A7N~Qo|GuO|N3l5jDDOAwbdtH~`&}QnXmr8Dmk#^Vm*F7lr0Br-VN1$eMOU zp)mj$`n*>M0QUh+I`R0c+zp9|FcKBiT!NMWAelp#%?2wj(1us0V`6lMN94k&5o@$9 zf)b)y1aGs0cmUt>pL8*~T0Ji-B#}JfyY>hoI0I=IqP~I4sfc8i6BIOTE$W zbiYk(G!MO!_vbYSI65OSaTF0_B$OE5yd|Z9iU*8-1HOzoNg=)o zC>y-3$x%eNjB<&K>sM%YWRMJm9r?DOI}`0efi7^9If`Knz7Ch2(r7}-&03@PG##L_ zYDk+$ovl*}6Blceuus3!Zh$}2_9)!LA)WMr-$cHKGVM}dga9YB12kY@@A)W*G?a-b zJ_u)o42kmj_le6XEnEHWw^e`f*AMZNq$~8q(|WXom)XyMeFBmI_d|4o}%h!)1_uY#AVy1Az94z68+p;{bke%Rv~;%~22B z>~kEha^gJSn$iQz0mB`hN3&G9GoFe|6l+CnrWgcpUZK~KPJpm42H2bSkG;wVfKmh5 z2aw|OvlgZGm-4AR5NFYUM!LUnApYpL?oFvlvjjD3-2k?)q%y%JdYPG~4?q2O|J6h_ zVgD6D#K*2xWuUl3NsT;iec!-oa8%az@)rg89DbVh>!;XXV0U?wXE-p!V%Z1bXgiQ7 zW0A5VFAQb5%;W@h?L%A<@aa04*cw11 zM%kVpmVEGmsX%c++L~3MLfIbbS*fTr6d?e}?-4=+GS*Lr20C|7uWG#g)}3a2Ytb^j zMA$e1*C}K#-N~&EVEDK-DgFGVDStkl*D&W+3PVMYSh>y<#;EitwrND(7@=jE-3WE*ep*g>rGIpi ziFz6RZLnf4g76T-PWIX`o+wiHSLDgs_n5$SELsPd+SfW}mwEy@ z9Zb#Df*|F7Av6Ck?G&7g$Ze<*@VY8L1GKZBZk;WAPnpr0jYt-69QW}a+=w0oujOfv zt%o{yKS93nF9c~)ot*qw7ybE%Ti@VE88%x%!gy5hwT^l*ps( zeT>c=A>*&CLO?*g3@n}J$SIX`&L`e1zmQ{K*sn{G8{#Y%f`Y-+1K%zl6H5T4`s}ww zq6o1e(wjmsr0lhc#)B3%p&?erUe2bEq*N)@40&^pwBfd)xHlN@8+AdKe0AYxF}rFS z$JAdDpFv_Oj*nk8=pA;XUp09K0??m4pw1$tsVk&8a;|6&lu@IUgG0JABN#8fLxobg z(%ayXD|rD@Hg$V~_=sKAbBI*_7$jQ~mpu4`j;BNd%CQGBr6 zUi5j{E+t&Xa5?$py~}n)(cB_C_5~ZAVdm>u4jxJ7P(l>Q$-l~d=jE^lyfAPYRwOO! zQ0BI`+{KoNJV73Mb00v=)BrC5@gkd)LpM___4wA3A;L=1S$eaX@vS0oM{Zg4m%dve zjDi25Tx@k00e;r6{CNl;v~~!dXoV`aq0l{eJ}CgIw%rE&-4_Wd9TfxeY3>Rtxq%RFBRaSyGArXJ(%w9>&!MM0oOIU0+PP<2USTF zd&1UbnqD2H2-5zF1%Q4}p{ja~hLr6tJj2G6LT&aCS1!WgnPOftO3_9EMSSX-yd;z69VMFTC4cQ14{~p1J_d z5%CdIv12iN(l61ujEk zAPy{u^Q#oXi^PH`_g31W$yEAU`u&3U^dsF#=ys$UODqACYkRjh21Az(N*y}}`9Ubf zdJ}x$-oVuE@E}bDy;c{MSOu>?n+uD5(Y@#uRMB3>j0>ZtbW6cVD^VHYIJCyYRzcB?A9%4pwm_zm9F$N{FChW{y)v;sF0F2e=K#;WNA`ar`tZFKfaVs! zw6{^|0D5qyvPKMDV;TNe^CGQhY5P<=5-?FtSg~kAjc2B2m(d>Dc2nqKr#a}R>Vosp zO((b_DKADBhou?%-r1V(fGL!c%t6I94xGV4kZIY3;jzgjeaaY((n0Ca`@#J*@Ng{{bh?|??#;s?*jlnt8<0miEK zYU-5-ghPMeAd)4rWA-X-+bUJi{tH%>>_pQAwT;U?m8DoV1awO{pboROjP;9&C{qcc z-{^SUQPA)Fuic@(d1U{sGzZ!@{~vY9{~SU3&2{o0MJmvS=O0BX&?@`CS)=-PrGHhXVjQ!*v@FpJrX6)Iw^LpovUC z(!SS4EjZHevIlWB6n{3KMePX_>EcmFPJHevQAP<+3e}eJHscgH?$R6eCZ(1JS!5@I zn;QVk;AsAwITQeVUG@~v)N@gyvw!O8D075-Yp|d0rJD&$CbnObb2W-hSrbMSEqQIE zb1$T~YdPQ0r9+5;J#HcNi zb5D~_trABOcGZh;9Abgm@sQRG(53SO_Nc9d>{{5&eeTR@lO5RKRuqcp5!jfY3qSCz z{Yj@#2GEUOG5J>Z0dqXlcLwlQbVd{q!0|(*>{e2gIcosE5YXZ@>A>fw%hZTMAhmlQ zW-A0{PE0`9J_gi5c&lf-N}@>-0>H-rb=dFA=6-(+sDqYeuempnQ`t#b?^wt!gqL!! z967D)hwjiQl6^}kYUe}wcP2z$Xj&$uxB}{Id3bHa4d#d6zk7b(2jV%OkVo9NpdkiZ z%GrPzxWDG1JCN+mnLl5ZK71toGH*B&*`ig8v4^%m69N{_Kms2dA81R%ds_DKmzWik;06BT@3%*XaBAJ^Upxz`JXpHz8Tp5BhYww{j(hI^lEiq`kzfAz@|HKsKKg`jnm-HtVgXj(_gpgT8z z;0Xka!0rr9pcy{YV;!l=#XtDn@Wgw1pglBld%`vR)O(=>eH6O(l$lHyrffx9tnRaHM{}73CfJH=Q=8L{c%e6HODjgv5i8;H+~xSkKo(aaeX_s%yUE z^}o1{6`6q=Uy{$%KwJ8t?m&Krj{EYuAmfWiNcYu(W3U|zd{)5K{K?8^_#?~8haBe&DWx!03&Q zJz37M@e0wti}J`rhtJ7DU#?0XwwEp-O6m`zWb}Jm@K=cJ%lElaJwAn9G>93B$zpHfPgS@l};`!i0OtbsemGz=o-O9tb`nD%SOI{mz;bs5Fec_I|P#B~SR zi`{~C;0Lj_e63ZIRd{BMWkg{Et*Ec3T@>4qwFCLPQ1<@C?&aUh<#VNw@>|}_0VBhy z2_pk*qblgGrG{kDR`5ibj{ZI0YGYnxKgNot1zmT11J`c@ExjaK<;W0TE{NwEzExEQ zt?;n_oa6+hUMb)unis1~_vt@+uo6QcCMt7sCp>Oql-=6f1T@0V=W509DEIOHn(`$U zLml6naNCiH;8UWswAojD4dqitPjKcTRQx2@kScKX>#M!#%`*#V0WyI@%L8KPKOFU1 zE&HV0y3{0+j7(W|o-Hu7G_?@;kAt#AyPMDaNY1yXKS}-Afhh+LnQ_-nezgqd&7;P zb653_Fpk*xOZ&GHEa^KgThWxjhfFUV{ed-?xneMjn~m1?Vt2L3urb(ExnGz zR>zna7`wixJ4Yw0IttN`vDDW!s>Cy*$pTL7>nXzS-wp2=KjK;Vtd_GI55j^7J91^$VN~Hw*gRtB2Q1MnuXLUb`m;J9nJYnhPPV)IUD9P9#kacge6|PSMOSkVlUH6{kEuqZd zJ`@#JA}t%X803Z147Vl7pE<8wxe~KK?FN+C&YoI$*=V9&WYb%WS1N)7ILFGsihz2H z?RTLQ?nUkeT&~eiFj~>BU|XG$ubd)kG!M6yinH*1U*QuSH=A{=b;MWmPzV1lK2`-d3B{ zg_u$-mp*^qB|$cqQ7j%|QYbXNbcotv^$s6u{UOrqI>zABJFUCw;^^-YH(juUHV^E$ zV*@k^r~dU5aXtk!co8zb#h|T~k(ZPgr1?hWp1f$-kLoa3}tA0Je3}L10W)QVCdXEttx)Uv+Ciw;w z>g=_+aYk$>Ua0;j^i3VfiWd>X#tpxP_b@QvUz3+O7->x4*xNf(O=$;W`1WS-)RO1D z+8;#HtxGwRKe{E5&SnoIsOgac$F>Wvil~+^Gt$t3f8#3{Fu?1W@n$3m8A&$*i6(2> zwZ1|%e>wY+6x>H%8|hjWbzhx)3$oX8S{gi#EfGCsbeA%u>a{L$z|)G{0~lPO^+|a_ zbp!XwxtmN+plaZ9Hj=@C<t0j>1fE2;T9NR!o&4(9<0AP*kwO0?@ zO_OikF?$s>YO0X8p@_wKfowGnZaHm{>QP#L-R~wywvF9&o%ZcXT}HvZWO-o7>tM~5 z?!l^ zjCqS4sM}C6jt>%Bi*&XPdtuiJ0AALiK*b+C=%HXC%R57Ic@5Q0Z`MKPTuW<nC4U zQWA>3kpi6F0$|ECo7#;NSXHg9-Jo6)Xs+Kvof>}|DEzhzMg-LiChStjM4ZUQ(fm*?iE-{^ z{j`Cn2aQ<24$AeFQdow=YP^^v;6S7#rURH22TOs=hByYlK z&DkSnrgU$Gqxb-fn6@vL!7K7H4Uf?;#FO3HyjI4*1`m((h2>>JiXN_>UFYSXq0%X2 zY~|?ONA!Lx7z(7_YNN+7p5V&QIy!@h5WJT(9p%gO%9BtVcmh@8`Q$ zLGwsLzw?zk*%hGgbM>ts08q0+jiCW$2~vSKFd0ApB2&JxB?%QYqaq93Jp6t(7VFkD zc{K&o;;kD&?75lN3*mVXQas9CXXy{EaarkeL1x6| zk*k_AA|8!;QfQ6s)c?(;`H0z9I8ot_h9jyxG17pg|3$6w2%iH$L7H!6K7>bsQh_w+PlSq#wJo zfx^n-^nTql1QB%Zavn)E>J3IzOg|alS<{k)?m5CoSgx{INWxy(AlY7uGGSBgnj>Mp zu=2=11+~H}nc+MQYjN($WO5APpaC@o?eQ?5YRBnuqO5sCy{C}syBqMFH$T`Gc;j$V z@DlCR%(g78G~h)>#h&jmeZoJ{(G{T#52eZQy_rCt>)W4@#oJ*Fq@Ay{LNLMw#TF$L_BQbN;6?A@Wj}~oA?#!N;>eHpctJcRVWAw;BP9Sr;LkZJ+UEzVk zfm>*Z01~Ho3#!n_t9D^}QafZY2-k=#4{qfYiwRr9>1e<(%v@z%TCKYoC8uYq%T^L+ zTGM#GE6sgZk=i*9LumVInz4$u_N}lxSEdjHi!XXFhy|t0LG#Z&gI+!Mo6;YEZRLW; zo&~A{fwAwx`RcR)Ajg3qtf+6ipi_nHE-p?;md}p8EasCNAqD)w+lCbm-fG>tyT*19 z7Y;8$^rd_ws#Yui$fo^6m1VS{0nd6#DLxCeaTbZX=IclNOpZ+pQt*x|z);QO$ITYW z#8RZkO2Lt@1*3b}slpOoE6X>*86;{0kbcU0`folw-i<&iCY9gC3D;FNwjWPE_inbp zIW)2D9n^amXNUX%@u&c#A1-JaZEgZ@Q$Yiu13Cb#wn8Z=xfz__ZZiskm8iYY1%JAF zzR}bg%ufYhqRv*~isPh@te)DTIioXo80k7ooNsf6)%()T+g0zpS~E*o`Wcpkf^a-X z_ywzr!!5AzSqDFSWGGVm8zP=HK2}j*6LM#ZdY<@euycsx~ez?4%{K za6C=9TV3I(+Z`@~={EdZr^5CNs~bRZ^`rI6?aArI~Q^$uo5Y#O>>G znkA_9Dn7pd8N)k`%rs2 zNVql?9(&R`OS|TvIa3>0ONfe^q`x_fjuMZbFFi=BNjJ|8+OU55o_T=l6hnEc%*B<32_zo z;3s!_Hom(YoW{I14rpb-su8s>Eyp<`+PH>=SYKI}I`7shcP2CIEJE5Fhb%%dr@>>@ z(QYmZ<+kn?=6t;YmGC#hd<@;{QUj8l);G3ve^k!Mdk3VKo%ctqoGJW$K-F$Vy;Fo}Q6KD9@R|aAd^Kvs;kGc{s&bh`vI#kaQhs zM{cY)M$Cjgn3^+S~*CTsy*)|0h z$9Ynr7b zn+zHw$WV{q?qb)zzE`=-zKrWt8W-0RIRQ`pn)1(AThsK$>rKxrG(FL)er(8kCXSri zl;Bl1UU&+j(r}Fk3fO@bi5DLAo}wUIP>|qnnS0Hfmu@(*^3Ls>Jhd6gtI7Rildg~N{@BSVi>5>RlmVY zc~7yc^Yo>e2|^&e*R~7EweWH^OhqKf5VQ#9ZfI!V{M1R{PM$qSn^S4R38!-Pc-06q zMzneY2w7iKzoxxh6rZ)Cv>9l@xWWil+9*sTC+`idp`Ko`j}$NHvSA@_{6Vcx1CGPg z3*Q2-OLf#g^9XUba zbr(8=guzO@6_5uyVl(bYj_rKJ^=FUZIEgMs9_>L~+O`LKe=y}GdTC<}z{e-uso8MN z=n%bvV8dzvD~$E^H)|kOZjM`(voGaOQ`O13EA=hI6l){6cfWCGNhb3p7_=USQqPf# zfl>h^saMAlwhdGrSAKJw>NRCQyy*qzD{#T|p6h?Ct|I5sRkn_7q1H;@GrbRw0o_qi zga=QG`Jm^TigOSxLazar8p%TCh+}|<$*sC`I>$;ohn&e4r`&bYH9Es&VBT0W!J+d0 z*5jw=9ZY#r1d*3n?a~8|A#-~*^jUc*HM5PzxqsYw{4FH8!SWWOy{7SWQNy9~IMxoB zKq;x6zx~}^=qTs$eE*i0i^1Q>zHY#i&c{((KYKg792+QD2d3&)+lIctiW% z+X0R2)BI_6m$^I^1Qk$-V5J*ozJdbVIYq4*x=Tje zIY<@`ZUGBHlgn%=<~s>Jmr^onk!Z z7&{~jyBsf)h1b$Tl4~aL0N^SbYXx*~HSExVqUFn$u@|A?jr^t_iRQ?#5dahc@Y*c> zgJG9TW?_e8I8M&q=Uz7o#E(-wFYQ#L9TLIwrK1RD;!*Gohx?q^Qsm5HL^RC%Eof<< zTHFKFJg6IGObpf+rwC%qgu^W4FaafVc%M0)S7RGq9w4AHFvj(wv#X^l5ufyYQjSh? zYq;xxSd&967N043Zo$vddUe;^u(2IO_mT4V-TK)p4(b zxAX|Qfg$3xp@~>`eMwj#Zy?+a;ugp* zqCG#x3Z|EoeS}CSC0^!e4PDIl9HnOgelNLP`&+V-Xg-KCTj#-mC6`{`wC6eSObwR0 z(IsC|6$}p>fm_Dd#q2gBCkS&K1THkO>!aZpARtZq)m{-AyR>hhkQ4Bn8<#VzR#4`B zfNYlvXxp9XWCPz*q?J&}`>bhsTvJh(iiT3K2U=pW$m#laY{FI}ovj)9Rtg>})-hfO030@l=+alfL{Tyz_QH~r z8mlWe(ui03c~}KkDg)@iQV)+un7bf>WJmWb?HZmvoEttE^WutpQ(w&HVkRfA#y3pL zJUK_B0b{i41OkJM?y@sk@#VT0d^-FoGamK~d|Bueo zQiR$^(hVGzqi6_ucLk*d^G_L-6TzkPC%Yv-ONO6d7cuS(za!X{Y{tyeshy*Jl#a4c zBIUv@W-X0Z=%5b_J6ey3!C+GD+Eglv9aOoF89(GMISTHnZ5MQO5bnefa-7OB4Q8U+ ztXz!aigrcCNp)r#DUoCegt-kT7o&k)i`SY(C_Mzfz=14t$r&5A2pEQAz^6izdpwHj zE_iC4a_87xaT(K>Qt)!H{C3VOb)`m_gF@y7_|j&!o81+5fkXg+sCAaB1whL~d1zQM z5fr&0loFKBd_OGc0Zv1`_Y_=Yze9!xw<=+Ay3akc^B~LzUSh1~k2}`c0QubG*6hQL z%EUFNBM=d6$KfGiKD{t(2wPZ8*gmuIFo8RtT_h}qjg74z5rQj)RKn$#F>zWVIpN7Ph7pfk0QIl7Z6gX5>r= zgTKhka>S^WmT)rf25;2GA~G?J4V_9p0H$V23L6O*j7h9nC0cIlG!W`d1i%tnh&DV3 zYQZIeZ>1*=f=B?eeD!NEkpjj&(D4lIB{gJl1njMuwv=?~KJ*f>oN%3q1g-Y#$UcZ0 z0DmrO%B(ifwvK85mu)3;FmRAA)A!0kpn{{ujvr5~$nJrO$FmX|(7+Jc%32E24~}DF ziUZpJ?(ZWBoB3Xc$+Kf?#Jr<=+_!%o9X2@2A@LoOK;kQ81wbHLHF+bae}%S@v@IDX zyd9}?Z@%0Y@;r&)3uU$uF>@BVN)+*dt{O^J(`z|}54Y&$QK9|*<8R?z^Q=vmxpX;h z45b8IFZwVUlvpDK`o2hw4J${DIa~B=oZJ{6BtUk#_^QuFQkqpbU`J+$YCgQxU#TIG z+?8JgjK-xU@an%Q4$ZkNn@Ab$M5Q8A8P)E}i$nuCs%HzZ5{QR8QK|mB$>%EYvVF&3z6@lXDBQ>n7QtTemhquN@3*0LF&?3Igf9fmSN` zpUmI0r%f-veet}gKd>680N?*&Z&x7Lo4|1uktK3MXjnCHoL-6E#X@+7%+jW1)8`QH zZFdIOC#=->y!Lv2@P(t)FsRr5C2}HRSm=WY2`^ah>>^j7Xcrsf_vF+I zy{D}5;URewYjRshUV-)fjoOAicq11NG!fTK6j8?}R)eMIdia4%Gs+qlYwe)3)mc8) znSFSL(#vAVh7A%l8lPyXrYHWXJXEw9u@LCK{MuoC#9mr+WRf z9&qzJUY}2`b93{E+S#j!b=z0St{8F&+!PNVCwe4?C#ZeY8na`xE2m!q#KU**(?D?p zRIJshogC63E)M|Sx_CCsq)!L2gU5cxOuRyc6AhTTz{CUCSYAU6{Xw`-s3-tSik|x= zp(jdfsf|4TXuH{EOAH@Eh`3Zw;0`Lg~UR?>ILqlJV?#(Qdx%!gD z)gG$SqgwAsaX`__$MSLYyrkLn+IAY#FVafcj+_waf?cS~Q9uG%{$j1Z+KiNqwegG#z7rimpDpK>Xb0!xBx zQlSRtPzZSt!9?n@u3ONS7+#VqHI$GN|^;8Ri?c<~#= zRn-(hVwy0&u_SjlLK3RMhHFplP%pPg#VO=t@(Er;hk_WYjL2!WVv9B&<)YI^l+7kS4e+eeQ(n#sw~~v0{CvFw!q~yC>y%IHvIz z4|M@AcEbuu0LA;A0X`_1pY`WIK(F`u<7>%TFiQHXL-<4>4r*A&lwKK^;-@5bsVl64 zU-~tt>7~E0kjk{|k{xPwW=KJjF0>XvSAcE-Y!v#T_IObdW2p4w39O{??9x~%NHId4 zwm9*a@w{gyjXt)=QzjlhQ#*TTUQbTpl(=W1-hpQ~C9@rdfBu<`UlKuT75{~e1?CJz zfo|?ER%+At3jGpe4ZtSH3^C|9F8^{Ma?PTS_e`oIXJfINF);5r+cG{DGkK~4$!3uS&$uwV$&%P*eX za|84+R=x$z&iTnAdYyjL+ePRFJ94?-`zgGW84fyEB)|F#v&{jqjH^a7dw80|kPU&2 zKHh^0tt%+yHtI|p<7nqjWb;oEF(&X#?`%-XkADxOQGEuA^ui3pgcZ&4EB?Tt`S?m# zjDPv9r{eZSq;MY3Y%Gp%c1`LSK|wHuz~e}BqX*3~-%r4{I=h8>d5T&7P9~jCcJEID z`DYD(xu2gmzJG@@9@UA3S)Y;r;hv49Rs%+v9a)UrwWw3L;kX;UA7MmO4h zs8Gh+C4E(B1Yw;17Qtfr8eOib7F6pswmoi3@>)rV#&{qSSE$h@XFx_NL-c8-xJ5t~ z0TuhYo?Ov3LCp%%+yQFGsc)X@g^lH8Vi@)hx*^o!1o5|ahK7YIWc=}?TqRuA}hNt(K@_2`g!$~XJ<+> z>pYnDq&!8b;vtoDX9CKYGJ%axm^_F+3YFSGMWK)9w)$JiB-*l=(RGlxbY|eN;ND=;GID8$WQ|Bek8WZ%NotJx{q8;lgXde+57 z3ws7K$d%2JB4TB7^=U0#75-k^f!=}(8_ye=J@lt4{_-Zy#dw`Q%eRnKeyc; zf)^YsETBXqArPPHHJJ3`Z38idT-1+(2&#R6f%bH6pE}*)dGCweP}&COZA;R8aN91< z6Q*!I;1IKpH>8v%UMW(22vGs+w~(F`X-0~RYJD=xpkT>^L3V>oNOOop5dm(A2+KF) zhm-VJLDO$N2&$*n0#obtdO4a%so@TuA zz5;JJ!txwUQs6jabqRT%T98H2kII0kh4Wo)o7&svbu#%TS9hMtt+KM8}}*MhR#fZdJFg0_BoT3cJq7>VRXH5N+2hbhDId;DPmvfi=$VGk@K z37c2%U;PMASE8t)m|qnckPsG4RzxUHN1ox#QIfY%W~Bi>ENbPas@KrVDyLRP zA9(WG(g=Jk$-Eu2ipV_zr)0J|YYIQRoe8f=PKvOZ;3UpICmR4P;@?X`0zaHsO3@cFf76t8sHK3c!(>hmFLe!|HaxK zfb7UpE}uT9hJm-v&J0fTf`1YcjsfGE0O7!kJ9|?xE5+<4bNneRWFbUeEe zfoD6c5CZ|>(EzC4*Ff5B87HV79g=JTej$W9YWJCM=w<^TG%dkG?1*pR3?4rN2GlvE zb>v6%>?_wSB+tHpm5i}aXCXg4n7a~qMzQ5d?e$`vxCrrs4CrHK$uaC>F)RU6Ck`4u z8I!o=dw!e$Wy@flR9)}&#mYWjOZetd?jXv2`x<6dtoP&xX$s)kjM^3GbrU*8v+IdA zmE(Xnlj2LJrN+Q!%m)RM9=8V4#hy1^QtaD<9e*MR7_1ky5`B3j(34K>mBh=U@Cmm9 z5R{7B>hb+^e}M+bCiJA2<~Zp3hbCoC%x zq=Ienvti}-F&S=qOLJx0$jNiXP6E4G!Q!@?Q@ixj-495x#mZQLn^6NgY_4ajtm zlz)4c0K3?-E}G@oivz9Du@r8jgHT2uK$ILO)7&Usa57_t`-B*J)E*ZEEg`zALz=&e z&!-dEO^F_%j(bVx&~rxn-*!^uoNGWkkN<7UApw|`98+@G9R|O+{Nay{*`72acNyuU z6i-^OX9BNJS=p^F_K!Ur$cqmJHl-Nu--KaOz}I*=`Wsq0$n>%qn$t7*fx>QWwf;fz zZmUWnS6Jtf3si-X?KT_FM-2SMd8%=HGb)yF`@a_Z`4=MkAGEi+y0)6;zja@9|Nra0 zsB8Tj@C^!{|C?B%{eKfA|Idm3d$9L^5`Q^SL<-^;mM%$9G1aJ2;i^HVJeAM69cx^N z^nUv#TLx0pth&*D@$smhHZ_#3Gp#OGje?q`8uDQOc}eT}=WZY3Za&D=??eGrXA?u| zNwyRH3h0?-(2X5vL(>K)=ozeYEi+)CRyPXk6)x3-3Y|+3;&B_5Y_E$0XmMI>e?Z9% za3gxTYZ$kmuHF?UgWA2mVy~qBzSA(Z8v@x4D-Y~kfY08B0K?jZZ-D!;n(?8+NPBym z*Tz`O#;OX*(}?7@s!Txz`*OXII>fSUQ#_&=4J!|DHNpUc7QaTJm<36p0btbBbra~- z{TrJ4mn)5JFBSh$b*7pYr|@8hZbaL`1GT`kFmRPX^|(t16^BP*L{I|2s}9@CtI4ta z&jv_m^uuSoY=>wd_=Z8GtB730aTT9r1`qu+?3-$p85;gF7JiHM>t2c!ne8B9X@G!OdUEs6IaZ*7jR&ueR+%MWeNhI&ti9L9YPc}7%_{84%)H=*M}@tW zHb_@eiR7Ve++VECvUY}0LLrhOw^#?gr@;$Po24e;nozUe~Mvxtjk$RM1fS zzsc_S|70orzeIc7J7@n!LYMSYqz-rl7ARPrG;-E%$%tDcK#JcLi63S%X8AAloOJ&e zX-xBfV{-f-P{#hlbwEewKU@bi{%=Zo{p&>k{geHl8DmAUK`M};9NC<>ThKCiTh3?J z!ag!s_mjE(8G4!A>m3`wM}%uMmS`LASckHEq1cK*MGBVR-3sv=RDBzHMD4&UXuY@y zvJ4TJgq@^r<(xfR28p%rY_QOoTC_$HwDrvF7bZ%ewGJJa zKyw?u3#buHJE+^~Y*VGt!)d@J+BfS{-6jE@ggy;Ff_xO8By**SZhV}09o?n_1GWd~!kx-6)j z5{Xw(z1-WB*fNNGf4K_>KXu8~is^`KGSd=V?Lx=&MUCw3d_Fdz3{^DAhAAeiDy{K53vyc;F;eES@Y*g zjfFaXi=kgf8S5?IZoM||_|PV4*pujdhV2B41U^=NH+uEp>~gk!?P7kbq!={j$Ku(0vY*%?TNYJs*etRZ79ZVQ00{(dlz9&g=HA zpN+d5x3fMZoFGlsFUiA|`ZcXTKN}aham;Xv)zG{pa8E=nVf9|&nGEMk=c!9s6Y+DG zRbM@ekzCUISPq~L|Mbz~_C(8#Zq#-5N@ZGGnO||Wev0saw^Q}v`j2O{uOIg>K2~wF z@!G7Me?Bu-K5PHycEtweV;uF-f{Agodb$0Pm@FV|_3um32(C&-^!{L`?a8^XAy zYbdRLQcqr6R`WCs_5D?kEvILDoJVicR%80t)3xOZUXC7HNK!vZE+E8T@ZxNgKm0lD z3);i;iB5A($W&`!bXmvB;L;)wzG$kghw}C;+cy`hE5BZ8UY(rwY|*rJZ`mqp8i-Ac zYa7Y)+7_i^K~SAch=BVAe08g-Mo!WRVu8WxJCQA5Wzm^PqU8MT-~5ObvK+ajd|M#1Op>$ z!rk`{Cgm{9*9UJ=W{ZB_M#7jO{6d8y6127^zH&v>brA^g~`v9+Ks&oskqv9EB8c>n&CTgm>E=eO3`{s$43 z!u#H5lCtt}ZjtM=<&sZqGZFk41O`tfZPRC7C;>Az!)F8L(+~R~JzxuTjMbz3XRV(H z=c_0$^6{j%mfvy&(oQ#WEi0|xHd zSI_s?EP4D&%e>@IQQ!*FwluB5Ps-rui?=@=M{jO)C>JNroN4PTH%*Egj{mFm;!({` znv-2Oqvpp#@1+>s`sC+w=1&U;NmKEv)QjdyW!jwmo6Yi%QQSP8!Y_a&p%FA^P4Ica zre?#_Og%cDuc#ezchCHK6uCMsIq*Zkh#L%_R}N;Z{Y(O6Gz_S;{Bh9n8^DG2@ zy|Zy|8ornRz0-aU_VOUy6+M01>5+H-hs%3`qRg~?od?2SmDrK7B27jg6RcV?cGT*l zMwRRD%9`KsH1Xr3s{QV;J${mkD0BIf6@jPClZSm2*%F*YFb`k32ZuFhe2UF`LJ7E0 zs~aKrgMC%!&7(CvvCZNUHX?qB7o99@;+E+sdDPTSX~*j0$LsuvVe_iHhgh-Zs)D-sMQJs z+2=k`5jwTh9uZQf&8JLF-wd6-Ik+fm5SFz$)baEkh7i6c9gXRUU>O=e_)_??^=65f zkfQmY=B{*nP=X!{fSPS zs{O(kS+f%j?x|%_epTWFpL1oyz0FhxbjQUtKQ)xajwIK5b7j7kZH)LL({XOZ$-LSq zwfal0N8m0j?TW!-itF7ArU4k{S2v)nE_n-%g;WtlG=JerJ`*{Knk@cI2UZ>Ej)`D{ z%ujUo<0IQad_2C_TfR(jetj$XdvMiliA2L9_crf@Gy!S?xiT$D|Hi;Q1^?fz@n3Tf za;|twS=HApo{wE5kv~e@)4B8e#gjeHuv&D3wfmP<0X?kYEzzvSMgI`{w$nW90NZ)ahzLtfq7d6BhfH;YIUmWpwk4u`5YA^_ zp3f?Z9DBUTW#8j*=T=|t=c>CMY@U~8Z7In{qk{+cVaJuaJ=AP2DL<8-VLP-D+_=D| zn&$Tt~tKPCdpxoLL{Lw)wW>d$l6Licg5dcyjox1n>+Cx)k0_b0{zvi-TixD zXk?oAeOkA~<&SJeuZG@)38E z_wNeVlW8e-`-Qn1@T=FOrMB(ig#XO5hJn70?dIN5x?(|2U5bEiW>a(87! z_XA_7FnIFq2b#>3W2gO?aLG%l9rCkJ4URs^7gyZgUv%?LigocfyjC8RE$;s?Iec8u zRqEI1%=7ts;eM8V>r4-ym|H`1GY$ttn!=0cur^fK8_|5Gm{)~gazztGf7BUg_<1-D z#hld8lIq|F8pfx+r2qP?7dZP)Wt*s8+|J zTN`hoXmvwPlqKLDmV2G~=0m9fDAQyRGGXy{b)4T?F^?C2>qFU45)-M1C(O0*_D!+* z$G$1Gqn!x9u3%mFnV>?2mG)y{;vNBar+%h5@w`wBWNL*D(JS3ICB?fRy9s1=|DZ4b z;U_-5BJfG7xHkN`n36f^n)lWt$T6uno~5FDKjLpQK9_C_J&SyMvite;9{Rlnx(`GD zfQ_v0jOFm0n?4==0W;t$bYkY!yylakCGUp@^NtE)*JXUU75%ibQ_$!>w84mGN>5pvrntuJS$24`+u5cKyw zas%o-&^ol?g6%wc=y@!uV@oBRjh5G&Yd$R^c6l#Ysy@72-16`J0^2Qkiu#W)CX+F# zt858=+PveE%Ud_evf%>geiAw zb}%}2x~eh17w5GE;#t1m_rf@;p8mc8Z>WD|k|*;=F5%e~{s)h*sE7@mGWU!dL0kt4 z23I16zD8?)o02xq--2!5B^|gMV}l--vrH=H6{`am7w=qd-(^ui>}u*CPFgirY`#hB zEP3xV+UsOrVmL8h!WPnJ%`EQgSaL@7N$M}3iHb`M)-1EY`1Qs+<{gJ@J_`;Uw>L_k zJ(k*jpeOQTE5g^|XSF|l`Z`n8^?@*&C9Uqd@YP>DUpF7#fj)jcQ~e#@HKYCG&FKqj zyDSOcKU@@^X8QQ9n(`Xv@5MhF*Yq}j-0r8)HivPx zxbLfPaxL*ppJbtYL&J_?^HCeasjvQe-p8LCnmZ^hn-hN!}O~P5J@YV6^!JoQ| zMKYhpTv9hr4V=8+t6SqeJRWeo$yoi(U+>WO`tM%uh0dO*T^(_f@^pTfDV6}?-8ZvX zIO@&8EyfNxEzC7hpAeb80b|X@JMn!etIXjN)i(*6t&&nt@uu5nJy>TVW__@W8}2ZH z*;O&c4nAS3i4qghdg=XBsP@8d_YH@vUATVg32f!s54p&(@Zt9(`%e0KwaTV>sD{ z?ihEBYqku^4yZr*vD@glR=Rc1E(Y0vEi}2)EvfvYE2HjGlpmYtkGggVrHq~@j~1JL z;F@y@luex*eXK&>l(C6LNsb!kjMFzY;c;K_EY{K0JDgkStperBV-MJEg1jDSYkL$K ze9qmEa~yA5!lPbc*2bo=G71iV3%BGB&UHwYGdWv>=%w`l} zQ(DF8wRv+Wu6c zm3}(xuNYA!+2}kIhjMA?&36^(q_OwWy|<8TkP)>g`$>0u`}_30nM&7ZOe#HJKMb~Z zH*~`9JpKHW(bBt29on7pR2==z#xq+*q%qw~mFkHq~Z6@wtOg2&620)toM9L`$WgX-5wmO&3YR%cH;rT%0mT`FG$E^;>lbIcIn$ zfx0Pg7$|cp?%wqD!I=rZ`1%%3TXi9IF3Z8<#L08jY9d5YBboE4GVaGQ-|n zu(*A>kbcZAF690<;mYuK^XZ#xX*DB!Bf`eIqQ9g*eL8cUW>ums!ZQEaGBd7wcS`7o zPU!XP8w9IKk%ULpX|zXmZzL6e@J#cyP(L!cuYcA&bqO1A=_`|Uoo1)jdoIE`$9Dx) zC;SF}M|M2X4LMk1bfec5Kl~1TBvH3m#q^6=Tk=j&CIGntK=ba0XUAN1wV2zTIemP) zP^E6_%CZs_!@U9T)_!N8RV^SA9A0c&bncws9^Emk{ar# z!{;-j55$h7ZHfjxU%G#>NL1Io%emFszGr1; zl^p=rnSSD}nz=dRFX^6K5Xtw^>Lw#Kd!NHy>$7%jp|a)S#m+%gn22p5iM>ki zEY}LZ)wZz9Mn{+Qa?rel*X?VS?+_0>%QMoG*u?v^Ks?;=+B{xFZJuGlg&uyS1rBgk>A7~*Xrw!3S8BWzC@E&7`sZnCnSPmznP@h zzAx;x8(=*0Bh^%=-S?%ule+WUe7EwBm(mx$x^8A1x!&Tvkyv|0aM2hRY(_j3&@iZR zQHXqaIbimL-R1c++jjPo?w@;tUbUGJQZQb5< zlVi@8cE8C-#~fCl+ik=ed@ji@;E~VeEomn?-rpJ5v(3KgPko$jyx`#W=_qu2DOVgt z)fhJ{DfrD$dz#3Jf5H|S<{e;(X(t=higN}I} zcaK`_ivV?O)!QP+IwznrpC&#QEK+|tYTMryyeuZ>fL75pbk&j!zV`=U7|qKc!c?!a z1)Y9yQcGHHFZaZ6g$uu$YF+pZSE5&I-!~_8PYIo2;>e4jV}2VuAE`_}Nz%02FW9!{ z@jtYW{cGX9Bhnu&VOq{*tYaV5}jk77}&d!!T z`Sw{4pL?0m_314? z`gC31di=WX?mKDt?$?>)u&bTwm1xuJA-h1XL6};!}28 zUS214f4=IK;ZT5dp}_d2)%a1j0SH!0Z_elOC-GeDkHH=mS-N9_?YFEu$+5~zYB^BR zBd3||(^B0!u52o|cYGUV#dOWzzwRglpErqTCW>1b=t#`GymUBMS>4$Q*ejvu1XkJB zUG{8DS~TTqPgQ+UEyYGfUW^rE=W#Zu_XvsZ48!4@Yjx-%?y*{iM@P zXnYbfWOP45Qhxu#r`fT|8^`~?H!sdGx!854occ_iDPF7C{qgdRwNq1u8QaBFDU;JU z>g?pi;{2vRv9tdwD!oTIddnbQ#h+ROou95I@gl!jr%ITa(bD|`1&rt z&RkN9BYW%K`bhWvb?@2%n}Lg>P}5a8<#MyoK$Bg$FoBHYv$*Ge?H7K88x4m0&_7W1 zOkl75nXk@t1`OoZo$XArX`-!ZYaD&-ly$5PT5WIyFiv#eP#!vuZv9J95u>#CI{v+s zWnioSW5Qo!bjYPqu=1VgbIOMAq9Uqoo4uLsNed-SAlCUp*7vyeB zAvu-SQx2i*&a%ueqAaqS9gvSapMH#D1{Srp?2HF~_F8zbo~u0;t~Fq=%{KctKEKga zQbwksKFwO%W*;wHAqQ$K_rn*5!FecY)xfYcnUV7c3>8?eo9Z|HZIH zTl%y&YOvN)!-#!39#APUYVF*qd>_k5(1E*j>%&E!BigR#RR&31HX1#>^mD&3=IdJT zNNwW}zDMQVL7$#X+@Uf-i0cKmUH~t%YTyxp!pxT{@h8o{WF!$jzP+Rx(y*h;HVaYR zawN__?+)mfkvs2Cefl9*yIm=#X;E=u{ZT53O;+z6t4sb#uLxiJkbYOy!@I9Vb=Ab~ z$D|kbR1>GYCA89rS6`)C-*)Bfzwmo3CBJyvgNIl0YtBbo z#s2|bK%u{-Q-2?S%b6xw7e-zvMF*7zT?WR2mXx0egaaVZ^+ z)+#r)Zh2e4c3FfRS;zd4?1W{t;iar*55aZ9cTUVCVg*@fDJZnqR(&V504V(UJaJ#n zE+c5{c5^6D)7rhcsEAGz)r(5meYvyFGEqL4pZaYJe{yIqAT~l}@z{IMB&JygCVYo- zykx!dDW3n1UD&QQ)EyjMrTQzqe@i+$Gxl_$t-n|+U^uOwRy^>2+OV_`ZXH@N9y+wj z($u`34|lrtzJReQaqHp?g$xN7eEvfeb;%#^%SOwQ@9|}qmTthx%g~m&4Y9A>E@%p!p|S}lpggdsZGy2KtE}|~b+R<<XN1Elu)zvrn|kDm~lIRog1?>{%}YB*ME=C4WaR^38dZsasNIaJoh`6+0i<*PB{rn<-mLpk3 zPi1e>`Pc(tkE^ zJun$j0@R|cA^_@j<#>uQl+lFQ<};wGZ4)Yahed*qbD`6j#owz$R0kGqxAchJssi$! zfoQ`pMf%B2#FQ{372`7%oOC|GCt#m+n4^F*bmTtwJM8LK0(7xj@=n|k;koIX%kcoTDem_;J>fN-IGOi$ zL=;O^Liui!@UR=iZM@3mc1Ac+x_=W|Vs-ag)yEg>nc#c+Sycvrrh}4ddk(j2nT@Pe zd{?7KR}K!X>q*juAA{S*VWR||`(JG<+#p9vf!wH6$&J(Ts;}!@qqau4$dNQ!gH@*G?u>s5T$Nfs_y}3pa+wSdb$*cNSSI5Hu`}bMe z`Dx|2#Of_uk4qC%uC?WoH0}29pc(0crvDDfpP=}2rHWl{ywQ2mMeY9AgXw_RItI?* zo#B;Pz4tkmKNdY3=N_jcWbOuov0;cf--vxH=#9~^5H!r?=9%Cz*St9 zbaEXqt$lTj^=(2m-}6qjlLq=x+kkA20#+Z4i)duIOFy(+e8PaSrT98=pE45tMWkQP zXEeqO*pEjNJ~!{G$Spo{WHb~Loy`!%iGmmLq&LPw8XSS&3x+uzKJx(kCMjd@p1@%F z{u((c>;Yl-*PjmqMRG(2Q(Zk%tTJni@gL6z7oxJBoiaVRQ-45T#7ukk9CH-#gX&M@ z-eQQ}$`R5t{?Ah~=sH}gm|{%gxi&VWKGb>xwj7#@|7tYx_!msb&uQxRDC0l%RU_Ul zbFE(|Hyw&iW*XGtvz9}rY^+*dPrBuolIl(>Tm`^h<81+}tj-iUato7a0m1B!HVj}%av-if zNnB^wa2oW$?AQtjGaiVO$*;Yz(on(`0?#-E*t=DP7%pOKhqhi+R3hB#aIZ$1!>lEM zX+)_-D1!$*PgDloBh`cMbAawAog)fiVKk2>v{anaMyEM|OomUJ#i!x>;X&fhsY#F_ z8O9TSmOLW7Zrq(TV15|msT2PP8f576aBWd3f$Cfz7wX0$Cu6=e_g?|EI-r%_JBQ95 zyUu|Vb#c;FNc@YXmL%OcVUIS^Bs{FYX9{!J&ZC=t!t!(&&pX(O>8pLFVC*!kdgi?| z>FXa(UBnRyIFj$8s4jq;mI6GFy2p|vNc!AS*`EG~(F%P6*9%#aXi1;SbYPi%PvSaC zjx1-*u+jN~%U>hQ8ERe*o`P}<0Kbm@3xAs=YG6pz{lqE<9t?y+n3$IN7^1M zaSb)d@(U<$WzRz|u?n+Y#A`BfHH6k+sHn~Gbg~D$3XF+3D2^3IX>^B3%gH@` z+9NIR9v6ZM$LuTR17e_x`!B4iuxMnyZ-*5OiE0MED7L`j81S*{d0lCuY9bw#QLbN|U!SnYYVe$T@&N>6Z*9d-%W2+BJv| z{?p)>#uDm#v)gv5)59JI+{I^{l2ID<3a^#Y<|Dhlum~AW`|EiUmDT{x$X_qB1WdX9 zSmj~NTA=P3iH_>g?jqSWG|6M49H}z~te5RwGzMG{foEVZlJ2(t#$f-Erliqcv3DA920@>>A#92=M2YOmwpB^ke{~yh|{u)d1 zxEgXhTY+D6fpoSA6>RRXq=Qk?L0kjzdHfX$P<07YaQS% zez6=`r&nvmyEtZ2@+oNV``8S7+WUz`!PV>KgY2i>oZr6F@|})8F?mh2XLJ|FmR)8? zgnNx_BWNGNh|Wy2Y`aopL;d{qm}mwkPb$1rj;|m>A1R^IMWo+2d+TX6;axxqTtb0Q z2(fEc;+SbXTaJYC<%p!31u*-HK%n8A(-_Yk0~UcCX)>tgA1Yu~7-OPPm}y=_QfbhP zNWW0o6qwq7o_B>NoT4bPN%%C!kyJh#tre!W8j|@z@u<)m=915od4ef*8T`srAwv2a z&qak}Sb%sja0;=(FVJ!TaXi(6P$1^YC?dQvK-dqCT2Zb5&C!sI_5f?vXp2~Eyt4Bj%x zw@CBpYSX4y)ut+cb!KZ0KEoIL3u_wg{O1pDtZ8BUtw2)@#v`jW*q?iI;)x?Yy(hs)(7a-Qv|?uVz5Vxz!ZgY zHo?ac$bdtUa)dD197%unC?g=yQ&9dMBD&2SZ9!x_xs($XH(f1wr`t6IMcW{KJ15wYl)wtHEn%6Pqa37u|Jd_Dnjez2AicOo3_eR+EI=EJF%MUv>0Ha zp1rJ?M5bEi!-1Xo0Bh)b_ygw|+usS@KgTi|d*=#>ocB#C+sp~OiM1^BbqDXlO|oc{2Y+aWUYQyqEK|Pib*KJ&&4CfC_K~W?P*05@id|~=t*+v52voXt|xW)dQxBf?n+ce zvLT+|vWI*JX27!pawM-uj;zV^&kjzh?w3PggwfpuX^$|D%EGeBNKAW%{0ZZlY?-ee zANtKn-wm7%a2?r)6~wXlNlkji0NaeF*g8qAtjE?SAWM{aw6+V^>~YgPT#rfjfkksK zv_w6)QUiZ?dqx~sPm(|Jk#)C>|9f$wCqQ4b^4Tc&($R6ncuGh~+LTqt<;b5{*s9j` z_>41hM9>HM@L!fFUy>=G71+OZg1@@1=~~;gYgTpEJme+Tij(ai0>-?RkvDuKq zagV1WS6MImCX>$!Cc-+apb4jv5kDZjS<+xSnbw)D?(#cL`j=0G!~D2kj?jQrE6OLz ze)6~713G}YU#v(BGF*2YVBau|f5}Jq)9k zOLM=?g6uEza;2yOL%b-T0e3@V)JN`{YLXP%1OLbZh+0yS4j#!j#R(;Vao8_1b_erarm zbX`hnIKg(aqNqN=ZagjVID)7Plz^xBjLkASphLZq{$UxddH9TzGP*cK5co;PatD)g zR%q)*3$#Ii!AihDW9xdNEU|;9fb@^_o^3u7@h;MkBeoFCS71iegnej1T^?phEl4|0 zc;EV4`~t8V*rK3nCl{a%hWC{tEo6}=dHtS1LBoV=eKFhDX*SFH6@D2eu5KqT9_D9v zQ@R;DlJ*Eyh+dT8UJ<#j@vRP=Qd*XM9F_C;qQz9i zQBgvMT%9<}?t1j3C86^Xo^%k#TSkG9w#sasv}XSPYGAK^ng7_NK_6!)^jT!y$O zteYO|Xq_b8mPghpS@b{RUI;khnLTCRznm`8S+ab|Q$40n7iElJdtgd$IWo4cZ7cK^ zq%ntRw(THOcT_cfaealy90fLLRVmgq)}_WpzkFc!t1E@T=*h3v7OaUe|2~E&u~zv-}m zn@$vP3(OAG*GYG}Vr*ZcR&XSYQO|jg1A-Pof=*x>&7OQ8&Va)Z;$CFpUsf^p$ODt` zSkKrSou=J*o&YPc!pTakE>=4gU7JsdT-PFdg)b{(Q?G`$M!3@4$av>zFdF2vBXXD? zw6)bq1fJTz^qvPyuf@$NN>s>Ym6_9vm1}7bFJYMJeD1w$87;w_*)L$kz(@gv#>_P7kQ(_QVm27BEd7xwO>K8kp~s z7n+{0CE4kKbk?^Kq5+-#e_y+M%G2W4o{0`~Dcf5}MGrYb}U9 zAPklU&|27H_LuYH4SyUTCo_i=iyug97^XM}Keg{T*mo-cBDVMJL10#5V7<>K*838G zotF_2z(!LPTv2o`$&nm|&h>O!9t@_UKS%Tms~!Q2Q=$_?&>fm^aw^)CYnH+s_6W=3 zRfD-}sZam|LI}kG9i1JswI*$}pcS!On?l84VHyU5MxI~-5^?=);IzP;F^6>rvvvC* z+;q#`J2;Y74?*ny`oA(^gQlnSZK{h|nkq+@0)jrDv}`o%6@hH8Uf82i)Js4U0Xuv+ zu)|4g|6^Q}*mhLIHkglOgTELyK(sH}7{hY-;l4y$fjtwi|GNg|=Q5-|8-)C;(~gj@ zvjpZ4oA)2UYgmGEovfbOVIl74 zOjOF2(6v|l-g1UzkcCQtZ4w1$j{#83m(QH(I67QQ7}a;4g};Tdm{K-18sJ6gX3SRF zx%S-_!>El#&~#B9QIIG|cI~&>iz=H6UaQ5-uWj`Kgp%q}xt?=j<$UZ7`ee`Ky59ZV z!7?R~BaRm+zf*WT^Yn358j;eF7#gRE1sBL^HKb1+#&YZA9bb`X~P#y4T z(*jC&Mgz@D(n6Ey`6py#jc2Ab!4RR^bZ~Ge@Kc5*qoexMG6TlBoOoHQ<(%z(e{fq1 zOVseXG{2j@sp%_?%xc3@Ailk-&5~i?u$Goa;%aDIAIXfj4N2qQKIwQV$W*^yYgh4y(*Wul@GMXh=xUX{~asxZ!O5q zX(Szzq`t{dl$8lq)D)t5OEF!aA`OZmQX_KJB7*dT0oM+{omL(yM`p!&#q}1>I)Ryp zR^;H*Y=+gUgHwl>*V;%Ey+9+kzRn7`i%(_asn;6SQmR$Gk<`<)PS9E}Dg!2f;m)EJ z6UpueiCqZnlSf{VgjfX&T>UZwe#3IOq7 z0v#|OeSdLx2VXn`GxyA&lrmO^L!U@*uARsqg#|I~Gr8OH-9RV}D*)>R7UovC=}LAy zOF*l%8H5iS^b#<1iQa4aSnj2x6F9O5&eOgrD*2md&L##aIF?FK>9!e!nqG!#8@jVm z*c!mC=E}xfMzTNv>}&shV0Q^_bw6LHi25f{oNsFNPtj9g*-Xk$V2T9Ofk%qx$`R*NVz$a0?7Ea@imSLkS!&DP<}@-% z8f;8XJC%Q=`{gso6mqFo(I9JQ1=Iy*`|9ItSrRc|@LIs&KSD#X{#_P;dOfEg zErh$%3jebOzi&V^6am77+jJL_w$cXlaT<0U<#}GmieW_l32`A{W|4l%)A2=)9hxOh ziqu!7JbAo}*NGsa|3KNqtK5J9*gbQ4Cp!R&I)b-2paEj38QL ztiX+7+&!-?DDfj&US`okX^86E^i4Ue^Zw~W6S~BBSKgA&4HiC$z<;$s$tY&DMk+Aw z=d>_cJ=aZz!+JR~pdaTc{?S#Uw<9pX^-!pEf#HtXa^#XdCAEWT7;yK;qN#q3r(i?` z4G}iGHNJdqSJib@u4=;&ROedn>DrU`mE-q^rF~FYGe%$u37t$hpGUE8?Q-Hh!6ffF zkMes^wG3+##Q@_MQ}U?3*T~+r%U$QG-}HnV!-YxGp!EcC=5BqRt*jul zXzb8}cnEJft!Mz%E%@d8xXp1Cp|SKpv>ZuveZFTJPt9iSZ=5}Mpm4`C#`81}Zu;`^ z-BD2~`Zu*BV4`*NOOZ_tgF1)m3Cqoo$dSB}Iqy3pRuIN8(BdbL@Q&nD`f|O}`~i9_ zWM*khos68rB$1aQKLP{Oo}$fV-P-{^HYZzCW7`3duawYz6#3nRH*cfD2U14Yie|K) z_n@tSiG%{O_?*z=mqXN&p_F?3K>l3GTCp6tdPPk4cN!h2$N~Tu2eU^@H=ev<^N8k7 zvwgaQk4hnxCu9aJcwgov5fCK^Dwq#h@mnIjds72J<j>;@Oee2gm4a!`(x(w^)Y{o?Dm z@qe=IG5OTJ*Ht>zFRNzjF6-6F2lx$-|4~eIosD3~+gm0j_zcW6c!dis)Q!YG^NC?KuWn|!q=2QF$l(61n$qQ%|hP<^b$!uho~u0P23Qq5+f zxx|m|P@_C9VPC^90yz6R%?<9!PNy|N!LnVcQcguWDBXG9l3$8%x`qbi;ZxdudkW&V zH#K$ZSk)LmJmP7i99d`sRPAhktIX=1F0P>x541^}_$|3)cUwHiEvmnMhV4OPqx3o; zwV3)D`#0zu*%Fz&L<1q5t-c^jzwsW}bI zV<{YJySJJd@=Y$e$rif8m=Y{yWokfnysLm(xx!@>Oc%^)3%mCfT?YQM+b4bFkxq>L zx&AqS_f&+>yZ%EG#R=!0Z=ZQZ1l!zgKzaUT4_sB3XFV=He8GnSvQ;okw8SiH>d#w0 z3}8qqFyRNJS)vY7Ot0Sqs>~mX5(x67;3K%WoxNd3k*dq18ht+~!X9k~tYz*Wrh2Jz z;=teaQ26Wsu9uung~|j7eY-xpo?aq5I;KT+hkV7IIxhQS6GJTa0!;3Gac~cpCYrd_ z1G>*o#h4@B=Vur%eKq|K>A3D4?Q>Jf*>~z}`ohdb!XeU?Eb%cgmhJ|2CL0K|{MlPY z8P&Fl%~I^84W@LcE2bgf_xcm1USma(d3lFV=pmxs2(ffto2PEmCY`ug9`*`$?alg4R_ycBWnn5 z*6;o^2GbyETl|*^TOHFjYDC=?|9;{P|GQx37wrOyHdF%$}C zIt_H>Pgv8a7O2LxEu;%Z0%-zl$YD`bZ}quyP-r)17BDSeirHRHb3=}Oe;`!+5lEHo zNT=!AF=DcjB3&e)%EmbgVgnN>ZJRK=k(~lTitMTLBz~@yrYgiYox*ih%9Qk|?%BEy zP`NN&lyciMsGd#Tj@DGNV!$jr{Q>f~<&j9bJve_%qUGieBLD#NxjD<;a2v@$%YLeB0EA@35B>@Hu-%} zA}_wpqcW1mfHEXyWwXxDv+PaDd8N{Y zLV5$a7n2m$W(YTZd`leFE1uQFd`}kS1Q$@G3+nPxF@Q;W?`RrO-I|GOpl3Tx(UlV1 zOXzLyS@1#~+z$4X9$Y!nDph19?sC!(&XG9F5t$zVdl51V`dx^H2Bv%g)}~cAVr+lh zuZ&IMY9Dsr)vqq}xxz<7+{?Zzr)(GvrZi9|TmKNH@7h;BZR%BkZKM1-mdeKlRWSI&)dq>*GoHo)%tYgZs{^X0@v}wz}G)$re${u@=$mz(N>PAo>7?gL=2H%2>H|-*OMc3U&1Ys>oZIr zydiuPO86yZa?%z!ngwvptXswlNI`h_MO$ASgBuq5qZ0adyn4!@yHB7{fW zM|!}A*mf$k@Ghgtk2vlmH#~^G(zOt3xu32L6e$*OSdhMsJLnei!W! z6sQu_DMRMV^66+C_Go$)v?-%{^Or*QFd*>7&Hs)tT?x^17P>USwUj|sh``vhny zp765h$j^IVOM>w{7GYD$Jg+6nk)`MG>Zrb!-{c|uN1HOveq2qKs2qL{4h73#)|vxB z;-6?(2e=O+!F1kiBBe(WSq6)GhgN_@8SH8MZZF+msY+If_66RBYYcMp(&qs}Y!0@5 z{cy_9DN??g?;_p8W#joHDK+{O%U4=6s(H87J!1!gjrn{_%X8F;)e{ zZJFLiVF^PLuwCKvm}J*i*!-YoGbHa+?#?m(LoyW&*A?=7%c`Tc_IWL*h(?*mP~O_z zSkVkQats#d5{1E?6bZ3?KgbZ)B3J}JERF>nz7R;AWtnc>tzH9llGLOJw22~@5M~yF z<%PCC=%*6wC7mR1&D6qK{PA$gtH_&pT!bR8soG4_dD2S(OyP+T+iP3`!kp0CD@A$L zMg|J)-Q$~Z<%9o38j`HS)a$!>vL2f+nr}>Xk|To9D(9uN5A13a?P0p-Cgbc*EF7JupcH z>p57^Z;1;x?Yc6fg8(1){l@bbg3BzbTHwa zhEZ1emaUnET(hp9$kBe>flrMbQ=7&Dv}C;rZH*|UH4jkhRAQzjMJF3Ij*f|T^Y^C zvGN46!DWXIejUjABF#C8UXDiq4bKm%uSebPqfNyUy05BxC}b7y>HPtxPXr_zC*`x4 zIvJV+H`bX!N4{!$-WuwQPbHlrD_`$tCYDZr#}HR=p6t=0;!|Pm%t_+8ZVPo+KO~=_ zlKM?adF4AD)8f-YTV}{+`z@Cv0K8^lLU%<&87IRztQj_ks>$cv{TpPhPSow4h?SxD ztKhzO18_7^b}BbDL6p)&RXU>d2}dgo8%UxM>PXaLTj=H`NL|quS}`aZ8L;Ui>4)>n zT0t~`lx3-V0EJrqN9WkJT*BAgyPt01wDZH}e%RAr=8B@IThv#+AfcTk%}de>EjZz< zRU7Bteu6o6e5lcIgp~p+8oJo951Sy6)0jpXSH4@YYdzp> zvUQHn^uaX>qcV|cl&M)sdQ{2?)unmxMW}QEOc8+{M7HzdeIwfBNDEt4o1;3OX@@h` zJP533i6VogEIE`)<+v~;o3OC0O{yLc;7)2}w$8<;hRU{J?>&>j$+-$EF#Z)_XU3+u0Zn5hc6x$n^0uq0bN^ulfcdnNMApnQH`Eu zW>_S%=2uL0eoi9#p8=DCVT2Ba_zU|W7y!ASKO`~lA^_>XaU z!Yt2H{)2>zR`XDPJo;qsu*TWMW24BC-zQw0QACq$0|LF)d$*|^2}dPjJO1A^C-5=A zq=9v4sU6Yw%nQ7}e*$()yZ#CO6~c9Wi%#1j82`A*P5;4vbNJ|h zt)k3Ur&Xgdex*V|^*5RWNVH9e7ZJ@v*nPnoUyEZ^Q5~Ln)0JmIPwf$+!0^~TOpg4z zP4RqDdkvL+KF`K*?tw)cu-YpyG?49G23Y&}=Iw$F_&W7S%>^M`ZxbEU$AE(`1+!I}y>+qdMdMeB>6uQiMI%>|3A?*9T+w?`-UC^ZOxu<0H`z!8?AIk>5dp=CpavI)!4A)oZd zI@l}9{Mw&BL3V{ECQXzw*`I(nG{#`d4DHn@&u0tvX1>7eAiSqI%t~1*&w6nGQ3V3^`!alwC zgw3H)?~Xp}6RYSw{R!BJ4maq5WBgyKvI!8<-ncHntUJcP3m1Fk^C0UVJSoN4PuX5h z69bP`q@qm?qLO?vICU95c~XwF@yQlFqYsST0c+?*gBe=hfxtUy(R2v zKmd`n)&KiJgk5jvETDIZ(CRCzxX z{hjC85V8ZZbPp&!peLGS>9YXk#9qx6Q8WNxfQ4N1L9n0|*44(_Yy3hOU&Ne%x*Gt2 zY6pP@#4Q9?9{_4P0qSNoP?x&*epT^6j-2^}okBn8X>4%%;{D&z!adXOt0PoDThlw9 z*3U-RvRKOL&O1hXyRU58$;v3>6L8qFS82nDDJ|wp6k(x$&k>Y^ejp%!+{m$5*x%RzgBZD-%tS=o+|+Oxz!_^}_v#2--R3 zhhz)bCdz88>^%@7MJgc@F0_#D--PkcF)ybOkM#it&3WWS0js=#23^+)o<{Qq-Q7=U z>@SD5KDY6v{x&`)SB_}Nk^I1|nK3@o$3j)Ysi(<$(LL_<=za{GvB|%vtvfeQTL$@d z0Xd=}uqmi}JHxBI9PZcc*zn3kvDQbJT=5Oe_UxM}R&deApT$*@Osj zu&4B1_53cZL>VREANWHBa5cxC?FyQ39IOOhf!*G*G2j?bDZ`gzU(F4f_S_SOwR+Xy&^l>NXgo)^5^}qJfKN#e_L|v z#3P~7`R^rhkhF)I1qqKoKWFh8JIk!L|7G#NtF6`Zo$S8{%kNF{AwVWkP>+!PF%)`) z)DR(ra)$CGdc>YSPLR-v$d|6dI)pEv*F#;2#1lQ}+Mkg{1U-BVyou*fKsAueq%F#8 zPN=&23btobrTyu}PVl2w;VU!Q)t;rE8Gf7m=(TOF*oi3WR`tQ(FGS1!1V{?Q6Uykl zd7B)c;`iwiwG!aoHiJ|Z?kafqh!)0j+=+l7-elruBFS)}aB(_-$#f|LX?!b^jmg*F<`;PYo0Mk#Y1a}i4yiLc0MLD2j?`H+>XqjI+wkttptu)w zS3_a)8?+SvB9xpd@wgv2$gnuXlyH=okli!dlQ3avHc=QwG}Z`#-*Jm3DVXT80cD|< zxw6FTbo^-aW}&X>1bHXu;&yUW7WRRry`xG+zh2js}=Lh@FVr3Es`|^C#a$ z&AqSgIeM3F*K&)+uf%TqCu9ViUHg&6=X$DD7^pkTm0n5BeFbQRg(89a!@Ky-O=l8-AhqdLbOas5Fsguflw*!4Mz z%oV@l{Ps?8BYU1X@1_}8PnYiFNs{mbus_LzD+Wu^&_*jYs&K+WpS9kR@=W#X=D1wEt`$6ityEGA-t(hSbi_j7Vvs| zZ}929egAS4<}Wn^QZ3X7hDs|YTjjP>rRo5rl7J$X&k%rEBDFXgLR%R+Cu~gUD>PB- zB}IIfw(4&V9K1q3eKZd zxM>&55w{PFMjoT3e#x;bH{?j(K8*9iS*F|X9o^wo+neE%`KF7m-Prn)jmwcfb#6e^ zx7j+#k*Mu*gu`8AaPf*9v4Q1Tf&NSFDMvh$TA0oE*PdOlpbuU@G^Zf-RIG;)zUm76 zad_4hi?x6(&0xb+DvGmT&6Q?i4JJ_@lF(g19*qcT>SLn0*B~25D-5u@YCv}zT(NvB zR$Vny5Q1=7i59GC15QiR5-ib+d`0&MP-PWQ{?fcE1sWlO+e;|YaTR^f>%^V(-nL` zZ&o$UhY`gr1Hu{O4nKwO9L=gbze+eHRO}7r(|xlP0Nz$Qw7tE312A@4=Og^J0cdTw9C3*R z$DSW5_JxOVm2DT?7;7_ZJU8#V+qcu=-ZE(|M>Zci@i^DdwLUCP3iE3?ariwn!}hSd z-K{(+KLua{9}q7`7`Ci75m-mBh3XBLBjGE7?A;KX5)(z$e~~_SXnfLwRTqN8{hyr6 zDNH>rJTTg)!YQe1K!iy=;4`d55`ej zHLg(yztw5s;H_4r1^KXJRqwEH_|}q9f9@@|3;bZeb0t_P!CAPko;>akn!vzYc<~}u z$-*abxX#Z_C&0#G!ACYwrRiAtQCt`N&Rvc)f(dYJVk>=P{PxB1+xzs~)_*T=<&Jr&O`n^$~kv z^9ngqbsk^J_&pSSy~0HE-1MJ-xxomN9-UKWc4w52_q}Oaey+r)vW8I;;*Xfhinid@ zQPgqutb-eWim$$MaxLAd!ccOak8#ZuKV27K{dS1$fzu9)Hv0%X6We}pZOldMPS_aM zNk=X>#$A?(ZUi5?WhcEiS6ujVMMingAB;+8&)HHN9|{ab&QdI6 zF7X=UEW0K<2M5ate5;3X^IDd){xIIEs}TW4WMsHhHdl`9qL1ZG+geyM} z(fc&U$ETQ>t1qiJ3lmOYba&iPx$5XIE|=yS=JzN@zx(yp*!{kPhR@kt_BtRSDEHX( z*ZQ(1(+yi5X{B%5hbE(YwlAyum`3ivq+58i3@nr3*Ri@gc6jMsaJlg1lEhOR%6pc9 z(?WDPA9pyd`IuiWdtjrDZ36l;?-kne=1SYvJRFux-_J(d2EN31ftRe+r`iU9m#g5* zJws$^>%mppgDYMgT3d{YCBb-`Zr#Tzc4AR5E(<~Ln4?vNw6Hb^0^J+7xaUUjU@w%V za~-x9XgQ>kAAqu7psnZax*M^E%NX-JpbS`(rRUMUL*x~Ihb``&ChRoeZzdD>tf99> zzrsqdkU1r!-Xp|Ec-@TGNaK`UXgP_ME(O0Bv5_?T2MwUrz_Xbak%g`+&EYgKLf-H_ z$n0|Pq~+at^oC^c>sL{hHn@tm`66}X8Y*wMLuL4UC%;2A^VzKqV=v*;c?=+-!*i(u zzJ8Ov2sz|hjEA1?N*X0XwCBw|dH}7=W@q)>j(ZS4E;r)(qfti3XLx9IWxFSx_!m4L zQg27NtZ7&Pz{ld{cFR|V190pW4tYrux|&_zE})6js59x~;T!EPI1Zu5`#gh8*I6Y~DyRG|%c~LpxaW&uvzYYIe&yMl~)vesl|1^u0|G_gB?r4`2m z?Ih(2I{R2#ec9pxcph0JLbx4hS2?VGexJJlw{#E&_%^^D9vD0B5TV{fBV{bW@xno`7=E zWzRlPIrfPEqEXO)MuL!;p;7bJA{`~Y-!4Od`PED->O&mq6JxDM9Obx0K9#dgIqCYh z2Ns!k97k32SNqt!bW}TM?f$o*tD5#hQhOcEmfYa^!hfpCAbWeOPLyl|>{|jKX_4N4*0qIeyc-SJ{ys>{!^gDs`?28^)zUPnrxbeISfYgFW znMgn-{iBPp-1Tzq)d$P*#*Dw`+bHDbwOm)JSc(T$yAgR`lq;9QdY1nmjtxRsH%PXC z?S}&e?Hw-l*BK(t70^Wuj5ispZ;2I>3b}R$sZx4(HBgNUy2h$yiI6U~ddHkkF*R|5?Le-`Ux^T1tErs+ z%xZ_OO0=umZI1`(D9Qk$$?*T9&G8QsKYSM438hqzI|2<>UFZcgA^H zlMB;0j9Ts$3 z0)mm+0HCIs7=#{0em!o22s07VLX%`m67L4xp6oL1W2;1>*Rj`)#vWc3Y!%Lg8vE_G zega@K+ayjHH13Vt=vv*QSLjW7GDWu(x|)2ZVGl|j7kz+qj9nhgg$Ur3`E*$FMwDk2!hxALIB{~iY>yESotHgS7)caLHT{ARmPv02sAe`&a z;F&u_RfencFYS>NZJHpN&kulHx+=Rb!aiG+ZI$8on zNbJ37AXNX~H=b?AGGpvpVniuf#=c}5DkLF=>?6q=~Ue2Q}x`$=f*&A*& zrN2GNy=K)+J(VKh0Ll8XJEmD>)VyhmO-u~B%Qk9DgNj&xQi|R#y~2|6K)PUTjEZPe z`tWPd0L7TwS#{{5(`Q*{GAX?)GcK~GQVV&LbV`!b(@{+BS-x;9;kEmA-~DexqzuogxrjymajPfGaOwe_}z?y9UcqtqhJICR)?HdP)xS z)yu+i!Do)irJ43vE8|Nu-k+w@OZ|ea0&b?eES-3}vi5Keb~!E9sA%Sr8Y%l##qH|` zCCLw%8jPfqFE`$=>IFAIL;2q>R}6kvyz$}mQk<+jVAQH{J*L!+ z(`e7YHnD>+MZ^=+5Y_r!4tX`T_*<8hef@`ONyYDP3_YIAs^bV8d@gHf&I`i_zVNdEps$LiYXSq`eLAkpVH?mD+dfmqK~mS2*@G z*Ht`G9^HMCM!Ark+PQp1q$9IV)Dx<*e(nq3E7Gm73^wlfb3<1E^)vjk+*h)MTR%y# zsve#s#&R#yosI~Bp9m>Bc;iR;Te0bJ4^noCR%U^$|@mtQzFMPz94dgQ*D zL7ubKn5Egfh2|F&9}1MltEN744t&eSdUq;P;@n+nZlHCT_r(|KthSVvGshMQh(2Uv zbM}G+HcnW1W;&ne(%GH)aD9nFW&g@+^X!dR4N4OgrnH*gAV#9^0+yn?Z4b+S+!b-O z_+Iq>@hN=>q0>m;JW{5?+7@MfWlW>rD&Tpd!Tv`m?!dW@#pu22zO)@N9C1mVr-Fh} zqx*E6{SEYA?*8^Ln18p!VcXqVnOa&#C!3$N7^<)(j_92s_bn=DXRueEP_Q=~KI1Vy zmuIpnc)QQ-jEi_D~&rPwQ969iofUE(qI)%zLjv z)9xrPa9BLQoZ@*j!8SC9t&uE>U|qF{=JGFcz!5rZ`-z4UcAcCTEg{^t5bAo zjrVtC%P9UYhEyh0JEt-l6lpv=8={{32OPyvb0#V`#jq(j81Ht8cat>(#y_QA~vf@4`4bAmk@f>|3gX=S5E2D`y`VQT>f9^89WAhVxD-^W|3tU4>&u$45)mrqtLc-&#Atq7(+NX!H5oG~T@x zgt|Og4sVK4ZHNz>c{su)H2alR)w%7M9fs5Sn;6@0vvk;PlLtpfsIaau>4G7n)ScgV zbGje7+M?~z8Q`bdmU&j`;wy9U@3q%Isyv8t9mjn$6CN|^c>c)A*`Hs`{JIRMi{2B7 z6e4~9Q8wDG>VqV&YTg-1s*a|oXj4?#%+p;h=8{2`r?VaoMO8K$1QmHPmc~Ngw3F)` z!e*q)^b?g+UaYhW@w}^jUG>7Yw6rzzIeY28=~r9dJ=w;sM9@6#p>uv*xe^_-b2?5p zGOoPr#_?}if%gn&LtTf_+hi`iX}zaXb9UCg&eF|TY#XQ4!`hIAsAATx?-PNzmE=JO zo!okzuirwIZ?op!5wCPB8s8B+xOB;3@bVE?{#d3Zr82Zt(LL&mN}&`h(|yTcSk?EA zb(wqRZsAzGXGdPbhr7y8rMry;$^L~V^<|dw7A9Yi9$XzH1#NdXO6DgHF^{0i#^EijIzb6V^LYvS2@rv0~(of~lh#t);7t>@$)WC@4gxPCO~ z_#jjD5q?L<@dObG`Rxi~?Ycj*zCG?*cvq<*YJ(y>*+m@NmfE&A^r`OQ_estp-f`TS z1zm*!t%0Ap;%GyM=1%H6x*Sy!d3OOmbT8Y~iG!PKgEr!YX3XmaYZTs~pSZeOC|a_m z$Wk~mC+b0WQvPSZHxG;3L>}CVlGx(=EL)4;BEiS({B%Ult*g)3Kg{xDr@nu%s?|Hb zuwS~wUP3e~z_3*${7>-(5Lb4kM4Wo%lN%Y9JVW%eKbgGkuj%S7#=eZ6{>qK9GsKNyZ5_t zTSEEMRa|NFl{e6V8GA4Cy=i{ZM&*y`iH}ytiqiYOMCGTy+?GYE>VVFMb&#Y7x;rJ| zZ`W4U--*6cYk9CekUO2H@qSCWT-91?R+X6*Oxy_DS9hKJcwF%7={`iR+Q!U8UrF!D=Sb#de%PUadm2)Yj^B%L z5^t=%eK)J(Qi!~nWym(}75Wxe0n^wo_k+>#_*zb<5#PQs2E1k{gin#(Vb1A{rT27W z*4ONZf(}DfjK%%!NAtu^%#*x3UwE+4>p=EAeWb^~Uf4+I5>R@2vwrN+B0N-+a;1>v!*J&u7hpq_ggGFHy(qvI{MI z5?oA;TbngF7^atN;%+yj^9&plaZ6T5nN!;F2qU=2zd;0Rt)Y^osL}V7j{9f$JQqzoy6B`I_kgu1?jC@CAV9z8!d$Z&5 z$;s1PlLG$P?!TCD3e?i% z+G|F<%v5%(i5O@pd3}1LbLL34m4~<6>3pBlr-C(tgQgxoIu%;@h4WBeXj;CdaI=R# zQ5zmBZT#b<+p$%~&ScM<_?DAUyRb{1y&8gHS~nHVD!d%!`TT{G#<>P{x%q7&-0pQJ z-W96eiqIk&?)o^scq2pGINad4+E%4Y9(I!Udwb78A)4MXug$gl`5u$=&x9}@cEB<; zv&qNVwy*^rCOjPX;?A%UajBDo$$yHJn`96yZf7J7!y#%-;(0;sE-7KJp9TCt-Q0aF zJD6t5=cfE3?ot4a{YNZdqvHqBk8Ef%|M zh0=rGj*FvA-ibI=hWLz&jfHn8bWeOvKMlM1M#J#jtwz4A#WzoEZ42|njGuL54&={P z9$Q^}9n)*TX1CVE{j{O3boTV^@cUa9)$T@6OpDxr$6tRa4Ozc#B&hY<2}W!9AgLR+ zI|8Mg>gMaJvgc55Wm+Q;N%w8rNU+C!v#*~F(D?XSx>|M33v|J9S4W{s9xt5l)$_#e zeEcf*Of5QOUfUd>l8`;%Q;?y@K!?Ev8TY=p&FCJu9!{2I<2B|vt)7eYb}hcEq_#c2 zcCkQke(dq?S6f~+S#24MX#iq$o9{Yq+}4u_-}l-E*KmBku`;#z_65F_f@{U6qAm;y zs1ABD9MSvKP_N(~?T)W&E=8DH(Q?{vB{T>Z@pgUOo=^`Gv5)X)ZgDlgvnF)9nOlQ} z%(2c(zH;-xd8aQYuct1(1X)MQLQnW#*1ChExz~tJqY1@~H_FWlgQwH9xBKjcWj~3K zzISknApSkoNi2c_qg2^77EJK!C%8u*xZZ)8WWSU?DON(`5r(&os9%5k@=Ny>o_FsS z>QI_u-*WR8l7m;?XvdH5-#r4qkhQo|{oPyF%Kes2;8zQ?UpnX|c5sIqRNa(q3+eHt zfdj(Qer$?!pE5K)I6T`WxNth>Bj2v+E&XpxT+htNZ_88F@DmOQD-!EqhPAw|h_ zw#ij(>1#9ouvK&YE0)LBID;(mL|cBV%G&GtLhtCa6aMB8os5d(M^1ld(}$u@ywl4l zeT2Jq_sF_w@pjy5+2;55mT+?cqKx(}{_GC4zN*xU z73Jd8O(6h_PkXf`;xs{xl!ZI4*6(>y^bo{>yrBKA+AA!hXFe%H-Rh)q_t$5 z-@wP+zI&U?3(sUVpX{#=e87(pC@X^7_eFY^-8K_Db?E-BXA2I~@vnrj3!h(%WmorL z_kZq$0CvjxBsTX8pY&gOZNIn?Z2xGE&mn43MT6?AS?MBamRe{R7zrN>xMTkfzH+g$ zp`F}QmzV85+Pte2`t&{5a%h&#wu#1so7j5Z4t3O{$(ct-1axi${@@Azu>S(Pn(yFz zKygQF#@mxywit#Z{32o>&!wnr=e(NR2SKQjDFQ}DKAm?elPd%5H9r|#Nu{m$Mj)PQ zi5J}F*?VP{oc`r4-*+SV{JqxU=EsWwiby-x%X@f(ZO7g@a)oRx`jrH)FBQ8V-J(?S z`O~d#cRv-=)8DN*2j4v3@i?~p>9>pA7udZZKOS(fzUvP>f>!1PvQ#*}2;CT@&3qiO z&uR3Zjil)Y@Mq<&j(%fp_o;-34{o7eTo@+vR!;y^7PIrNvg!~BUh>F zg|*oH6LnoPG&g=l)9!P&NaB~-g=knhWxlZUV`a8~r%d2`&n1O>;YPbi!iJ$(@BY-L zSxGADYt_v_n@=-G@8@{uH_SZ3;Q||$%LP24R*8O{Kh{5qpIJ4y(JjvJrVBIWTj&#C zB<$5q+~$#F5zW~`YDq$0I*}oTx4JH24Rtu!iw?_q8*Uv@p>$_SdHCw!>qley83dWZ zm32o$j;BI$cZOpfJ})~XvQD)cDVpWJpG#b}edcdCr<0=j9aZnRUgZ$<@Sv-x;|e=( zjQ8P~`LEJDsTGZ zy@%)WsAnCz;yBJJM!5{77}>UJvIR^)p7|W~m!jWj@`>WT_pL|@wp!}f5?R8&f@jI&qcv0IxXPc=7 z8|fna1Z_*JQagjWE_iWe)YGZ~u+&N=S3fr(227AMk4n zB~2>Sr}HaZkZJX~(70t%{Ok19h}4~DB0Y2@$DOmdT8p7Bu*X%exF0SYy(r|pkx96q zSzd9$bo<0JrKa6cC29@!(7@@)!*NV_!&$A?S-H5D>Mw5oov2#7{Fkp6i>)(fo4yGR z<=@E4b^aKmL3+tocWu9@h#A}=YxZ>hq2{8dbX(s1vrm*zc@vQCqEiw->S97TzTCgz zfik134DM{WpkVe6g3=dF2s!jo6LGRA??j-{n`OIu+SvT;=vx;(_9fw7F?@_21%=zA zYxg`gP=*|7eaoq-C0Er@qN&1YKlC|azqUer=8)V5>welP-?dZ-!}H1sDmKw)?@ot& zagViGiP90|fJ*<&sUz{7HU42=A1EhWQ~9FTCFC%7 zz{lY$%(2Gn;h{azwC)S9E{XVArAh8nEv|&zVjowuqx0Ub_Mi`Sn({aA*Uwz8PRVEX z+ScUk1G3c9!^4+!oQEz1?fB7jPQU!S(`B=WsEzlh%7jFV3apMc3%tsh$Mbm9#2dfy zt-bNu^0IVFoh}*eIm;QKkNYB%kwqybp4Ba+QXz%3et)uRXL(+UV6seQ$xw`eYG%A#X%rmZWP( z7q_g5Da90S9jpE5IwZZ(tu*&VFA>M45gT?buVBH!(ZFx(4(53E7IWGPDogwQg-eIb zSN7QDLKe(dUff(hJNa-a?|8R~Zt=pBh=^6^w_BAS!{rl*K6K*!JtkX4{I3nw2EOJU zqInryiOdW1VQAWPUs2$CR!N(9mBeQq=3-UREwtXg;8@DoVygn21 z@|MZ5@->8Ug{JNE8%*Cj+sl?Meqd4McB@_=$Fl`gHDg|f^>8SmDe~8E@QFOlT)=De zU;lC3N9kroT)-#}riG7tAE#!+n?CkM{N6=11>J)$&jMcY4=wL(yg%~9?wC?ka&KNF zF3;R24_}Gzd+xr|{r=3WhOW+!8kcXq9I{d*?c6<)8g#>f`o^km>eX0unBS?ab9Zl^ zp3Ss=&}4s44l>*o4SexA`oLqy9V+5X#?1*}N2Ju2be|nBkFEQbl9xDATZ&2B?*g*2 z<6D#MIcaIHM$1g_+(0=(DQXET-H@8~Z%7({QsHfqSZe5L>i>h_PxEgY%Rj7no20Bq zc#VyD&z=iAi3#RC!>gx-Qq|W%p)@qWf1!}tdYY;lC=^QluiX>Dyqolvy57irA-wkg z$}1KB!N|K339>S<{r zL2Q(&?xr4pA){2a^nUK>Y5f*ROIKGFRC`m=UrPQ1SQDkLuLCj+s%q$OZf$PpXy|Hb z{{{RD>nHT@RX{6hZ^D5V)X-Pe()tIm#y^2|b+mLhfi=P3s7+u^OT;q?#n*RXSLV>;qeWnWjQU^(G!3_{sOaCv4H9&cOnL_=idpG6OL8+=F zzAEaUB7;P{zx)mQZBy}0kx|+x{Y}=$-y5KjHFfksP5xkO=xF~&*95Jg@t4gtw82gA zm_KrZWT}6n|7BMY^tYu^dK#MAKV7J=t*ZUE54E&){#Zg&&gRQ=4 zfK7qbHT1PM16oxbbdHw#zX^|Dv7)D;uB!XXji607SN|im?mv-1T#(%r1pE&h|2gn} zA?u{*rAornNxp{sLFm*Zpk|(9r6jW&U8R|1%N)+ZfUP9TS`2`d|Y7 z3JV>rpM?huMGZY|&`19SSN|VMuJ_aXze5|0qQ9`gBJg`t6Er+n zQSi$sTB<)yfr2&pj~kbhS5y`?&`O$|i~KuRYMWy4rtGK!50J|3LvQ zsH^=41*}70iTZsWSUhxf{-A&f4>p+J|JzJaU7bHL>R?jog7nM3W!n@-_Ycr!;OgrB zLE7YE*Zs-i{+A+~66yX8wJDPB-%#Lup!<_h_~&f#PlIlr^2?zAQ!Z^i-`_05+Im`l zNQSjgAjhx`=4>Fi(yxX8FEbAR2TtwC90qn2;DE5H>DuZ-4j{WQA2434*zD#k+?^Eb z9BVrlw?l4+54fNyR<7p9j>&hu?rYjDr=`8aSWCyC$lLdXBRyf{dw<{4VBxPS9t{!U zQIy;pb+@+Vz3^TVBFbHn-q?^blZqP{h_hbs{&9;4W6636jCtj}9&7G+)Y7ET-mcuf z3UK2MIXL9^$V;G1+2n;a`Sxtx3sn@?wQF`{gX}!u>$_9T*U?c-EI&xaE*H0-c+B#B z*EJhG)HV0Vb}f(2jF|DCEW8Tck5`SeQ$MT}Fft}2oIy-Ka?F9+^#PnCG^@)h!y?Xv zpIw<-Jfy7Lp*)4es%zZDi*Q$zDM?lE=tC+L#d!BfYKJB3 z*|^orBMU3ebV1)gxR>JQt+W$y%p|@ZvMhNWIDJWsCV;GB58(ilqJ7k>~sYka)HzXfMY7yL$DT4jru3f7gu7X#775aWF5{ zocw)29&1f))ZZblp{4o1${7E1t^bk|UGG0pq8mezKEVHb{XYx*?^&RUbBpP}OSIpN z^*ZXhe-dqTl>a|WG_d3SN;Hi>jRf5K+0B3Ul5=6HS}*{`0WSa-#LO;{RbFLjE2= zkZKO$-sdiaf-3w}38{7%6Z~@r?CrlR%>Na|HUB%se~w)L|BC;Z(>wXp73Nx&+E)(oY$)TZu}TW?Xp9FV+wP0zIOU_kcCQB+DG?93_@37^cP}!4 zPu*hmuJc%v0Vz1@;*D{5vKBL`X1O$iKt*=OJGkUlWaqE#*{je33S^? zX1_=2(&2hq3#EKz0Ild5d!g=a>H5<6(p+8@(CbU1GOTlXH|bD||GX#f5a(dDeN_l}tq-cNXrSsqqiUBB6fW)vBc5Tq*MK_4iq zxzk$K$);t`d~}Ot)vVVplcX>|?zyb-onF`8go2EAWB$ta-&) zkW6NT+tv7!twG9BMWmwf`PH^FR_#)3^;n=ZaI(O(ODkplEyL48!u?S|b3%sqZT`1X zWkr?SU#b%|xsp~UzT)B9xy#Lq9F4>slp`Jt)%IxRP zo5nJxl~VEkh0K**A}g!mOK{hZP^V?LG>++=%(GK50bOVyoK?*P8pta^zpH1stXK0+ zs&rBn0hiwvP_Q9kCYM;&5RZuf7ZHM>uj3QCqF@41`HNCN(0K^E!4o&w9^zQo=<-!6u`)-EGBhew_X#WI7JN{Mpq zT5Pk-M8y5V5>#`vp=3iTJg7-crrw-*a>Fvf#t`QR|CYbVFFM9&+MX-Kw2eV_b0asp zu%#snYH6M%cW?~6~h7dXiW^_$e03B4rJ z2&&MwzW+q>`N;a3N*XDxwOLZ@aufY9Uam|@EmuYM4k)WiLRr|DCyt~{$ z`@%O=@!$zQC6Tg2tA~?rZ(ZcpVad`VgL27$Cs~%oVC~0NIodLgWkbJPaGP8`ZHz9e z7ld&?YZ}522st&oWYT;^(Ji7Jp=f(ZmZRvT-;v*J>z z115zhjeln9<;!_7anEFem_B>InCBQD=DDYPI4i~^fkjQ5L7!(#sU{Is*n2j!)F6(B z605^B52{h?U9KvZ4Y9~TzKL~2SIm}jVOUQXEZTZ?b^O5%7eG{(Svu!c?GCh20i^3l z57+Yc24*qNPb-lvacOkpk%IZ|WiJg@kKd|JoQynTbA{UyM$ky~n`=mo>LjwaBupoC zC@sN9r~Uh0gry#8o%9rIy#T)*Cp5?_pt`{IX-8RmC7J7`IZudCs9%+{SAI1J&nv?x z;id+rVCkl-pdKbb2gf=#1_Mlj8OeLt@(RvRG(DWkm%%~KL2O{bvf(28Tr6{?BGga| zvoIx##v{8{iG}D5qa^6V3+!Ec_z&!p#ds|$40mJj8(YUvAMgqsF>)|tK`!Ddx>3Zq z-csdQf|%)Z$+G=L37F$6CJ&BHYQ>V{` z?HO(!qTyv^5lIyK9FS`ITq+}e7vwRBYXDZhhoOFkD(jfarEi11HMUG>cW}u1S_3dU zJGsUWut=q)lTW6+(k%uUvSp>1$bbs2e7y@zYOQ%lS9{wMFd+712vXg#dA_u}2X?zw)FkxmfIGEjV+x;T&g+%^M3_#{Zrnecp$sy3fqZ$#tP zVUwjok{}N>%3e7=58#?sN-bOS-)?*dHX5c{$ zjzn2sreQD(Tn!XH3ZMOl_;epW^h2L?0cr{pGnudrsGcP`V6H-67Mhka=cDSeU{#F` zC%K1#l}VMt69^CYt}2DRm|GSf(AtS zszu=}&7k`R&Iz&qIj5qwx|-u+-!#0f<@y2`7{&T%-Mn+m&IE5H3G@j zZB%-q4&Ug@rPg}SI4||_ z^?4-G2&{coUCg=cxS`V0mA5po>N3N)%7)ae`>Fk4JyBi)rr2#Sax23N;jb{wTn@#Gq|P3OKz|8@st|R)xyli*AthP z<|;sY>FwJKlr*T4Ku7iZ1jwZuz{FRR832FKKT@CpM5@e*%s~BhW)bJjJzYuT^KVmLu*cDP6xb9D)f#*8QHi|QM zU?&>50OK^$hOo^*An=3{+=3098)7E0nr{{Y1iZsV&jz~~bKZLYV#{4;z_YUGE1F!( z=1Otk;5rkj`VcgMRKm)v0SD7{i3{kNYL1XQB#Ch%(=li8bp>5rBoCFi0Rp$jbt9!zg zMe&z=X^#CaHP}${H*uJ1o$LZ+cAeOh0@N{80lp!Lvb!1@diUVS*hv3klxZKi*jz`- z2^2XO+AeKe2F|azLY`d>VZ0U_ghw_@pL3x3HOE#fe_WxLvgWx{rQR&kKxgTUEYCX1 z7BVz#S+9GLF7Trgp{~2Gat~l3c;_>LFu9L&hMLMM^T^2a8@M3d(A)>wDRd_=7BPJB zC8YaJB(O$~_A#MY**dx8&&+l;SZwLtMC#I_uWUUMV5stairv`15kCu-SCy@{oKk`L zeo=AR3uo6kx8@?~du_=Pw^!PxqT2mwlLMs>mq{?ur|DSlocNXA)pJIPQ)&?Llphy! zzyMbj2n1I>RXvBtB(u;*BJKL+?M^M{aT_2KOP*il0&HuWby+ljhZO+)4x!R1v$6(H zLZ;U(3A^Sqmj{|l%KE_8o+_cd(`fSj)+MZucq|p5-<^uy3~k+M5~5kacLWi;cd-Xp zOY#sE)8bKp?@%2&(=a>@2Ve-8s}SCy+v ziC9p}HBoXckjQ$=rcod!;j!J=1T?6WTH=N%GtNuP%)qeUU7~c z9wE4Fn8O8K^iwtwz$Z;2x{|;U4s1)L?&CujU^x*2c^6>)+!}nx>2^^a6oT-2^sCUL z+`8Y5T(b&69?eBo<7^@Mj7&G{!ev)Fsv7AXbx19Vi9Mv2%Vo<4B$%0y0f4SbVgeAn z;{2G#Xfjy7=16V8kBgo^yzbjBPMfe;Gx9o;ZWbFRsiu{DPU8R;iwKZ0ah1pK02{Mj z-B(N&ofdY8k3t!eS*c%M$$%9$0@c6>Sc}0>um(%Wn75UZWaJ{&mK-Y1YphDQ(8!k-^v2c)ws+(jq9eHTNg;E9dfFgQZ{$?0tc556`Xn) zA3oEr{b@tw#_Zv2pb%+$o7MvW&2c8+r7{j69a}=WQ)@{fRI)M?t|($rNEGNC&*>Baojk{3n7E?xB(VWq*=f>rYW=Cgank4 zFmWc|*et%A0OYlt(7HJ@^pph+c?^E45Rfa5!5!7C-zx+^u{RdFf-!QKMIPf;gb3=H zvgjOiN`ioHeZMqUv^lpiP}$fUtpmkd&2==6A)v!(mI6W~VS;JWE^6+v(;Ew3)!3=+ zqi>I$OfVL}l0%6$&ojm&Y8R(VNCR%lYOo#5AXNRwt+6}2#k;VDbW9Cq2PXQw$lcN^ ze`IrPi3p*5?SW1_EE=4{YC745No^6yGF;~dLUAL_0($Y1W!y4S4Te$NCgd^R{Qh~r zeB=f8M*-(K5k#fSiVtXuTW76+QqYfi5HT(4MLl2|M+J~bU&S|F2kp%Hn(Y3sp+Z2ZlCZuxn1B@EZ#UGPIK(1+QaQkv1XpK&-JO z<86YDELDG<#alFyS4y1}6MrN*?lW%d6X>YrM$>+{YBoG=xR(arR$?xb9D4LMxq?ms7tyG@5blk9cODwQ4cX#g8@Wc|Ox}GNE3FM6L>(@k zU@S5)w9@rlfmFo6Aiq?CT+8`!mPBY5W4PIvU;2Oo1cW|@`aR3OAd&t4`Jc+W14 zQ}D2mF)3LttALpROrqRqo$a9{X5FUWm*s(31n>isfuyz=0u=COMBrNJTWX`cnfw+3 zwtw^JM1S*M^XBsdZC#Z1-;WUf&!5ACZvgmxCtVMG%i5ni>3aI$6U+a#Cn)Ma*ZQyT zr2mJ9@H_J(cZ;)=>DS?0P5CvVyE!NxJxAa*9!ldwPfH)6+9iZ}Kf*2|UvTj6lTH^m z!Uj8-83k8`lbooYUzu;8p)QAf>M+g&{7kC(zOfm2^c=obDeVuifbr6eKtK>n1+XE< z2D^jeXH1^5NaYE6DLgL3BkyF3q$L%PNaYCk7ddfT_!$-S7Cvk)*d0&zX>6ePPDVHyu)P`#G@6Hy2FuVu9G>bMZHKQKF{Z*g6SiX1z9y!@ zAtC}geN2 zs5p@a3?l0t(y*&blXo8ilk3bOiYH6LQ?BF-zZ>TuZ(GNAGx^5nUh0niJCl_yTw2YM zT6Z44+;wOV+><_?IDP*Lox-EO2tYzLIQEPo;HGi?@%wn8vISE(%1UDJl3hd8Q-R=$ z&if?~zWZpsd7DKh_Ch=DW&x(d>B)x2?AGHA1*8x7ee~sJWVf+w(B52VJOmiERrI)H z;+u@BoK8Qm%OrW8X#(pc>Yaz+>sKkP`uG_p(fzwFJwZzDkzkboIh+!bHjr9LOC<>O z5=aag(>dKVIil2Q#>Q~_BrR#x?C??qWpc(G$vyncD-U$WORg6eTjaW;5i3CT^hlSz zm;~09_VKx|pi(DCGlhX}_n)5GO zSOS5@2@y%7C17=#JfhqmTVn!`F{y5Spfhr(AV|jiIOlyI?Wf~U2A74Ul2eD<>SmK# zlCq#=G`9~VhnwhQyizo!((c0+t(2fuCX1CL^5Lu7eIR}Cz(z29YX^Lr_t-uPa*0cF zUCY|o6||pSE(K0}Ifj5x)*w!_In%!OfO?$-x$&92b^f#8^>o@*0cz3b#w#!5DhDy1 z+=@Vi{>3(KB|oej;%yH#cC2*qCg)*4DawEbR<2J;&gZl>BjV|@f@!GKQ11AQ6Z1t_9-wyjwAsq60(1V=qxBBjO>GO>qYR5 zPb{rtdXubTy0Q-fM$)P{jv%2rBIcWX>s=nM+2v3;E0RaP8vj7aT7yAXBl-PcpN?v1jhi zhDm;^miweuqJR*6rysx`=p$g?pu#;i4v4*gU35x~^uE(yOfdD=-`x-z`y?|(n$uW~T|Y2gz5Q2u# z`+8kTA5dBK7<3t);dCBwlrmG^QFeuFLa1#VGDm8Ps1wBI^1c&HchPe^S53is`~G39 z?h*pKx=*w~ATI9*?z$wF)-D6P-yJ7Zxd6qV$R8KJTKj~*4BcFbJ`c_wFcI7tBUjhe zQoG3WJZ03<>ZzTL3>S>1mjm2msFon=_pRNRtx+3TgImau${rI9Edc(0SHO;Z0-r?N zv{3*|byWc_VALM~i!U>ldn&M}9#ycj#ybH?C-`zE&`WARp$WGn(B|+YBWYtP76}{z_i*Jf+P6| zEN8p91Gg3N?Zrg8r9{{+GpVzO2&Up$kRo$)aN$I993Fg{8IUVGTe1?P*1S_V5RPRs z10)VLd0*F~OJ07N4aK9lP#v8~sp}2U!d3JT$x_#u=`i5#y*K&Fkz>RUvcNfpT(aE5 z4y2O}w0a$&=nYp&92`2ZbXP{g9hm06huFcxyDtdCdomuTFLG7tkDtGk|tPc8L*bX z+2zAF4XzeO8RmQf;D)lQe$N$Vh@)ph9-(22V*zUfIG6-;Y1y~`{VbiWG@0#JT< z;G((C6mHlw*L1E&RkCa_aAF+rHs=6EcwM0_n?D0I#$mx))5t9R%E68Ido3$FXGf;B zlFgKB)V7h9EcfB))mQ^9(|2`!X9kgWbR95uy6s&Vz(68qsk(&e`VlhXqk-PHXGv>d zOanb51->PLd#+f&E>?3t6)41D%;Rdx?qqXp16m|(jA;+kN&upvh@D5slvgc(^>hqw zcs{P!3}DWI7j+G>=6piDW+^3orgM%R@i-l2kw}v&dSlCWK0#9L@KnvokLGv<_QKe& zO)Ugd-%<4W@j@`l!Y{90jShT{zQwg&5{fbR;h9~4mttwgvcq_M4aSY|I0`XATknlZ zk}E;#q@H(+4R2Tif)r#obj$@A^PYwXu(sdRIy*pEDkShRW7bU+srgA1PrBCdvbgMR ztjqKjh1oV1V=K{}Hp^g;XnY}9SR*EBVhH()q8W-Og173<`o^eC+G0K^Rv^L?5LQfVy@IxnhPsW}1+e~wW&q0q zYSBkyGoTF%=chPubE-BtS!JKcs-G{@xu^veVn}!?&)}eEo zfi`q?L&rOAg$cEdw##tln2w0(@-eHI;zKbP;Jfd19;CsxbFIryNe=JE3j(rB$VjC& zmg(HvXbMj@4D%op0~UcKsX5Z%l!Ub{ON(kR240P~RzPv*w?C45h$$o^jzCk`;saR& zh^2m_i!_SF$YswwNjWqeP28mlRw-reDsE%(>X$3XdPlUEDbW(x*bTR+-_IYMqkV7_ z9&SMbKzyRNP|ted^eLCy!1Dp=F+9(x$dxXFqZc@4vn0lc9v` z%nz?yh~vY%3GOfy-UjN8BF_ihZfmiO6zDV|x(d?4)Yt&ynNx$!0&Gqhz8sR7J{;1q zg~&+X;c$53N;Q}%`>)$yI=hXWgtQg^E^We`LsPy^C(=AO;&CQjp{&ONL9$Q5k+I|D z*=U9nHh)Zn1~Z{D+2O>Xi@6fB$ID(PvxFP^dy5J8St6(v?`kyA2$W8IuJLmLJU*9H zyVr$!-6-`8+KYmMw|XtVwdf@V#m|?s6g1dK0^Pz@1Et8&;Z$6f`6ShLu7_@^lPa>4 zCE@fQZqLJ#u=l!tFYGMlW{h*6KyYCuEDVa1uO%bgP2i=i2}uzZP{PfAltWYI;oWI< zT()C61!$Mqsq+g`y`24V541|R#V$YzUQ%@zM7#V^K)dS7M5Ao59f9{M4;fKaP@bRL za0g{$L556#<)sCWAhEX9t=g_m0_$7#ggPLe)5^GE%MBz@6j-*xFJFuz^}0}ad=T*S z+&W$|a^`U7VuA6QW>5E`%Mh_!M%rD6aC)dN)>FSxy*OOrGD~7RS4hvG<*1&YrPAtw ziw-;tK<>IIW156)al&ZD&rOHWYCKx}qOm;2hZ~qmhN}_TzR|TS6kEquW+dJ@7`$?D zK3GQfWdoj0rl2X@S^^_NqbaTriH6|y*M}B~!(E=7{NSKj1_n27*t^-zNBdrnZ+l(x zFyYPsS%8&SI@4$__i=}WW&E8LP0@neQDZu#tLO^Ji`W`vqfA&Ww~71nyNyMYSkHCJ z;kWo6_XYqC55ume5rQ;0tn?vxHrI9;UfUT!AFLN2F_3-7TO@$l{(L@q2O?v_AQyRV)tzu;b&mWcU? zX-yulK-Ab?r5b75UqH{vCjdY4l?YD+&f7dQ*=Uh^!Zd6;u}YA(0MLVOs1ug-&4Io{M1x+mxy*gmbr3=gDk3yV>ufw$at=ogs1phzg z-ZQGn?OzvFN)kvyLWj^oS)!s4=?VfdC|D@ER8&d`HmZOHA}ywZ4YHPNEek532r6Ju zX-bJnK(qcfl#&Qif-IFDlmG(Bd#88rea^l6oO8$BAI=!(3xmN(NZ$9I^H-kV^E|Uc zvlV+T(Jpp}iKDK%Xq`B{o@Hhk3}^aXFbTvfy>>4dUd*O-_&YDJg6=)`wj|+=PeKWC z9h9CS2{epROSfpQ6P&7u!YPNHVXznM_dVdYueq?IaEDRR-E@2kR2>JSB2j{fY335= zhM;0oeeO~9*;TjToTin@I82Ql#y%)Hp$7!J{2O;^a-rBgl0W2GEKGEN*VZiQEeD(6 zul*<@>bK>kW(T1i_NBe5KPb^R6broU^e>NJQ$1Mxa$3yKX~DCD!TptC3gzP0gL6H# zsznM3`J=3hW8m`aSCmSczmgEi?S52?Kj!5kEBSCnh)8HYDWl}3NK|VH`!3j^|JpI+ zJ)9!LY!`CHX#24oW#b*ySZ}uZQ5}+X`<*N=a>I`e7QRz+i#4Lm<8aP(y(U*V%^l`2 zgfa*S)~fzyx@_}#*RWGr4mCEHFrpCEmLZgl>9WpI9a7kV}*Dl*KvLDX~vL@ zx5>7ZA)_)C$77d$Wa;{CtHo-v2h&}GCTjIN9ck{|t4YPss zTKEaXN+NXdOI6t00uI*ypV;UMsf|hV;B`v!6bFlErZfi#7<*+2wP)A8mn9r5`^!%z zJ20F)_MqqeXg)0-*X@`4vjx|ywJ!7A8PiCP3^YFJE6J`!c!Nelw8K^Mw3;m4Y1y?7 zDdYe%JE{-pRXuF(!t@ovYgS+n3%+`jrW#WFz~ed46faH+q2WW87oupf>8A#!t?Sj+ zJc}Xi+e{nag~$6{KRMDFpm=^cTF8~8+|ZuYvo6!O=Ay&K_cXs%pZmy|Iyq0tNO{;( ziUXN+_egbC=BJP+Y8H=>Egp3Z)BzEIOWto2FTOZBrA!6p??%^=pF zArnk^f$LI_Yql!mc$XeW_m~vCroR}au1H*ee1bA{546V(k{xu(0_T+N_ZRx-f5#E)q zWwf-KnmZ6dPxTIHL;?r^tXe&<9s9_5YWyM3xQEE8IR(nKi}gx(PT-tDy`nesUUU;G&b>?v$xVI+c%_s;vP(FUzp}2U^NEXr%$X` zgI71RLq*Y3M#9tyc9g9(*UX-p5c7uz1BMl8z8`=0>loT0S{RF;27%PA#ia;)_U}i7 zd>#Oq!A=Uer=H6)4})YmMv6VO|9ks{Y>i7sxWx!;G+UQA_h`~ZN#ER_F-l6;8aH>O zfF&0s7)yVRj%f?AooZR@6?#LQ)KST~0nIWycl#6StLYf~+-ZP)f@o_$e+v#5Db^r>8%;|4+dcyi&C^<6YH zFxhh|Ez!C7)w41%RM{8xup@%7v+9tREvSW-4~yE1=Nf8~@qZ&`=Eq1;$AjB89r ztv+T#*wLSNWNbe5n92D*o;Um~r=19DGEwD*CF&vP<)Nve&^p+)4J&@A3;sg5ufz%} zU)fR)VPG#J+>P`fuM31U%q?K1s524f_AqRIRd>rm?j5p4)al>;RwIw4umThO{L1Mn zzagK|)lE)s(oI&Q4{$r+65;c1NbDYL)JD5Y1hY|f28ByafSyj=dsdOOiRfuZh%SMD zLb}?^*kPPiYOoi&ITiTe8Dh{9;dgWeS90bWHBOaC5T-T!KzrM~zAvRXi9Kr8WsBok zD%`m-P(oOIb6BV!>3IP5&o&uMmJrXEfEsku*Dbcxi0)k^}o1Iw7HJlQ)n0ZtTtbA5l$&3?Y11Ut$j%5dV4evM2TY%315e- zS&gz3Nu42_+lm##E}#tLz85zJ9#UJ<$0@F!8|v6WCw;2&)pAwtLit>#mA3~jupc4$ z-X@X*MDa@DHZ!DTyusTgf7aa%VZ5uk#mCb$uqyF86nMHXUckzw2J`aV73A7!Dz zsY~?TF`MC^q&dp`8c>_Jtw>Ix*0$J?c8xO%3m%0`EP7fIOvA(p$Kl|Jr}~=qO9JcP zwTYKJL;EKYgxvYUR;)8}U0FXfM5hITqb6j#cHL{6u>j~G!{y8|{{Aa9a|6Tw_%Smn zyb#umYQqBtWop=YGk<$|73(!)uVQ5BK)lGvn5VkM?~I_gwI?j@oR4Hvev9?%>o|@S zplfJWv*PiBjhxN5_r5npd+4@oz#q&D(7I@!4ZG!Ry1ZkRigwuH9@e(a^k~n>Cs2yMoj+R zGQrp;&(ML}gbN3bF>|*9jd(11CE0$)j zA2L;aq7aAg$+Af^A8dBZRzI%cjh`Xu_DfmgVC>RbK8822{RzyHca@ccrFrr@Ua8Fo@n_j-MJ)^}C2-K@ zcs7rB5fDtZnhQt^RS22-+yW%w>~sZYL&odBQiL?g^g`?Aeu#r`$tXP9l*zuX2KBMq znM*$W)_uF%DJg&NEM8btJy$iHL|JfILd} z;@9~!;~n(D9#29IA55N;YaWi33E7~Dp+gw7BS)_93(=ncrU?`Ra$zAxYlz+)P=Q zpxu+kJMV}bOZdnn3KO7)gmBXJIx~C|Vu%>2s8>lWk5efbtl;(P&je_0Yx2}mti?do z+vWD#gD^{8svGHd)7BVvEWS6X%D$k7Pok(y6vPHI;cK1Be41`11HB>kjK0NPfW82;rIBq^tgX$v*7Irmn9m zaMd5G10OHH=oHLrnIY~@?hB85VE|3*@*^LaTK|bzSpN++Ner6m%ihhYE$#-pJd(w? zE=7ZG?p;ES6@^tFxz8ES5^l3Bx(F%8ntMYp=T56`M<#@jBK2EDj6_1vA?OM7s4k^b_{|7`OtB*V7Z#WK$vfJSIt?a@5epKIuR+6CG``FsdISY;$UfR@Zig*&&>RBanR zx{WO$kF~sHeA8?dX)|^xVvL4Ovga@zQKL>w7ZkVMINq}*m+NUxmsM#PMKJ9%2{3m6 zVb@yM`1ppfnOXwwN-NL-O>Arvuhb7+wC7Cp`Z2EoLjr2}h4v>d{ zaoHn{Ndoif!ysWiA(q?++HPEfxgyRnsA{d;3EvjTnY z0o2#^%`C0afO}56tB$U>JZ{E-QJy1>^NkC1hb@5kNsz%vYeKp<9yJDEpyg*kov~0z zS;3;F&52HOW($*YXJivv0$Rsp5F7Hhy^~*2#(g;RQbsAY;6ZZc)1->(17mVUP1~Kx z`K?b=qOb69kchmH)DvS8#I|KA6liG=#6pS2eK# zAH9R==sUI5joUeFF5UHy^1PNhGPH0LNlI}6JgELp#MyOM=YP>dKC7K@S^no%s|Yg6 zc*Y`dRlXND@sUQP&tHD>#N)U&A$5?RH5GFHO3k7T6{hhjWUuFi# z+U-6j=gN+itf#>@=d&Fk7=nKAEIOElq-a!d^v+ktVF|;bSGb2eO?HoH zSaHQLx|%DWUCbXgsB}{qaLO4lzoAx=Hq{p@)BpZ#A!uFt92UEZ>V6O45KyUR+G_Q@5PszNP5UB}G-rjFx%>SRr66sdHp8C?fluN-pJiVi zCn0>@F?x3Ui5)aqs~6{bLV~XM0kQy#N z#8@i&p)T6YvbrOKAxu$%jZQU^oB0N=ubE&DtB^Ln>LQm-A4k;_YhoRsugs+0COjC2 zSl9dXKGcxL5SU5p(b?|MNj`CW3n}F6&hV@_pV<1$`Tq9!Kf}Mlb&N6Zc}uT?ty#aH zD8dI%uxs?G@#VacQKP~(yCTUseDSU#z)TxICnJMxm~3=;6nl}UdLJfi)PnZCj}gk) zo|=+)3AMAVUNYqVgVZC`vF8jpObZ^H(|Z75L*jYjLn7l5vS6VG zC)xdOy*s-`f&W0*KPVUA1;-mbc*n7wLy`<>_j z*V`;F=?Z+x-9)l5pGB8F7*1Qe(JP4^hUMG7ES(u z82sU;dx>X9`UE$zWdTwo+QS>-UWivdB3$!sF$V{}?pEo`!m&bg#^eB`>HxI#2Lz!= zlMUT|$@M&rd*gS3M7bMR2w$jloN145L%S^~7qW9qgk*nYelVKiRgXr@>M0TVp-z<4 zg^%m&J`1GWHQ7p9-;&9SnHpSgO;H4(SO79sj!+Q{>JLPB~0^~B>$ z^v%L;9zoe9sw99IX(ZjL=N*QV10Cb|xWeu}uD6N7^vBK6+)>j(xiIePgfHOJtWJr( z|0%m`q?PHC|4u!fwo^eXbeS$-`E=r7CGBSoArKMMs%TSyit#MQ#NYin0=moK9=1x8 z?6&IZ@pn6BMLl)v;;#wpOXx_p6m_g8 zX%q)?6m53Co1fA2%xPx~|BLZBT~ z5H(Z~#AH3D<_yU0gL|LuD0bS&X&xm7|1I5FktaVG;PpdomUWQ!v$4LibrVgdq=6+e z&}FVejm(9hxrwRSp9B+-*LMcbPO(}NEnOEDb1yi$DrTg4@ebJw69eW4ct%DZ!KI*G zhjT7*Gxt|}yNNnr#!n>`$RG~s0J;R$ibUCwb~iv4BJE~rC=>s9`MC%%Sv`eJA2v-! zP(x5_IM>@ZLZ=6n8}AKfxUTSPC?=G^YUGQ>#M&dv!v*#nDn7hDE0tIByngh!th{QR zW3h=ieH_VuO+ODUEZJAzxc_tbRSS{cD-db7ymuiZYd<=Ip(t$JG*+gma#(V3$CO1| zU=#Uc38|Jx^n=kzIkR!MotsnfkewkFjDR=MxII_6f5WC|dHCq-8~U8l`BjUD)*-;m z>JiR@Cka#Hc9Z&k&E`hAy2d()*QV$5H8B20Cqbxr+GD92HnGH=psDne%JlKn!&w{W zpcV;vHhP_$V@RT@po}^twU+0CnCo2g>|jBywrY(-3rK_Wl(ib>Ydo~HbUbD1DfQz+ zjW`U#%*+v~DxzR}zGh3vqd4vPppvREkw!^wMHGKi%LO4pfQ@BX2OR!h8E%8a@ke@$0;R$Z{QUjbJC^hdf^g+?1A!X#3;=ejz5JWTmBEWt65EF%F8 za==8OhoSbGwI#erQn<#XC^B#rMJ-prtNn(1qu=DeulW13CRnF{G3>}%A|K(D+Fws>YUY!E8hJkn{_m#TpBUz%vi$Gb)4hXr! zF}ft0Y@djmw{POyJ4G$MI{44CG85&f-_sK#H4l7Ga5GpQu`Asc>+k$Muv4+ur%Z$u zB30k-+g7wp`jyPbC41|95gM;-I5#!5N5gLIIk_Mlh{6Dtwu9*fNN8=e!J-M0&XBdi z;KRtOab`;k8rej+in?oyFfIp!V8@POx3*#qiEMqFnD+hI)2S$ZXpD_=)j!oRI=a{- zr&Uy(lnT@Fjl<5%Gwp6A>H{w0%-E^(J2)gXKLnHffgonn}l`cQyV_d_fJN{cFp^bJGzS0>+HzwP;DzWC zWi-TfBZ`yteQUQnJY{lo*OyO7VL|B4AzKYQ-hEJ&D^-RXe^QP~8n*j?vYjhL1sZrN z35+JWlkq95DVjulxZ#4-xFMgko8RYlZh_nT`Wu}7f;V_}S;sddDBc?fo@3X=<;I$v z4p?lT_(E6d6K7{2rIPADRDBu!F-J>m{D|mDdriOSe&g}7#cw7B88lHpq?lB!Z^qeP zr;`@RL!L>Y1=C$+iQe>IWChkdzoJEL=Hl1vo`TRh&}p}jBDQ=xuB`ss902E^1taOW z{tjLec2{1oc@%Zs0-#dmrY@0)aJ1E1&$F;ruc?o#2dBa8{4W6EPJuNSCqyr~HG8Ru z>79F;8+T8fDw}!)XQpcP43@gLAfu-MXtr?^d@jDaNU({9D(=$g9o3(c&@_WPE$$6I z=LwRfA&|S4@HT;7EzJ8Q6Ug(~<<*zQKu`9na-)~QEH~dEZVcbp184zy6=?TL=c5{y zx)qCHZabsjkFr6Ba)cp&Xmum($6Cy8t`|PS0^E%1tPs*4VDTM2>{ z*PvqF#~2?IysU~($vi(dHTZV9?EVR`P?w32&-p0FMCVd%xbnpel*8}DS>GSF+;~TI zxi!$(Ac=^7wY)8Nx?O~&eQHM=~!VCr-7E22G}^!d6sC$tc~hK zxN7AV)zGke6Vru#^o(qtrJTajdxC6<*$*uBN4Wpe52#tWVn%Ybprufli4T?+ZD9^T zSy=af(ULx(2^oslS8S|!sz-3nVQx+N{j}I?6A$!5`fvUVQ~g9;L|Il;aBLCvOBdAm zI`_q6fn^KY4dJWgosW`eS*>wP@=xN0CF(7?v01%_C`Zz<>|?~g+)cJy2#4KrOwv|5 zNqV)!hty;nf6+FB>1#Kt+Sm*4a{&w+;iQ#N@Q|33sD0*kM4#AvW0L0LQ#t<=6XCRt z6iP&KUtVND;jJ#wA8P)Z?p)25RzE>;&w!IBG}2@*t+7T)p>5jakEhViA~=bbsZXmpxXLY*p6R_WQX=FcFf4oq;dBS zB1|=rV>{K?Rd=&Om70tfK|i#|r8WaeB=0V^azloaG^IQhb@yj8x;O`!P^cGH_)e1{ zDCzE25ptC9cnG?CFC~gtoFY^%al(AL_F0Tcp*j9Vq1s|5nnvvIB!tnXIgyUE>_bQa zj;)KQx?Tn`-|pZ5*FL4C<)#vba0Nn42-xu3 z0r|H*XcBPM+Q@b6@{i0U&yzz=HHeKl5BSOCZ#$Jt!!j5`B8J^jqn>Ys}uMhf5zsC%-Z)H~$dVK=yS9*prT0a8t{ zg<0N>Fu&Zc#9afkQ*#0D7Cv!UCJB^dGFK~6RR1-uCyieS)ZMm!|3E$SDeT2hH-cH- z1IPBbq(*#kNRJL_hZ$T&ysyT&h9_T&@$D|B*Q-hmKCUDLCA)4HJKFloVyr-&o;EqZ zmZg6&1kUwF9YN_olKt835XX{H@+iqO@6__}$A%_L9_lVwLfLVNo5S&;!v?pvh#&06b<_8%g5awq4~<3Vby_fuZC8C$l}UFHE8z?9q$1)s9e!ap|h zMg2<W6b0F2i?0MCuWB zN8&c+`|vURhZH3XS#q8wN*!%j`d|s)gu_#HS$`aIFEf1NM)bNkPgJ?~Ph#WypP@MB@wqaB|1Cm}H75JW#gr##oyl)*gs)s#R>i!S(>kG|@;pCZpKNU4@A z>Bf~gRkU2*${cl@xV!z-7javE!xC<wApLE}c)xE#U${+_8eW%G1 zhTWX*hPazXR)HbDBn0@L@R#gnRF5ftR)M|pEavi}s*3#LhuRhI`8!69+bVkQ#L|OO zhzsNKPKtSQ+^>lS;o4n*@GWC0sXTOcC7HO})$f)y!ykkC&{uLRGPhRoT&T1XVwn43 z5q8C#ck*^_mwE<_Ipib$j6DFZq;Ccqvcqjw2k&U8t4|QeHjmt??i_=}PPgB{x%Rm* z1b*Dj5tW@?#(dy);)7>WW?YKj3vojJ(_nLdhG#?hBbaZa%CtKgO zRW6PQ(acMAJ?!uiW$Gk0dHZ#8a?(a%RRxn$ektegD_Ee?R6W0m>PXlJmr~#6LM2wb zoKE`%d72vyRi}unlI2Bg5*+KN}2j<#+T*3 z+;|RPlr+1y=PWjvY*I=3iK)v`0j+^YE>f}slhVtEb!^26Flb`7`vGY;iGpXsT2l1t zjH_0k99}>WUekBDWG))=H?8h`weLG8I$P$e)oaZ)vZs%)4EhOn%e#A)Jml{H3G*bh z=ehFq^)-Okc<7IffLptz)KxGGaU;iV{2Wg8cBE^Q_7T+usn>Xr4udr{7fT;(b$y*% z!X}Dr-ehg)o2?#se>{hggbbR5`Y<4?7t*C9w&1)wSCea-QcL*4W zCQi>R@8vL#YhQYVG55tm&s930&_Vtnob1h7F|_!=M@RAqRq)NvrMx}m&6Z)e7|iE( zT7{}!PG=fD!U-*uo-_`ME*hWHMy+V}II1=sJ>j{6s&~s|EyWw3;0Ha(o#R;#VsmDC zHn_dldT43g@4GQOUTp4rJT1{l=9x&}2%u5!#a5b1#n&){8Yi5hKx1Jfk+5!xwk9XsWelva(xrHnnh{fWU%+w%sF_MKWMczlmKT=!Y5dQGdH-P^y3S?2 zhx>n5=Ka_0LxC6X|2eLP|H(D-&7003mYw+@ zm;S%K;pa_Q_y2hO|8;Li;C21)cL7}bzZ*OL?{xD7pYp%H2mi^^@zU<7(B;dp|Fet# z4?*sKb29b(dGN!3(a97zd;iA@aPt6eoBw|K9{*b%gTX-({~yMlo`nBFjJsV;IJg5% zV|~-8-fhZ;z|iK>+s{@F);c6RquWRo$ z-xOd4EfTDtsYTSvakuuFVCY59X>~t>%CA#L11nb7p?rg#@h2+EZXz=Y7VN!!gn`_u zC)J9U(Z(LpXy?aNNto*S4gSL|6Us#%@WpPm=r#1$9gCdLFH*=DkC!&Gdf&JA-7;O) zfk@|Z^!=TeiRxQ(9Is6rvqq-zMd6MZd^~I(cUUAM_d3EknOs-39~VQ<`=ixOF@W>* z27nodf0n_9#9?RB0qjX4m~+LRz|&>9A@Z9GgExfoN>4)YM~4)~V#)XO^$YbuM0nWl zl&AIQpDsY(2dUfBDqbtQPkapP7;nhXF|N6Mf65(_(RTk;)WzagL5sYM?V0@sB+b^$ zvAcmO-B*Ehx6CFF2itpEJ#^Rlk4{`y_?SrBrbHd7HT9A%yUeCK4~sSdGEp8eHPMxX zcm7BpY#iA5%@i*&dIj@ym(|_HFGwLC_it1U3!STX#+%*x3xs?yjP|=(-?#Qo&mBQ= z^)<9cT{N04@^lquW$NnAh;fXUK%BE}g}@GjR=<51c#h^#$$VgsX?_}9_EsMmAab*V z5mYjsx~<7Dv$_KHK=SF+*iA(BnDsDQ~Byd36DX%??2H8Qn5Z4VBEFWb4xCls(Hdvp=>6S0-VXZU0*mmMK zjc4yqnwuwvg(GDh8+JFp^l(gxxpN?e zNgmmABK1-I9U$ynIxvx$^iYVWuB885_k9Ykx9wlYVa2H#i53;VhOX%ZS_1@5T}~YN z;19c!%mcSGaLceu%10hy7o3GN=k7RvIwcrKo7O#)vQsZl*NE&sw6aeEG`jt=EjRf>6qu9@y+?8WIIS*Pr6l?5 zc}--~!sS<1R4?wq*J&h-=DxlTp5O0|kDYr>)rIz2{d_GUSjfGV;1neBVeUIvrZ(2y z7Mhp&NcwX4rTwBNn=C?yTtXWI*v_A~2Nw#BA9Ajyd_zX>qlsPjt#w%rpoRCk)yHs} z2<8h?Fv4sDQc2o9B6}ycp9MrYd@ZVBWu0+rB!NLGwb|r3X$`9tX`MK1FfvcI83v$_ z%o$DCg5R=|a131YZzrwrpSXL*WGvaOi~W9bdyC#qy3EpiUdoMn5t3}pUF(n0H?!vM z4p_#y=_e0kq5ECUVzJ;BOLIf3rs|l1W{SEZ_^$0vqDeua@E?t=^1Q zQ*0ehfW7K&HO8>&U|gVSp*BYZrqR!RK8k(!?)fT z5pQpOnf+`Sqpyw@XQGT>iSQ;p6Aw8CZm-?zx}A}Z`@5G;7tb4*QBq1$ zJ|1#b-@7(?mcO_cqIGviwv@OpYQdW|b-OB#gZNbLJG*)SZ~`gf>l4ChN|D`$Gp?`(eAZz%`iqYV6_?G5@uJv zj&TMLbOwS$G=Rrev%2#f$``eA^vinp9oE&pDJ1#jo#{2XefN;&tFGERQxl1$yCT^g z;x$co2UTf*Fld7SKW+eOdHdXHQF102tft&NYdRE=-xk;zh<9 z?nWL|;-sV+Jd9M?m^psIZx;KD3Hwe8}MKeP>a{gx8$Fqk!TuSuapOv7GXAR)ej$uRP=o`{!1krHQpr z16^ajjREv7@jV?M)Sum4*Admsha`&QNT(^n5%gYc@Ot!Jit5#ppfHXJpbeLlE1Zan zxgx0kGMc23=)>eK4Mv1DL*2xkK6YM9>umB}hwPlsbnI|_1#eGzr!MsUn2p|`NauPJ zE`Gz6J}>IQ?}u$(B#%|T1`@}fSwH!{+*D<0_YqF_2vCP=-WyOToh+6J_oJQ2+EcHa z?}nkV-tM=ggi^EV6x`u|O`4F1z58GFhR<|_#QVu(8JqtROT&?$&dN91f6T#k$C?O$5Q!6aMoNyK&_hoxWW#jhQ&>f zGg`6UZoeNS0yXQEbp(pPo1&{+@l=-3ndKJvCpR4&?lCBi&_At7ly^$0#6!obumLE2 zmtmuW2g|1iv~#CMNtk)bxc%r!hwdctO4UQ!<7PYnC=*112{0{eb7h?oJha z`Z4%B+V6OZ7}-f5`T(b|_~dEHF=U>X=mPTxBXQ==uRf$$ACGVSMb>pU|7Fv41*3!( zMf1S}DUCa8tPZ3Pyu+Gm-cM*$E~UBiCD%gG2tit9Lyk4OBJU+rY-w7Y`e^XB*7W?` zrCUq5g>>b83|Fj?*?FK)<2=EVSnL;@-Wp${BZRt1n-`S6=yNo&;j=jg`pAdD>-4so zZV^qP1kCo^zxnTWOx&-TF!IfG*$bFF^{x4nBM>)N18S%+Zm4G3xvg znLd-jcbQt}LLl{-hJXQ8?$p@d8*-s0H zDni5aX1l<=qu7LV*LySNTxYBo%z(K=4}0a<(bqa#sZmRy5PJ48vYoAO+LU@U-W?sW?t!c2;l7}lN7v!A>axI^K+~Ozi%2pZA z3)AN|aWGo7Cw%U%I2mRC86esiwVQc&<;Awg9Oi`IJh?zbUSZ`ck_QEHlEVpYUzxUOx z9JrCf+{DgHn^>n=+z682&53}SPZ61ujBy98YGHm4$T`1>FOSaZ?KPx5_ml6Z?uWfY zctHoj7|I{>yzC?4so3>MRSWToPK23szecKs+DMbrLcMLl;)0@^6n_X<_iW6f52l)( zBTmN>itr&hTa^j5paik)OIVj0Z|Y=SPk}!ND>A096cA_aFq*-fKe?|wb3tls7-EY; zMtUX!dV*=YZDDT#gAaR|hjlP07>#Cyc|X`-(MZ;CQS0Gl+mBdl1puu*JjbtOGh z<8eA?e|sxvVg8~6BQPE0#HQ-YU6pQy5j5#E_^Y4U(3-fM;_0Wyh}McMV8v!C*cT7J z@+zm1?^co72{Qv0J>WUm4afKMz5jZE`WD@N13ay{gM}`}}rLJ1wyTJ9vML{Z?Jj-9MpY_$n zhpCw#WMh7gGgP>s$IJXdKh!ebu<|ZXx1wv*-SyI_-^bLs9Ky@NvrjPtl>~AIX0dZ~ zprt8^oA`2TlhfIL%B+yvJN7x&4LAy9{Q2})v>9ls2Gi=5tUsSrzm4%p61yyCYjMWn z=D{!Xdsl_CblyT3<=7%L+ADz)pKWW7@tQ3mAycs(5AF-2p7T?>*OWO2vt{8An}o+CIBU9CI~SNRU}fB ztMWc~zlD?%TE~gjW6p7m_yb)@pXzC|S8kb|Q0Nv;+_~G0dWAs~ejINmgjFT{$jvUz z7#b9&+D%hd&qlwpDiwb;+0p9_^61LcSkSn9iLNbxa%|)EL%0qW@>`|O&zb#tjnyEB z+C0BbA8a2!mV|J-VtKhjC^}Ubkz*dW)Qc8r>V`_f4HV>vG`4WNCS8j6SmbgRdzn>-L(L>h&-rS!2+ zvL))cfP{#M<2Q&c_v%p&X}@@p@PpxQ1^727rrZwCG%z)8RP0`KbfI(`fg>&AetRBm zdDHJz82;GE03=zj?Bmr6VRjhUP9uYKP$sMxSx9RQ!@q|QySop*S|Wgg zsjP3UtMo|ponVJlJ=0}-hsSZ0b(^|*JnH-8*YaSK#yy}S&Ir&MC;TexF~JXG%(33< zM$HfKQwn#+0blJMC9FuML>1Cpy7!`5HaSw#gVkLdBRI%e(LUKqO zV%D{lad%jDvlN4FU`}heNBpt@tcI5wM$wMl`qdL_q1@nPpk<8fWc)e6`EBq5@U>7& z0TB}Yw8qILBa7pgK&3E5+u1=}@7<&3VO@7_{Q`|zq^=rKP%6?cIl`N;$wD?|;`QSr zwN;ReF?=HdIJH}Ifu+D;& zv>$awGHVep+y&>z_w;nGe%ftjiNjEA;2iVsU{30`0EU+mQ}x!65eG~yV7Wh=29}?A z3f9{+z&^*g3z!w$rwyfB4!%j(#i@-{HNhTkI(@CV*0bYsx{RxJV-m`$@yBT!y$uxk^3SmPPQ*;5aa(q+GSGc6;F&xgstltMZ<>%>4;h^rYE*&U=jOckldh8|68c(Y=Ki4{ijm;_GrEBAzo_ zlNJ0-Aa0nxLk5-=%lGA5G~{&*3X6risnU)K5Y#Yi$hu~vq;~%N*gyq4Z##9j39$^v zX>jR1SCtd(D+#?^I({0(J@)BjwM4t)*TWRGhX#xtjF+XTC+?t70kxFy#NHk)?3$Dp z3*DnL_W+3_`GIO=?-ROY8Y{f_1>d0&vjX*DvW*%D9@I6YXY4ApwfMF=x#GhQ`DI!& zw2iYC-`yBx9{sW&ZFiYce+YTWy4<3)U;*0@UCj)=Hf#W5R^^b{IhETk({jaj8er=Z z?KM2C@3B7DZ=dEcKoer$!Sr{R7ft%Uyg8BO1oH#k!753kOWL&tIaWq?YL2Jl!4sCY#o)i_*PeSNwic ze|8HSS@73Hg6G7+K29PAeShgwf1Mpc!MYa9C>{>pWxA|pJ`@PBLC@MDvq#R&N4U}- zknmp8oYpXiHTG{Gc#LSV2{_=YWA)A*z<*Nt$ra&uC1s_q`>m0qpaegz-7#|`Gc-~N z2pU&d`W#S}6#F9Y0Wgioa8s))t{R~1xl7E+cmYwt)`>a!rA@+?-oXmNT5R8|S$cz3 znDTwYnpgWXx8hdXf`IJ?z-)lcR2V%Ni>fWGeVh6j@!TyL#D^+lC@K?Z--4IE;g z8rU4iq#EL>4j)ZchzDu4F}OU1Uy)^n2FYUBD1yVqh6i2OynTrL!b~~!{lg^As5zx~1B;&J%JDedo)f!1|~j=0nqhgyJp*>i^V zG|ZXnrRP8`NmOfq>2ERyX$0NRW`~s;S>C#_9~PkP>Nnke?+1$Bjl;&<?SQhA;owksRf>!>;O z9(`P!$*w%?x9~Jo_rZ|k(xcw}%I}rBX#O;XiviexwN9kNW zcYo74OvT*QOZk^MC!jg$9>F)$Ws?-y2~BWvS+3x1A{{@b!5k_9sgrP%k2vK1{E%@+ z9xZHW?rGL9lR!?t@6<&R0h8coV!%TYaxu7QF*%MUiumP~dd49PtYXai zp3aNQd&=H#@_Yaw9qF8U zI~th5jQsZ4Tmfr)drX!Z7(SI{av=T&yhd6`5pHt=6Vn_X!brpK3fwFODX!OxNqz1l zR~;wt%61j1JMfKE7Y9gieoHhxgZ5{AxP9gl z?jG>>PE_&9eeVHc@49~Tm8h38r>N+^?KWFZaq!amOQjiDfQcEBC9eK5*(dWoIvm?B z1gN^9=DU4P*?}zmKV~?7iqUo zdE+sfr5dq=E&*n%R|~~Nx-r^|U7(Y7D0y4eI;Q~YW3$E~{Wkt^DG$JGs-J&wu0xCBhB6hLps5X&|;T53kPdWUuLg+gZQLfe-~E&C%RYh4*g z00IuGuU1zPO}JvkuHmJXh~WIH4WK)l!w2{+&!h5yMWY$qw`~8a7)r}Y-G)H0=<0>7 zGVTnw1qb3+oapR{C86m4!H8CL{;QQ2R*@x{QlC=_q_h=mgMX57T&rD+bUXFO_fhHU zj7M)uupBSk8hWPEQ6z32x)^`v&M{9wF2PvZZ?1lLX+j#D<;rvPNI6Ks!#08})`wsy zz@&O+W?p8_L`kwq=%r|c)HVF~DpUIgi`37F)@X(9Fle{=whB&$Mxc}@N#njw{-yQY z?R^>`9&Ob2OxBoF`8Gzsnz;Y=<%lx2YSDiS(4lk6THlA-=2f$E2P(UCtR%IsqiaJo zi*M~2@@vK<5Qj@2#P^NDiWPP@6KcTU0M>s)iet{Guu=mT-mTeC9I(~N!Dm>ZiJ;NV zZ+iz@zeO)LA}L@;dvUHH$BmVghhqz|zbjv#?0t_;*Ke^OZ7Q9Bu2-RWJ5;9$in-u6 z-6k9J&SmVSemtw zmlHdL4M|1FnDuF8p>ipDOWoO1{|qC8w+sYy>E);TO~%5Rl}Izu%3g@mL95!cS6fOJ z1*Q11-?ewfYRA*4ZMo0+Ox9Ma`*%yhQ)>JQqxO((xcc?ow#3H@l6dEDhklm!bB5w~ zk|>K4R7xDj9g0a^MfTsJAp|*-+7Wjr!BAIBRt8}wW_jk)0Fr zw{`F!+EBtO>$kdl1{z-uK5JHJ-gCFec!a~e2;7TFDP6g!{Sw{=zK42G^hIZ3$T|Ulv?NPYk5({(nPMe z#o(ZSxkiD4+v8Q%x;8U8l=f4KHQ|V<3;wocn?^?1|QE&Ve1d$wj`l9s{i?gB9n1r|>hd^y9CC|7YeG zu)D#|=K`a(^3SCcj`4uN#H(Y84OTz%Zvr+a(aspu?+Oq-r2%kgr*kxl6v z0^rPwyYz7!jaC(|HextICAwAtwfvi zOIvQ)g1;W#Revs1mO^Ewc|~bpnC@#d1u(@Hws4mB2M; zCFAIc&5zZU>o8%2%4-uf;-e^^WiViy??$Q`)xiLZIQ!96VwE3|7+HAA=EPfkK%&is z=tFj6*1=~Eb(i7Q);S-OTsDPy{D6>=DLp5#j_8TDM zUVz{OoNd_MuM|-;MQ`C_GmFwsyPejefn@7f%wAF@KpAbIY)RoT9>%*;o`+MN%uhz> z&%iSm4!)RrTVj?5u2^&5uAH6JQ~n(98jP6%V`rh%S=n1K7iZ!~{wUuQSpB61Ch+&o ze>K`M_SbZyCZ?IV7~^q8$k`yXqaEq7$EMieQi-zH_b$xnmRzsUTg_2iDV#^$t`-7w zGepN9o(1R2b$$nB1ey>4K@R>ON|A65A zZ}j$vt+o08CU0%Aw*Q|gJTvV7gW=hL6yN{G@IavV|4$h6|N2q?XB6@Oh2R>NorZ9J zX@Q6Binb_#;IS6a2>}Eo4l+n145xnUVpCl70XD$_mR)9r4kwM9gYk$l$b_E%l7emf zNF!ODRDN>oh0gtgVPt~^CnIW!Ek)y<)h<3<-WU?w!_tcX8-;vo66U*fW&&@SS z>9|!_$l*9n_z{M?{j){s{;7ZZvIRxIYw?BK;)F&hB~cSoEz%Naz62M9i63pXPl?Df zwN$;-i@RG5uW$aH30x3v&HG&+q`F2N%|sd#{l%aRDb$UpM|t9);FYw{Al;rL-MqO% z%H;TO0%FSH(>rJe-K;>002B7U%bQ-j8|D0E=HMb0YgAAo$==fO&saIIMSYL1*$_)nRxCS>W8*Betbj^M&6!qJ&=4r%!*^D-bJerm)OMG zMEUaHcK3RwIgz7{a^i4IrEySIR*PG`_lBN1E!h?)b%8(G_&yk&wf|NXyE&gxntbCs z$L;kEcsVyi3B#S8qMmf@1RvOmjfZfXeXNKgBBsw4>(ycJZMjiy8z+JtPN`J6LqMKh zF090RrOU~NG;5F*Riddl<}xdl@nV{oc^KEC8mEnDT{&}hhH5EmGi`!80LPlcbv(j1 zxL1(9Fg0i-zl~VBp58kzC#K3FjfBB063m$6DR_GGhjy&N2gSeMGpwQbUkZ**?xTJH%UjsH(M_gH@nL~%ne4*IO6=(P1HmZM+2!_gJwp%S$( z>A7WENp`HVdNUTU03^jWDiCgu7KRK3=E3Y+spbC8CwCu%9c$0UJna{^ zMWgBd%4&~NMtCWy$40l$YUZVGKE2r*&j2dL3Wd^)S{-tLvQk^SQgqv)l>LdCt{yAl z;kJ3&D~m&CL(!|oVW(WzvEW^%lOdHug7ryPy$ zO>?SYRu~%K?(as#90iqKA*yMUwVE~NRfE+N^#tLraE;S{ z!ka{=1Uc`k_&Z5U=mJf~@*ozpjv^x%UrZIO-xrf!&uSn2q2*x@IreG8T>g)yU~s*3 zh9h>|6au0>)aF+GCr>oJ-`!(~h3kV}m%9#Fk3yD1<|d*hzG3S=ah_#q3UKk78GpfH`%cL@W(?!08#Y6W*PZ0H?x8c$uBtD+XiHe+1dKR@8Ru>i4qs;0 zm(G>`Z279H)*P|mxMP$nQr$pU_X_at)9`acbw?RrUoYdFu^fgwGG)v2FzBjW`d;(A zfw#FGkMmV9k-`l9?W5(Bu&WBT|pBl$0v=s$rIGfBQV@)ojvy$ zXKP;@-K7W`Ks-68ITq!Ue9%Kb{yrpR$cM9%E9v)Qv<5QsLQJC%gHE)gSqkr8!ogR- z+6F}}sfbrY-NGILx9CONt^LVl&np#!ep%cD_QOv1dh1sG=bsQhu zL5zgc&pqtjPs9Y9s5e)waE<$MIT8_Z+z6r?%;#@+sSti8()|@^Q#Yhux7QH-$qJO7 z@^R8hXefWV>&32FtzLuAW^4+~Q>DyXf>uXN%Zi?; zF)JeaZR$$vAY*E#@%vZfmUtao4IV0MLUztJPG!~UmobN__8VQbZE1g3 z$9&;z>8lUg5|E$Rmr&;j*rGes;%=gNeZ2}Z6iOj79&LHO1Mfg*J`x&GI6!VgVbtwp z8lL}bgq9pY`=1JPXf{n-zeP|4>Ajm8j@fWHN(02b(%QLui1CG6TajD7;n+vP(Iyik z56A?@hfCU{DRPAfHm*P>c9kG%-a!SQRlt9SRUeTD-yfV^1lNRl$DWeF!Hq${8Vkn5 zgfOX*25y96p?l^R&NgNy5BH4gj(Q>!XQxtr`6=87Lq{2dH2LApLi?B~*GzWC!JLs$ z>!~!Q$N>e8ddOe2cK52W$_K!>r4LFsPFC@g);+2J z@Txxek9r89+eAd9VrDgK>37Jd?5wt;z6*DVgu{~3Ok|2uBHY(qCY7oRYUvL$3-oXg zxlgqIhdXs1b})vxO{~71{3zvzr`|H~y_ZS#$+WMUwJy$?x|;3o@OvtK=@}^GB)cvh z3)K#xd~b;nkXA(2b$O6tFZBKd1n3wVqG zWcbF&Wz5Gc%LRS#E!YfqY`&p$5yn{!&%1MBTQVtm@HtmQ?=#6-1<~$prX+tzmON)U zk<&^YrP5QG>o~z?6g`re5*&SKd4}@pS1>;Ph9FhE9Q^@T_SgaU?4N?pJail3qE4uK z&xs;gUCEa19KiJ39Bp49W5Gr56{vpI74C>9=W{ZO0QK|^P#9XopF`Q9aOH+}EDk7G z?bSkacDj!emQT~eh!QOZ=KC(E;v9?&8+fa$4kTgDBlEy z7|v8j_O##pxYgvy!A8xKVZ2ew;k^HRi>iGWw67|5UE zf>7vmOCUG;S+pfcmN-jC9~Ef;wDlEgD@h^!F4TiOs~!wKR#5}!_IQFxHIo{^>RO`o z3Ap_}+o2shJJj{)F%_s@`+(N&- z5*5&qe5Yi=7_%RV#0~D^1W3PRg10` zM^ujko>cV`!QO5vy4!D>9tBkg|I>d!vwLVW;#sW+1r9&prg(MD_)kq8AXuy&XqQWp zkE8pmj~2<6yT1ti3#9m7$bH8D{&kHFbL}1Rr)HiYQ_P{HKQ``ge46@+LjC)i9Ku6MeGAF^V6 zFEnr0?+oj%(B8LNxQA&@-G_pvN)Q3+Z?gJLN$`v<&-skpPxScgat_R7S_zNSZ+h&S zD3i}b{D9`@-2S7z!wCF)t1#8YmhW<35Dsk8|Mg|Cyko{Z;|f&qpKp=lJbRhC-|WEO zOg;8M3in{uMu-uSqSo#;@KdHhUZLrzUC<9Gsgp6fG;YFwrMOqup{7+;D{_5BscPOc zirhXo&)LxS{&u|i!dZBWllY&B)Ntx~l}63v^D$%@YrW4d(gk2<77`ei;3Mp&&legY zf`Yau$NYtBZBa|Dw-j(i;F=;}e+;AT?p8FWI4S~kTG%{={5~TlD9wxc{FPaY;2_EJ zdV5d9ymz7Xr`F{$KTKZTbNclKqP$S~&_JuIDyd{8NH(cEArcS;Z~T?Dy-onJu!yfx z8)3NwtC&X)gK1#OYA&8e@I4)B?XWmNJ-PdIpXA%M(v)O1@D^2Y$LdJ&pTR*WuXncQ z3;G#WWY6Iz&IvNebmTyU;m${@JTlzoEd>2Wp9!~W2Ff>Ts#DN&h=J=uWVj#Em3*3_ zI!(|9ExZ3==b>6oWVt8WXB5$A5bj{dL7E>;_+7LpQ-tWYiU-y>I9DCJ=(coq1&W^N z;_fz$H(}b&mY;LK8lny*LbLHgH4BYdbN=3SK=JA}l-ucX^oC54o8MCd$F>3EJ(yIx zuO!!lM&sF+Iq)R1_y+v?PpRMNqf>6d4c;r1xfj3i-wWD5(cff#LCX}Wd268)P?*r_4Rl@J3o`ZKCX|VzLBW<&&p2)FXw9zg76;NTd510 zurUJAN8CA-8WjX^P1+lX^f=U=5MoE<@_~7rf3|GAZz#9 zrb?uhCMGU8o(1#Yckeadb>CQy9s@J>^mw#{w{Hfh4{!c}W1`z^=wtP?y^tswFvFJ( zBaIv&&T7TlS4Dah<@gdEn0Fmt$<#pKkTES4epvNfe%PxRJ}gD(g@QVR3Q5?A?80hs$9b$R27z*>Wz z=pd`3;zQIGJN|P7#w!>!5+-YT;3^_t5mtA-a4*{sxdm^=V4vt$2OLUfwAeJ1q3j{wq_UC7IMtga3V~P*JqpD^CjBe@Gs+FzH;KoVW z(%LU+`X%pNeDeCeI9FI5WDjX`c&NM(*wD&bbbOYU4mLJ2D>a~#<@M3_RHU=P9&4=w z#3pta+tunN>L%N}rvV2D>X1C-(oY#9jPI<1UMY}9`Cg4Zefbs7#iD2BH(1x1 zSp-UPw%~V=Q{yK={$P}|$%q!+S*?YCC37l->M~qs{3}kT@4mP=(areg+q#u1bqsEs>hDbo&s%V3X7coB0Ly3`z@XBzk7XFtAPF%lRdQ*SRLQJ zcT662UdL?~fxKfg1P0nFwQjty!?H9-Fs<~st9#h|IsS0btcHsbJ2%IA7Cw&c$LATw zmje%LG49O_HXru>-s|P_VA4eJ0ROIKF}6Zp$5aEz_Zfp|e3ZEh!no3kc$a^AiKzmr z>^}V#EZJ2PLboD(fUb_)4k*S=^|4N02Z@_K4q6pIzO*-Lc^p^Lssnr(o|3J;|0V>9 z(@Wjk*EHC0Jm?ZoIozJUWQnT>6D#>lOtYOMFk32;eAQUL(GG}(o4K_hmvlTMovI;X zr^o3(0#)sTtS%=x;EeZ|w0W z9D&>$VU)26zTh>&e1_9X-_xAX8!RSD>-e1G=A9M+($}S4b66C-{-p%YuWYL7y){c+ z5Oa9BJA{G`jvX6_Ej+!{y2^@)1W$lo)oZ~~1Ok(yO`!-y+9<-g#_gOCwyZg{80;`f zKt+OyTJE{qz^sy_FlY}}E9^a09rsZ1%^Z$^r#26eL7Bss1Y?zY)9j+CDmXBLV@5#* zL4v=+%#2d^+a`Uv)DHfU(HHl0YidguF+%-6h@gwce;_7)&`JOeVab5(W6Az+*TD1< zxU^2FHt(rSnf-bD8UkL{A|w^*&<408BDe>x$?RZTMjHtJmCu^U(fi#vn{<mgriQ?YG7k%;-Uai=G?Z%-^R*%PWZ<>-Mrr2vwjKfcDh*GQK`#{H5@ zi-b*Jewq|$U}G|dPi<%YfP1@kjJVeWp%%vqEwY6mUYf=+l6qaoQ7!$abkqsHKC<0 zxyXT2GwnE`ys2q5deJWp!IH`w?iDABmLHt^h0mg=>}WFVGzizf7lK`H#*7=Nm9tZ#QP3+gzf5qBF|=HR zRh544vVKw+)y#Ol^bmG)Kaqn5g}rClYZ-xkZ}-Yik@^zee5c?cCmL=OS>YHls7@XX zq@Qg|_dn;5=W<=12kPj>P?)NYQMDn9KtEpsW`hsIF)oI_;J2|9C-z;VRCe;iFNo}(;Jrq4K*l27c29$=QjPmn&q8+<2 zj$E=TGX9I?kQHa;iQb!#8xIZDpumuor@*==AaWx0uFVZd4PPg)5V2!gu12y6a|6q#C+w17W{aUg>633KdC+Y@ZOPSMXrmq8rs#8GR+Av4%QeJh6Tu zg&k`C%QUuxvFSzdIPt+Q8Z%7xOBW}?K0-8_yCmx&tve?IxmGGpU=4!d@FULUi!b=s z%r3$MaWQ9=1&ce>XfH5L(Ms3n=?fl6d)x~!x=RzauYHt~wYLqw82>AHMw-jdNO`P( zT&IX|A#ZyCIUnXWZ+=q<4lA@D@`ioTfe6S`eDzW}iK?zO2RqmbJM?g;z$nnqZNy|l zO){9!E0Wv%L6&=&v0hd^!)nYCne>ShY`Sk&h<5MNG(9P9*1pqca|w?H1!*LK zFg&YyjB;1kMvi`^eV?=y^$7gAMxL>v9^Q5c`PN{;43{W7LvaP0Su2_s$X8ZsP7|^m zMf!A^>{&IHR>AdZ8w;%gjKDYd|NbcU7B6jny`@gE@>E@TqdY!&WH8g93>5VZYuey-~W^E>54HwqltruFC;U&&vk9 z*GOX?aL7~0$MWtQ(y0W`jIpd24htX4#ulk`97lM4)PIQ=ZBHnhzoPU?w6-a1_v^Nz zwi6lIc;A>yR?&D|k<{z*_7{>Nv|3H3e*}pvYuQg#RSS-(DeG1$I~c=rx`|*`waxW` zs&Kp~&|}r^KaenM?$l0CVV|KE)->0i+(7MRmw~B9wMTaMVtkhiFTo5|jo_g{TR-H1 z989dh)spV)DZV_hG_V3Y#Fsrr)#64b!j8bopU9K;43gf_O%k>0-{)>!A8|oIb}H0P z8sZ>D_K&(K)wNpS%9ICqXlyUDyub`Blb7(SA;11m^E24NmjuIohb&SXpzS5IXClNtgunrq=SW8Ph!!@GZe9sR4*^5^_j8{C@;O6nU0kCNb^`=Tgl z8OKGN2S4x?lS+UsSMJP44`AUdxa)c(E@9*p&w5<>d$hnC^aH#pry$C5?Tj8AuK+ec%t z%oycQr$6=ZpR3m>vg5;AB%+2La?Hf<_%(%)0S+)&iz7Q9z{?tnY%uRko)VJ^o5aP=ZL zQm-`Eav4_>{EYGFtHzo&ZO^RIHdEl(-11Z`LDL2U$R=~87$4cMlLJX<7h(#cvU&oj z<<_*l&b~Nn&Q2~n)ar%dwtmsVb~Vm^!Fd{_ z8sAg8+D$cg{eBNXohDSOrsBN*zM@gK>QHicD>(A|mt9BK2YS1Soo0)1r<&jV?nSWp zW%6H{(6xbft-L>V1xRCRh&{_NJ9wh1J1Tx{@zS)}=(=Oz(d1WNTm6i`dn0^tkEO;@ zmVkz znLtuMA@<9+q-aC@ugxs5RHYvkP9-cF3Uc7A&6-TQ4?Ojfb2pY8t5~whU~u`t+$h*2 zdQ9`yTCFJn@djKirrQ1Ionp03GpS2Qvot6yZ?2x2omHxBO4K#>aA3>w zt0?b>e8eII0GgK>62(JD+QC^=A*blz8PvV2HVLp|>pMWhvm22A?8u*ep1o^~zcBt1 z(i`;PgY;r6C25t`_P&%T0Khf#$6Jf=-~jxGbakDN!93jNvQr7g0R6LVbv{TLIV6bl z#eF4(EpKS6mOmgzZfLs)DK{*ReTuq4;wUut$u#7QUY0xY^g5cD29|07n_K&7JLxer zdIb5~#8b%NbdTBj^_na;_!OI~_fu8W)rUI4@jk8FcvzhWY)QH*v~~6K!~}^fb=KT1 zF-5yOVE?WAzwEp|SlJ9;tPQo~$AU!60Ara%!>~hGUL(ysxgFM)X&qmfM~n7U+&ZJ9 zBsH+ISf!lcWr#|GT`VQR_8Z98U)7hDK4~#dD%CSNsD`Vf&E7o6+8=K9JgAcqcYa7; z_wHQ=;au{w@aFU7q=WXeycCAO5Qg~|R9RS3GBP!x+OZ>bAp5syhqRK$dBLcI3WO>M zgTHvG-%m>F|hIO~Z9lrsW>!#{Z zhvi_$Otmne)h$NR0}8(vydAgP7(Z(56Mn48QCvk9KvX)c)tB!F=IB4XA%$}5IM$7q zEAI+G=a4bgvzKflAPV5MnZ_aJ3}Z_(95L~W5Sm5TyBsDs*GNVFBipo6_Nj&*CdBYh z9P;Yt$Xi($hX1>QZ)(b zOD~2-&QATIHE2KjE3T6$;VgUdcXY5Ne#%g49qDqLEB^0L&G@JE^Q1Brg`mZc(HC!u zG;jV&)s!i!I>9f>Pu^hNq85}9B8!J+R2kQ$Yg2JpY36QsZBoHsO+#`yvM zRS45F{odu2WArf{WhHNJOcjp@d9^HNe_5)rQ;Um}(AUVPMgJf~p(wPn<}Yz69iLNA z4b2ZsOe=ehN8G_7z4O>DkCGqJuTXoB@f+5GU>4uDlPM1x{3*viod^}>TKIBxj;-Mg ztre8I#dw6cggv^vkgv3d$>Y$D<36jHt-kiK)wVXJG zF8AMgH6Iz7H=NiagR$(=R7x#qy`5l!sG0rb8ka31DI>XDHwYG7r+ zv$kF}rEbeXyxiS82g=B{gZCl_XjNTcIFs8;)u|JxXqVu}OSp*5)Rp;R>%UTmi^-n- zp-%Q~mzK$bRz*8;!pTW`+H%AV-Xz|%*w(E`eQ820xz4KEjE!Rjyfwed8v++J`UuaSYzL|)MAi0hO% z@+Tjt)yA{#eUK%eN0GEVvCHQOt5~}`yZ^a)L6j70u*dg~z0rsu2)}>jwkKlYP?E@w z!PPT&Vp!FIR0ezdvKbk}HRI`{<*iKZKF5O&ZZ%#Dqo8A{!aR`PmwhIxnn?Fy^d}T( zJ%_;YgKehhC-GpT#Ur@HxRnMFr2p^NXMw)*Qkn$TdK6Sl8AjU|Kh$xfU)WCD+~ z!kjG)$ZnrUGE@G>Ozx(C+;uL9{Q(NdRcy`p-^mW}xLLQUb7+%dLOpdHlt-d?o+)hf zjwu+Q3%tRF885A=1otUAy-_(#k8E_t6nPIGaxS#Dkbxg&*|bXfWLD!g0k7df>R z@)K^--)2xROa3S(!Scw|j=jCO-cI`@u|r7}+#xksnum?Ls{ap8|WG;T@KHpf^-cnivLt5YM*d57QfFHd@7f0PEK+ydA+*TUW{RM>%5{! za5faNJJ%Cni{$O`A%$`OqZdAUs&Q(kt#RtVL9QPZsCZCe{eAjb01&O&es|0R*PA{H z>74U1NxoTiNSmI(fQ#{-?CyaGkrzi^d+Sq-53?Y618Df#hUxv5Jw@PcRI(+DsRp^9 zZ?3&-3ZnCntHiN0na*72AGM8lhwIQ_I^HK;<%NgPhHrIib$L4FH4YgsDtFrKz0Sa*s| zj!ooqm2D=(tyt1}z3f@*BwD<#=9Hm<2QnSQLWC)Ap~QT|)Z%bD`_? zF>Tg*D9?bA(@5rKQISFls0Zv5HM@_;Vd267AT^CLLZcg}fkvw~WHi=0Sl0ZThWmnH zG0L}%Kx`7z&nAJN#_E}Yag_du(f346{ib8SWO>Cw@}VNxh&%hIM{zc8Mk-DY9{bvU zD=9#5f>bhML4USP+bG5xT<6yfctRd=Rrp;fOqVI%6Ir-X-f_-AuI{%8g|HgnZ{lIHH9szQEnNpI|Q;Jo#ks56$^RIYm%f z{jRfVdV+QAlZ*8<%0*C4@qkj9{0R_z$a>5Ke!$}rdfi5M#_W`c&DLns;}WW7a&Bx# zM9bB$yTE93im;aCVsK1Q^qEZgYhJmZD ztJ%Zr%m@xu&G;I@0e?r*1yH!} ztxGhum%CGxrI!v38|+oZ@tfoP&!~a!!Yll!_c;q$j73|&F@AC#*cA0PasM47#Ad`m zIJOy6GYoE7>7h#E1+4F_kPjpUQ>STUln_|Gx(s$Elu&@pVhy968<3MsHg&rBbQK&z zcF|gK&h^%sZ(&0(k>!<19KMkF-4~am0A}~qCf9NX&e^Xnk> zifmY*($G}S6FNF!NSu?xy{P( zn{KcFW~bMPgD061?Xloxnx2agxthW?59ZU~vEjb(JvURWTrx8WZ(u4Be)+VtZ=~7* z9FUWnbP+5O69#*~#aQY1@TRC;pa{pXzz%~@{<%Y-!R5bPvTkea9|FVTWSWi6HbmVf z$3s$!&aYLUp^2;R&Z7U@_5gxFuEA+Yy4r?%o?s@ zs%tB`TIVDnKkg0YT7nHZd$3%^m_}GbBrY_G+8+*L)!hyz3Q>o95Sm8ZN@ZyZ$X`3UoMyCHTL6U z?7o78%re*fs3O3TJ_4`Op}N|t$>|Sxji0?PajN4Uz~%qvpQxqsInf6oXV{8yzJEI4 zJ&>87joLSZxd+eV&b-4Qzw8Dh*gI!1)sLAhB4*Nn8&1~OiSZ3#pB^MT{R;{rN??Xf ztia!UnOO4L= zTo`Q1(>jIMx3)EqORHIL)Pz2fpiTv^i=7oM4fZ0W6U~&+;zg^Y9m~F6qlz&`;FqCa`~c7b#0|xrqH&FNqLeX`&f1_;n@aP5 zu&C{Frhl^_4k`gFH%!{nT@W%?L0QK)@bbN%)RR$z)`fq$*#K3Vw&6Kp7xAdjGqJNziGI7{Qxbhxt_t2Wn>TN zkT{DDqahUvblEb6+zPm2uRFC>iBX|iVlGqA=_%9gO;dBR2}pby!`2%lYh{c6o+d_E$&DQu%oYVasCr`+Qr+dZ)%8 z@;thCqTZL!Q-5}z<7$#>M(Kxx>R7pI322BaCRWz^C!BHww9|&aE>hHrv7BN>Q8W>0 zi7B8!HFB80eSx1=2ejRgVPF!Wxt{2{v3a=5^X;wLY^TLZwOp9(z}*@brGZY@h*v)Z ztJwgtyb3`rnAF(Hs;k>TV_I2syo=_pgzsO3h!FO?4uOI$X{unS~wW9YY{+`+-+5&=ncR@2i7Z4RU^Y}zJ&1~=1FXxrSbj6 z&WNh6JSbV#4ikOc)#N22+fpk90T?a-FB!{srzp;FGh$EmMJbMB+BLIlW<$gUqn)iW z&wRp`hgB#7>{hc~j+3>qmIbrc-YkCFx{V}YaNTWcn8sP7zLD^MTY&-a>dlNGZsWrH z)BtJcaST{8#455RB{P7kjbXbKX>GbI8D8-XGXc^lntF?|wwc7f^oI3e&V}J)k@&+j z%QZA1CWdR$xec0CA0#Za>AfQddL=(yTVIZpMd3zL?a?Zu+Y&UNtMUpuuM6yiW3$Je zyI35+wdT^VD6&UfBVwNBRb$6s>Yz;Rdl$TEa+X@tDT0h{bNSy!EX$wnKAj143jTID z+_kcR8V|cdQZ?vDe~$l8r_d=%o(jJLX?fZQMp93Addot zkEqr5v#M<|-zz(8LwG>M#ve6Y)m@?HPMq6GUf;TSp(VNX(oW^jjJ_`&A?0X#Aj2W-7t$&6d$O(2~_UFiJJqj!Fpx zT@p4&j5zd&>rDdd?R}VlB>U9f%BQQ!;-zmsb{PoadqrY1_1*NW7V7W1p**l ziS-R-cyIZ>{0c;TcDsM%`sVXX>s8*InKkx?idXZ?N3%*l6v5~^lI}&R+e<^sUo==J1buOAuEx4kQ4%}9O8K`T17?GME-G3oWk6u?1|&U zj2oUuDB6ZRS92C{rJ+xr4S*8$+C*klXstjzCzuot8gJ z-yW^$>Nx@_-?7A9IxyUAKK0C6i$PxXq(@#~+q~EVgj1urVea-tQoVp4Oj%K6Du>&q z`!s4YQah>2lnq=nHz>mrz8i)KHP1i5YTT<9{V+YS^%ks656;;Hf<-0(=ZpONztAw_ zrgd=`Z(_$a?_d|M+U5Gn_DC9LssCOG)8rLzNM5Zge;w0%PQ@FI^X=JArB9Q*;x zCvL?NV+fE^%*YW0MFARl3E?$L)r4d1#oPVCG?oLj?e%!iR-ByA4d!2u`M%SI*k5fo#&SW(tMKZ> z_)&5Vvvr#$+57~4^Gw754FxjVqv7%YTexX^TCaK~HcRd(8y=*#OsAi^ zt!jXBwqSo2K`ZhXOt6x_+<*gCWu7DQ?GPpo6VT(YJ$C4bdD~Y7jE25k`_V zKA~Gy7iwMh+Y-tZ8`2d8tFG=h`_$KSsXH7+RUfqEZ7XanrV>uyFF^CwNs3O7zngV0 zJ*~jwWD>?zv+q!KtlXD5WNDOZpZC7k{cOy@tU?(%oFxBmZn*L@{pNVi>4prKaLaLA z!v-=^T1Zt*Bkqt&+Qs8MXw%L(k}{q zR~CTT%7Q8Lp#Z|a?L0bmzDg*X)hcZuP7t#uGcSYRU0c%_w!C(sw43p*(@uii(G1HL z1A}*@Cd*mv%b9w%_w;l-4D?1dh&xs(V0#%)aTBQHhK&eaESJ#pbz{S`YI>ZE_@%*P zw5GMj#7hHFf``;ft_FC`N4`fR`;CdJE!k6IEG=?WacnD|Yi?(?)M0Yut#$nqWK^V5 zStRc7n9ny~eYxDc6|TY`YRhUND-mRdxd+D+=-xVHDH6&Zf=sKqEcfluhv?%S@thDo z_sT^`n*npj2GFldt|3S*`sml&Z#CD|aO80jJf^p+loX~v@sQyX-jv&`Ul-bO9NkN5 ztt(?u@)Sgd!=6w1I z_ZLGK8$6hEJ^gOk$A%*L|MY$Nyzo+{GaM7=ex#j#Wd*hDp_N=3O`JpXe!v-Y0PA@j{9U1dP9_jyWl`=+ExRxZ|np{lU zMiNqef5rJ64o*`qh+T)Y5o|AX7y+?h7vRih6vA^7`$yzt!F=x=Jqn-W%*Mef|BJb| z42tV}^L=r5cXx-N!QEYhySsY>jRtoOF2UX1A%Wl$f~I^?S=#4;VT53KL`ZVzZf|{f-Jb# zqwCojtsX3*-mB3_z>j>&rc2ykN8THqE_qH2b=D4Puyp*|~EfDZTI4#^Q6u8Y~aE>)x9nr0;+h4ZadUlf# za>+>64k}(%24mkC+rBrw`MZxO+<9?n(}wR=-e*W_L*H3k1X>qI|Dfp+cYY`BETV`hJN6GXhc_VQM=Ub-#C0Y?KxX*pnQoORiBXPz5d!%G0|3o22_t6 zhK0x2)iiUBc}p?_UiuJ z>#a#>Dl}MY2`@Xt7)6rHORC_8%twll2^ED8_=E(>1 z7Gug3hXyJ1w{?{r>aWLMsi-fQ2P1(y+b!^z@Ssb-ksMbDp4V6+fd=Cc%@BJIp)?v* z^(TC`8K7wdjmkpGCjxS2!DN2q$ORDhi_B z8TW+a5MvhUQR``?Rb~~K+KAB!fYhy606!Gb`6(xhHtII&c8EWYP+Sl(ye9|l!Rg)O z8sh3clPtz;w}-K4GqDx3|=cv2Li5G*OsOEN?l8A1RBM5@(-CK_WQwFeKXa4r%4PsOQkCa<8ng?PsdY>*6>$ZiWMTTUbeUie;(!0Bvsf_&p1A|=UW`!_6)6I(b{@4^XKHxnXXmO(V9qm#Ue? zbzK5Y{gniQv9YnQ2iJlD5YR)AMkGb7bJJQ^bn^}&HUTz53^vw2)hy6vOfa^MtxLof zc8Y=&L_ zGX+8E?cE|scAcj99Yr7x#~gKuCWGbRo&jj=oxdQeZUdAl46qysZ{b{g?1%`Ecw9ae z1|q&!t39}#C~;|HIxJ7P9ayssG`<@3ID zi5!%|&W8TRM~Hnq6h!pcSEFW#mi-$~PFvMYbC84UJP=TdG)6!~U4IdrAmgXxo_Npz zrQasw010yM5rg#LHg$Vd&QCPVj=_$?Ni~TZZf=XlXDW-B_dNuno58=3V*7XoG?N2v zlu0#WNxs7%5W{NNyAKW`|IHb|8bzkcm%>efxaVS?E&Ljm_INxM1*8Eg0Mus&eD|`) zfr0Rd$3gTE|F}sPr-fY+B%hgmp&a9IHvSWdZ9fa@9CTDTM|g8T^Kx`)LqOyRnxJJk zxwNCczC%CNdh>`wmpudU$g&$VNdIJ)V?+ew#(LM0WWs_Z@O7`o6%b6d$pG1=2KyNf z6OQsFcw{ID^28Y->#dg)0EzG_iHm;Uf_38s8;+5o@FzoG{;okXlVB}q!W zm{l~c{6v?Wa`rO=qFOLd7&Am5>k7JqUECr$z#zi);^~JrYIwBBhywOnG@QckF_*I1 zk)^arYKDXx_HupE=_#&zpQ|>!2Tv=T%zn(T|9D2tEROuR9A6 z1dazN*k4rVof$DZ8-Qg|@}ziZE~8FhFy_m7mD)3?R?Q7FC$G_zv~o$^?fMwA{}Oaa z5ANR{OdUTos9G1dxGGhIHLDxNR0h94o`>FqgXhFCO=*El$1lM|p=gwjxVLNp1k?yO z+tNxfjD&w64}&^V)Z0P*1Jr;=*^p$6QEEmHGRe%3Hx@UwVD(_g@?(bf0BlHk$+zQ6 zO(i*aOS_je*c!XNbqa2OIfAuyMw;x8L1USsK*f-hem}R^9jw}m8K{1EP6lijML&`O z8Cm^i5E6E=_hOj5$4NLJHa=}5#PyGJ;>*@Pmih@Oo$JMhKKEx`uPDI}e)|=7a+gGovw)yz)TRWx_ z%lMmBSR1kCBcm~+XXbRg#Kkm_o1MUeAu=%~_=LV_g5>N>eC*{PJpR4`B)=IQSOpj0 zpI&&8j)oEmXpSl^#X2)ZllUPnp)S3*kUzc;j=~>bCgzFGUOz2qloGk$j6RaIf3}Dg zCd9}I6_48F0734@?LBaS@}0stqK{V6nvaaevw1HW4Wj))n2Sh(<;;LD!{ro>2`Xg1 ziFkin8|*hSU3&@ik0kfKd3sV8onjr5i=wVPMG)aWzeaL;+BpMZg;1TAaf^Z1km2Zf zciDk@j~Sd(!17Hg|H$(}kPO8@u*qu&1RBlPvv>WDsG+HjzPlBTtl`#nx`&Dc@nWwB zA^Y9*IBabbajr8A>Xva|55}J1BSm2~D|%3-TBgNHu3L$?_Z!Id~a zF?dAXDT2CmnFXV`>86vq9wSq14Wb<;fPqG+3m(+ndNp~M5uh$VI6!x{Co)CjxMZ^( z6;-fr+mmG7Ik(0{-U3XAi4a)?0tPMoHo2OnNH@h#8_kE^h@6s$)R|$axcA-%!OQxP zq^Q51MxF7qN2yZLMBjhS#z=k@jwT?6^*1~34rm|(fWf+cQN1AnIcsPJg{4Fm<~!{x z?%@b1yw_7(6IRInYb!NXKUKt#8+!`auI!F24lzJct@%CiK4j? z{2!m5C4(FmcTSNk-cGFsXi3JS%lQyd2&^#gA%sThR1xkONF7|4-ia`<>Wip-wg5#S z@5J<6FK(f#(0KT}<->e1m!X0r#YGa4Mq@^oJYnm_TwIm@gZ%h@I`V8_p=~xOX<>nY z2Kj*!xyBnC6DtDJ>BMMEVokXi$XQ-+{T(vJ`UI=75n48h8K0Dm5*qlXeR)rLNkY(N z9KwEz7@Y{Cr}5K6RU6Y`7*2Z9p6Z^W>5zn+2b~k3JMPrcwFk=HCxj!f#SE#zOEZBG zQAOY*CsD1iB}3QNcAnl*W>M9RQXD-I$V0J4Fco8(I@&9Sx#8{~ELH?xUP1*A`M}$_ zBj6XmN+Li$I;m2L6#3cc#vAn$;1$_Nhob%<zdHUA{+3|kKnzF1;*ywRS)L|tiJIqY`!$MK(~xH`C`CC%65SqcOCo0(oiOg{ zM^(*D-6#ML)RZhM8v$2Z|!Rg_zlMbMER_bEIBPB@7QH=w<||XbWH9d1t&>H^y&m& ziMit^d6~qvlkpiGgapC_%SVfe!f2tqDT#t_z=L4SJ>U;mz+d>HSHJ;Uuvnovo*k^1 zJHK5fXa|6Jb15o96^IEZ(TA9iaNQJe^Ke1w3qhubyza&_bq~1}pywi*5nv@<@#(zt zaUpPxE-j5tQd~A|9_&m0O65 zOYmO=E$;umgey1qzX)0%`2NMu;{87<8pH{O1^u7MfBstr z|7f57-^gR!|9u5mZk~UY8#m9t>woe>PS2_6Vqs^aYT@NXP`0haoJ_2x&h(3$&gn%&ztk7_t zx_}5>Tpb@*C2pL2YIq4h-xNvQ3`VV)xP%J9j`BT#C}|}J*Z7G{^!7Mvu_E4Z<_%Ge zsd|Rt8&c?t8`7>PLGDB+5%JgdWPtx!^KS{8fJ;jYBY~>-m}?b~2IvGf??t0;HnFI$ z`;vS!I3*081iy8OPd)>Zq^&@x4TPvsBYSwzyT4Fp9zZgM&s;ym#&=)$o~C>L{DmDO zO96d(u@KD#50Cf!vTQQHcE%C~B0rs<^2oGM!(pOw?=eOo<(wSdXFu~fc0EC8DNEKX?8n2t8$(_x&}0D8XP3OfasB_x=67dtr)OBxNJyir1oh5nK;OQG>5! z`nhi>_tc?xtHF)v0PvqfebACYGRU0h8vfvan)c5JNZRTga>*&>7VdFFHycq$fJXFZ z?#sQ_0iv5{vA@XNOaH_88z6WI!qsb}1mEr!1xp=XgJ+W$2UR%1JK#$QmO$Z6ZV&)5 z5BRfyFegb1@xTPh@BDQKoR|X%P!Ig`*ONnYk`nxuU(L8L4nBf?^B1R?d}DZd0YEMR zvTUmV{KnNec}vvS3x^4>-WYYC46ZsWT7$^6eKs@Z%3i}GM1i7$`Og}_Kh@-)2EfPt zFV$;7?*G2}FZYLkwE#$O@&AGRFC>@$y6*oY>Z>IthT`SzX=CAn@}J9I3v&OH>>u0z zUH6##zpwqv&HJBN4(S8_kG1>%SIhr{=C+2e`BB&wEUOivjE?}*LZFoNTvFxhj#PScDJzlw=Ue*!OBKUUg|&T z`5_Y4|Fh>e;*@i?@V5C^zb~!xKfap$KgR$gS086*s(;>0P&id=TKG{-?U@r@QxHQM2!_AvXfgh}N+S zE%@KDNS*}}`OzhBI;XT`BsDcvJx++)u^kU4lX8a)N~d!~axE%*?I+SyojTvGJ6Bf& zdygr%f0?NFe){z?K_MD)s-)wc(ZAMT6^DHaFpft7GL}5(>)E1N)vYcYlXoon+O6+^ zV#H;lAFn4f0kq1m7^%cc>EueIl(gP(CDRMB0HlXx2HIchYNjP%sPS;~n04w{G*vyl z%A&dgYCe5TwFgXVNps>!L1-4`}_2golti` zqv_rDTc(+g@ojTkU0-QSO-XZgcSU>smmTP$_~@xc8E{~5L&%Pigix*pze3KZ!6VMNkNk3>d@L)ew{^{tU#wc`JkL*vs+QK2iv;A0l$QF%nBar)DIa>sG=*WeM9*pV2YS?TA*K3;pOl0%vQB~EkqN4l`T)(eEktlD}N710t_VX6D{d)Jfg}g0Z=B3D}440I=mj}X#CyO z*ni$go(Hg7I&sl)c|q~~>pV2X%^i6ra@stgRheyi|Sv~RIuj#oy=sjM5b2h#zz4r^+<96cJGQ*biVi*Vp z*2WW87;#5fyG7#nRbBcx@}yA#%i5d9r^V|k>C3Oz3GlDH>J~!AWXONUuwCOMU=uy| z^b+h=+Ycb_`lxz@2EjD(&Zbk?PSvoNn$E%zY{}jZEcp{z1k)li!Pbx2dq_tniL~~C zuN&ONK_ux_a749_gKnOwxn#lCJLW65K9~%fy#gVNMJPsJOi(g2l2d&b zEyI+rwmBWW8p2+Y2t6oD#&c^cuenW~N!r@d;6dQc0o~~2W9q3h1-@(36g!ah9k?EyR8bvS<`X?H4JmSLoq1(>LM-tgjs!n?}a zZ<{$4Q|3G{qL|V7(|`y=tz=C?q>s7JqNX{==b`wovEiJ>iF^%vs`{GXQVpAO(=4XP zVLfZ5=S)OC$BmGjNZ59l{mP`^zJaWpUTG&X`9jV|vT7pKqy!Cj9(NN*3}Ji1uBZLo zhU_-Rjo4(`s_82$^Pg#x)>GRUiIb@id6KulUbTeW3;hooVU?}!a2@*#qAXcfk^1JO zXmlGxFy5kq*O3G*iAif*f_Y!$n*5X{TAL2X3DsmD$HJuPix>}A{vvC2C5l@Qla?M& z?kU`v&-<@L*Do|>&&zGG!4tx+u{vD=kD(IBLI!ZYAK0P<4_$iH>@8K5Bt<>ufP^zT z*~z{~QQbgMg(Y?rFMY+mo4^J!$y3H7p5=s612SMEXJ<(c0`Cuq$o`5BHBR(2NEdD~ z$CmuI`;`;hn3qow(B#h7ns|MJ&UKKdAETEbdropU)7kmn@W<;)`LMc)TY31WxxPfG z!?5td_0xSk$)UFIrQ+fDDcBjFE~l)BvT!H4!`J+Xj4DCTr*2}#v#_{^GV{+XY4Cb^ zjNWBN3&~c)xIYn|_2IXZnW1N~-9f*V^^i$wRZZE9{2!?7nf3`A;kAd|X(^KJ;YU|@ z`AgUq+@VB_)-;k4U(ISH(bq&Ys0Qth@64AX5Iu<=e$3b|v1Y$#o)&wxW%-nc8oQD% z#U@yaLHTv4-%Xipd#g=HD+1Ig{^Evo3?o#>H|PXTurN@#lR5V;>3T4)+wQY9naR)a zyIO}v4)$SO7^4S?M-fR_+^AjL!a|3k{yiYeq*+k@=o697jp@|jL8Kc#LiAk1)Pgl@ z7)F~uRJ{o;6U!JQ9*e*e##WqRb%Z$<`N3z^M_3%BN%pr(Smso;)<6trqy~#@$$jew zZMHqEJQDez_x!ynUw2}1sDnkUieNqN504C;mb~_7n>(c#FMse)@G!ClJr!&EP$-?F zxID!LorncRl-xFjR@ywy^A-s2x_xxJ?Jrd4)uUY4FAsD$g|eZ5=Q>Hl)f~ZAUl-{L-!GKMD@~KEgIXjyBe(DOed^YXvZ?R=?(L4%dGm=?=*R^1oecwPA#rMm-j(%@ z=hQCq$ozt(oC+&{uPQlrPBD_|q@)GOpWNyGo@IPwi+k7npnRa-9FjO#9ZP%4eUUZj zPUo-gtn~V=WledQ+xKm=B|<*Uv$WkdO3ZpSD$3`@I&cE`QkF zGZ|T&{2aBBDD?Q&Z!1bcY~)a&`p50@)GWd*hW@A3F9v}@PQ_fZSnVorcI8jkvee%r zjMv*g>*f)jpD#t2xQ|6ocJf}-W)CvuJIJYyQ2uPcSbWHq3yV%-IS=+x{ zba-668JkDf&RIEmwY(G;h;>XGM#9=dBedlP9_xZWwwIkuYW{$_to{)NG!tNHv=Qs2 z&$;72V&Bl5NJ)J5EBPzC9;oOMR}|zQ$msi~i$5A7&UZlFHDmF6-=qb~NER{T2((#q>vzmjGlJ$;!fO<^4 ziwZxT-KluvpS_Fd*aT`X#_uEG(42%#B#nX;mxZzA=WANb7b$|_gf)0L#I5NarKSjCifquV>1bOfSOu^7--?xmR zt_$|z8gO3V_A_-)C6?Tw^aWbZ7Mt5%NdnAxbH^A4KP;~x`{U#f$(pVTtRBi$q1r7J zjmMq_xhh$d;RHw22W!KRf*p8+(`SuI>TqZw4lBG5)FNymo`(w`K%cZJrDHJ=ilh&B zua1s>ez*AnSI`|D#$d`J@JW{28t&OYFsvC`q86LBd`A~`QslPIqU0EnEIsqrzqH*3*tm5cg33Fk3+5R^`0^sO!3{ym=>XoqSouJHk4m^Ceu2 z^EakXNz9?wYPSx{5GvaO|0SHM+e=~loH#=P>a*AN1W?wikSOQ@{YhI-OKHYPpaK@i%)+t_r4PCs{680A9jN58evEjah|!CDZSBLl`4e4 zSlc|q54Nnp{vo+Vg!675X9Q|d}#q6DO>5?h?@+qkA(A9Jm{KGWW}E}cgXEm!96RP2HvT=DTlHA_K|Nvn`i4O+@tVz&BfQQo zhtVO4U#*pZI4ah z?2}022_!L6F?*MZD;F4sCL^n;Gjfe>+QpSs3k$liq;K`^U+Bgmm{L~q(Qm262mNRp z0I?%$NiJ)l9*4EwQ+E2+>FSAPA~ibc*9fRR?D*si9IE(4eMQp5MN}$1)b1~^uriP| zP+!f1!Zbh)@P?sMiybAR13Xz1H)2a(m!$59GUf=rLp=ixw4WynmSDe`^XxKM^*1{c z+#N9K*G+`{Xy!+Uke|gfw*`@I&I-riIoMg7KBt6#qyd*JH1V;!H%LIsXMG)LB{SsV z_3u&cVHysS^B|_M&XPTT0P=-P3;|W7r75=?|2pbKxXV z@%Y7qnV-+$?0f$M{H^eoX-9G2#OIEY=e>S!DXB01Cc~I5B9cJEQ_1o5vxS2 zIH^(oimrM?u@1@~i_sIo;cXQv?48@St)2b0GvAGvB%7$YzJc$Sq2=01X`q*d_oem2 z7$2$WSq&+vHtgGDWxv686nPS*Tq%KUtq+K8C9xvsd8nr_bAC+4e{2AerKbaQ29wWE zzNKYN*Y!lyu|GZ0W57yfQdNN!GsFZISJ_X%3<9f;h%m}1Gup9l3Ztnv7sKG;xuc^` zElF5;1W6bfE0FE_EKzzgz;#?L7P9YiB8}!R;rE8hu#9YJpNjLCU-~kh>-Oi)@quxWC#$JQB2pm3}>$)6=y9siUQ8>4Pj zeC03G6rBt**p?gVxynb=*Ev7x#NyRjk{*6{x%if;wL4OEXrMl;5{6|21FSZOaAD)H zvHUKJya($x5Q=LjpM5g$#il)W`7=_u`21S@9z!B&v1{R~DvO{NtuP!=v5q+xJGKF~ z@5qKB_SS7dE!CXWawXFU3K`rskyr?FD86uiqGYG68@WukIi+PaF{WVA5zxCG)xz?4 z@Alc84g7PyNwX?J(N-X;K<$Y7DwJ0( zxG2c=m7>&3o$b_+ywnd3`4r%GG)jk4eeM5E))^Ff2Fz%5^Mqp$h$QIA5Zd}}&q!&| zxQUSC5{Gf=6!1{n;d5Xmnf#-oQ{*>@;{D=}QyX19oN~^uuRuIB7G(t2WM3<9P#K#m z@`Io5HILNK9=2RTU*D0JF%|4qXf;{cf*!T5>X9VtK?zU~!RMb@AM7!}KsnWbcTW-_ z-WhTwxf~Y1x0w)vZ&q1!*|<+!TbLr*%qVQbBpdadJtF;)Tm!?aqG$?o#3e;-a1o2K z*nz4T{$r+ALuRJ5RK?+NQ9s^@PiPc_SEpGj(eh~5?F?>eYM0mOlw==Wq9{CuYHE)v zQAozOhrQ{)~SQ0R@X?Wue#FFDI!LHlYg_x^Ak*8 z%t`z#nZuT3tS9rPb&}{JXemGxyWGxPm_P4*axdUj(-+-bha|u4Wbif%J;a5GVx&APD@W= z7PCag(r2#~7w?R=oRFHIXRPkRu>k8@Dg=qb3nH3+uEyIqAhDZlxQ?B>iRqho7nR|r zga(PrE(4*hNi$1&t=M8W7U z@LRmqMqo6Dg37_1Cfa!VWWf z6>+yHRDzHbE_3~i`?>;A0K8IIhlw|tdu8+q9k1jh3*)vM-R^F6xnqSWQSNqg>L=4N z(OKsBPSWRJ-mX5_0-IKeU4&sMIRm5WCu$OU=sEncpFT0cnT9A+H&qx8|0Uxs_z|J~ z<6I63Em5B~mS1K&T+Q;EA{PFLWQ7lOsU-*T3eRUft@qHN#~%1%Z~=q*Xh%CV*Ze$xq!Hq_ zE^FnoDxmY-Te+=oqQI|AVN>Y*^xq7NABwo7cuZ-mXV9z_d`;9m)gtjcq%5JNhG+b{ zVO43b-Jt?p(Ge1y;-s=HZeTTf!dk57__*N3mU6Fc?LO@(lq=?k{|cJ;YJ3A9^Ps$fR0UPw=ishp$g%(#N=Fhf;!=3y32Vb z!XGds51H3C5_b|!AQ-VR?^LW9*mCQ`L|I`+P=Xylt|!fJzN8Gg_J`!t+sX)T3a z>gyxWnTkWp0cnw{ zoxvqaRYgiOU`euF!QT&~3bVvv!sv)nm<}l!irl}635XYHbzt7i$FR~Jx(=zs8g3#q z^*L$M__AiT7Q?mpHiOhRGTFvkUhbx0)|Zl2)C(yHz9nNAG#odG5$M(Jp@vhmu_M=)&8{x zfIBF1f8$GD5f6}g`J|jy9_HN#T8mKqrs<*Dx^o*%$X<9vc)&O%@p$;)!U5jy*gjJo zJ~Ek6TJ%dsxCmfDXBR);hW1|(0D8Wg+2|=Shhyc2Em>9E7B9jWu4I2WzLY5T)`zj> z=xUyd8ekS%l7Wql3;mR0!>rFPbp9KIh)59DzA5Ys5j{wK#|e-bo>Yy|hCXz`W%7?$P56j|&hp(bniOpP?h+WFEN z0GqDC%pH-R-(oodu>qy(UV!>�O83Z?G`&veSGA^UORi1RZ) z*Ee2Gq)X4_^!nEq#r?!lOjTa?p4M>7+s$QVE2y3an|g^n&E(&RhWq^f0^7LyzC9$FQh%iQ}h;cxYgmbkc5NW!U4Y zV`24xTgT;Sy4Pr`Ur9q3u>B3nSPPOvt0q;fr>tW)w45I;9?jajs3B8UlnT8ME9Ma_ zi1sT{OSUSA?+7L=esOxbtd<>Xzp$xme*WD}R}6yf=V(wQYglAnldKtt@(Fy)Qy%|n zJ6W$DoaVt{a&mOL5bhh!aTBRnJiWeA{!o?)FQ%mlm8CiNS3}jtJ#t3^=A=$_rwTkhoo z8LU{&DCt+o=i)D|~;4;86&{{tvi=!DUhxm(vuIgqRoW;WR1kA1EaMjeRx4AU6m{SHD zY+HfJ8qxP{x4q%In-@Ax>0-s2PiFM_IF16G+19J;?!52&>-8>B^`VmoUPAT{llbpo8;=M#h+oivt23%;Z*_%rz|BIOH{g~JN}cOq&~EIP7L5<#Wm!C~FYnS43(%Fabuu1E zNwzKT1&Skj?l;w<&CG==Dqx{rS6A&=En-C%yFNMZQz5CD*dA?1OsdzhevXiW=-AmI zLcqj!)?JhqKGK?(n7{&KIsKZXV}?Z@a-eSBD1c3wHK7)sr??Gq1rZTl<#OCs??9o< z6NZ|)45Kc6kkeMEk@@E~t%{9@HMQVmZGGl_v{uAjovy+tf=8oehf1FH+!@u8kW4vt z^nhy6@y;!*xwGn5TUhZwpAyteg1#f~(GEY98Q<>je$UT*y47y7{EFcDq5)&wO&B@W ziSucz16gYxf9{3U!L_jWJ+@(aYLl1Pz5FY%V)6HW8vi;`64oft2dMW%gkS8)Rt)@@ zHJj!a!yWd$`7Xo)gd#6Py^1;2@~q^>^FdCs3rO^WxdWDMq79^&E z*ZCBdaJH&e?nUQCz#~y7P<6^uO_e>ai`hm@e;b8$#N#hTv*#|Lq2v)rD`-oyw|?6^exJQKAMmt}Y8LKB7UcP@Gr~ zZj3sP(~#&y=^M~~Zi9295$;PfVFkhu7p$s>Cb4Gm? z>Z{SmpX*v+pF z6}aSh8t#S{d> z3JNL13f!h{<6ZP(=|3kC0brHN4y0{{iM%OK?h&mDr1>2c_3eccJB^t^Aw2oQ8Ri(` zc6%d+4kkQr{ErW^(%|f2SLQPiObOPeT4OVbuVYfq@t#fL#%7?k|-93t}J<93G(>a5Oy*ipjM%V*l#h5OL zNJ{T}1Q=r%`L^T=f1xpA=!6O7Xt>#CIAnMWV%eIJl)qpt-o+ zQ!k&uhgF#FDy2)=M=|72;tMHOdM;RUGJiYs^|B4R<9-qD)nWzU90^sDVDQ@4j%^ex zdt@=w>gI*?xVpv+0Ndkoo7ld8`zG7OiJwJ)NYJ<%5QIHjU?XZ-;`8z=`QFZSW3f|t zBD7-g`6&%y(H?(pR!E5$?4^t(i^vL(RKtynT@OeQnlX^oh_qGPa$O6(@ZCvoXNX-g zX|W?wffFmAv#FfeCCNlF-`-_T!ZUB~W}a(nWg1E=0n-(SXSy`*PWeOqETR7v9B@D& zYyr^D^?h|OGzk(mbRa$N@yMvVQ??b-K?naq4=380jd}JC~AJS}f8@z>T;? z<%$kZ*K0;L_eL?;-?ut+)SH3f%kQtrN zmp*IZ&I*h^pUW)Pmwv@P`XqiVe}t@lV^pTh3Tn}W^&U|d)QYFo%cK@; zNvd^ASL`us?tW?VmZ-r{7ERn1WNP}Xe2B8{*kl^-IWU1o&ciuLF)z$!;(`{k6s?O3 z=v9D6;m5GyxBsgPjq$rLnjV<+Q_wTneEBJa`)ubYukA2F)9@8^tr=;H^pW)$}aQ8V1ok4pz8!LK=bYi$JH^05+5gIFJ6Q?ogxqmjQZz}D?p zvt#?@FZsDfw%UVo~u!tkcO?gG8$AX8+dxmh;%)nYq z=Wfp0o6d&amS$oe_AF>}ig-uKTwj$|tsH9D%f88!Hu{Z=;Jr7o#a>)Q_E5X(PcNTr zux*#-6Osqe+;}OQCi$HwjIYYMH1VkRE5x){snMXEnu-uHV2HqsvsW#iFT-raKQ2Ai z;=~5XaSJYPqgcUd-cK%g)D{DW1Jm;>WxwguDIcah7R2EP)Kwd9DNzs1uG&x#Z0;J@ z(eiS4Ix2LnnCY-$QGIjZmOA7#NX6z9q(o49tB|NaGcZmc6QIjA2*D=zt6D4lfje8n zDKdJYuT^c3BX^U>P_50pkTs=)xpMfZLS=zzI5Gq6=`g0i*H(dz(1sIFp!RALSm{pP znyby)?fz#Z?l2H1s2kwEJ^71y-S3B)W$6GIi?_>t^g?t*fAUoM&-Xd@TtR347Q$E`k7kvxxpK^_ek*=Et%M+sSQ3TVi}i;d zdiSXvs!xh|H)h`_KkviA`7|&(!i`FO%10U;k#KbXEb6HF8iAlCQSGYU)XP$ z8yuO$lS0YlKYq`O=06?e5l;#yu0|WvGbX_ac~?w&;Gc$ z;xUs&D9F>kc$$ph6F#Tb_L7_uP7am+g2&J)*m#Q+FDuPoo82kn8#K`LnmY6EnPcXf_U)w67@&T z2;$*$Pgo6T#FhKg(?8k&483Jv1r~1*PdQUVu{77@O`iExz%K|xdj&#u&JZol5f}nq ziD9!ogy-lqNd~k!6Lyp539@7x7s4u{NG~xTyaoPo=ybWA@yrnpp*{U}RbL)Z0$Jqj zaaqtX#|U)#TQ+fE3!@O{42nFIvOOXWy*$q0Ir^opsGDfDGx`E5?+eJEyRZ@-krhK- z?Au;HN=v0S%-;9ex}h;njE7ie_1SeWhn)ksuUV38LZvlV*qP_QQ+?0Yf9O=`7;-fBl0d)Oq&TC+wO!MKZctjb;5a2mDxyl^wpDr0&>sD_*(c>t_sSphfsNoB_7^uY=VCBw;LJeRDIf-b7{^Mgp_Et%ZTNHK0BVd8oR`ODtB-p?XE1JjhNw_|f=8L7$|A|0xV z$Rv9f;X$y!#{2j2+QUJx}Hm8L!+59UrFvvUU%j%0H`1(puO|)V?7x0^5D184;#JMdb)C;WeRV&9fvj*Y1MROsPuFXl; zs`yrZf}-!l#D&HFUc_-YH+%O{Y{2e&3>w z(MJsJzvg4Ek=nBzT^GD!rGM)kHz^9Wmf*I}aRcu`iCEFR zVsk0yF)5-}<=h5QU)P8y7E^#r9}s{)bTX16Q?U{050-U3Qp7}cT0tal$2`CJN9CXl zGN9_@5!C^t1U*>to8jTRkI-yCbrUqeZs;qVqpNl2_s^=@L@fK&Y$T>fG)OP;xMHPK zogUdj2JYYR|LSJvtQutFt_s8Ee)<-W)j@kF{b;0yQ(tA-oq8FXBI%SQL?)3rM6mVi zktb!LRB=6|sti4}46xroUkYkX10;S4Z6bhL8I8WGZNq=A6G*l=-qt2IA*x<~FmnN3?LLY<=&1n2z8yw!^&I$RFkjRii5ALrEg%sM9XH^Zy6N4t zbx8Wdi1bu++ADm1%h0<}Sj`e&5;?y7{@VqgU7pDANV}b{daiD?!S^;>o4T4#xQaQ` z>Fvw)Vf5v1@dXQ9_|HPfFH42~+hh04x+Gutr#T|6IHBvKcwqg}M-?A)iD+=$EkG-C z67-_)Y9dzmgJE_4Hr$qfux|=J$7W7JX3I@7yh@%d2~A9fLg#7h@<(K&Lsnm)rCqzd z>5cw~3Nwj!e+v@<^l%=(m>Od|A5{(%rafEJPEnnarFTeJQgl@CpuLLIkAT`}GPvH^ z-2%Sti+{2%Vk^S@DPFim8FCBs#WQSXa|rs=kNkml(J1z!roTBnYs~dju@Oyj88=KD zO|Ken2t&IQM%6sNi#5 zrh@y|;|Ys%zJV=}zgq=drZ=ppgq(C>O5ja`jmb)M*#|p z+U~-*ZnO5vsy1#Lcf?SbPnOn>zGH@kda0D4hN}$G4?l|6#o*fSbbp8;OR2hxVS9Rn z=*vqtxX|w{Q6VJ>P%(V%&tTZl)Dn3cXJY^zs|rld7gUkIIrFK(!9gbdDCQ!r?jR^# zdYg^gX_$x0gGL3jm)(Oyl2?WEB&RV#K-0|wj2>vxqTsYlI~9t<>|%;C3t3vXqtgG* z%Av_}2FeBgl`4*8_lI30jnHgf&rM9m?mW2`)Pfsd=99ak!4e!WhD2* z{jpz%)radVUU)VJrD{#=Kc^SL0YUKckUw#eJU7K>_59&BvKYD@`(k!sX6-}EM3<90 zUW)tB^}AvO-QJNL{+nQf4Y>XVMc?=4q#Yh45rVK1rog;MSB?>^GWa#uc}8+Lp! z+rF>gYs`6iQh#y@6n=dV7J3-llTP8;30X zZ^O05FRbZb@BPpXQ$j?rOz~7xkUJ)bsmNj?WrmwYrKC~~O3mZ`uJ-~osV$A__+;D4 zI4mwn#SP9jm|su%24O%ZXgF77bSsa2cX!oXiQZ>N1gFx?lC6{DRa^$?kuV`dKtV?& z8rRE$dPz7EA=Ge1wI|BT!IftIXyN30nUJDoNZ43RsL+&*<}Zqy&a!js@)cxM>|>L{ zI2mmPTosQ6!seXD1Qot4AT_91Ja@7eKI3^WZAUPWfccUp>u)AJ14R zscsbGq%H>IzcR-0c$@eUO&~l=EDO1_3~vqPwCY`jSYv&8PnJpYpp7$6 zQN*1u#VQMjhwj^@7NwCCYoOd&Ndt+fZrksChJ?x|+Xq#YVyaQWF5j2K*f5hrfJFTTaxxO+~;;L=oWU zS9UcRON;-KrX5tbEN_NIn;xMl0`Zijd?}o=?VZWNnS!EVNh}h-$G&Y|XbbF9pFL$B_7wzG zpQ5Xm(3#kuH8bZ}k$9OpGGq!fmy=^pb>;_^O4O7~UJSm8mlhz=PBEta2)V$4T!F9U zB6LrZiRw~Xt9R>?xTg=NCWtNH(pQ=zx*Vs(d zjIc!!Q!YT>CW?2vf>@nHmo|1U(VUYAC=XS=ZbX%dNvQUAuZHp3o_J{JDaE?LRd`Ru z9F24^#QDdPXEHcMuY^)0AP!NhH-4O`52NJL%2GkpjFDE{Wv9f{keUjAK8Q6!%m?)W z!Y+t;`T%ON{mD~(^0I0z1;{f-mwV{slupN5!<(|HO5!leJ>yg(Rz=0c1SW$?E|Pbu z)+MZt6QG4+uAZ2X`AsSN{^I2U0jZACHj1-+@$j6mK>`|US#kp`MQ^_d)~*mp(%J~B z*DrLeVPwScf<=VZ^~5ON1*mVwbW5`Esh=OWYdIhhis5p1U<$)GpzIH?J{w-`zU3$% zJ1vv`!C)8xB_vE9o2gCpA)^Gzk%pQLyodO;MRkg&g)=zb_q)jELT%t^5kgX`4JK8tEvkjO0|_~Ul);<#Y!Sw4 zG9%>V&~O=2T)sA0HOavNOvN3irpxmb&wr%lu9QtXy|EEN#Ak^yE_ADbgtgb@x-`Se zXIhlsS9$Q>_%1^6rO2M@!2(fHzgGU#;+}g2nb?xPJ;nf?1aq9z!kER83)JGs)sx8T zI(MmAEYofLNA5 zDLTUso2<3}whWK$8;>@_y~PZv$~ZY`H_*;KKPB`-tE^Fnl+P*jd+Kr=xx*UQN!7fX z%sDJ&&0yEXAjIts>UTubeoU6y&i2@(4rN^geR9jUxxjLk)R--}I}8-?ZB)Wtv} z3BnYC~v;cnb)(<_f;(@wG zfgyC3`9M98)w`SjB8_2L_D#?L>30-udG&&`NE<~K;xd}zo}pCy9$0H zYWs55ICF@ntC!A)!OPtUxbQnf)oUo6RsUY)Mk*aH zR&9pi$IDi0~G?^561`eqUX zemDj}(^KUuO08XWEBfPXiD!fsQm1GWgC??Wm)zU3I7}{C2YXuI_X{s+_NQC>-nO{X z(7F|naveO!a~U}%#I*M-`nruM6WRSP=LI5dYIhQp?jq;5;J0vYf*_%!_mqeG16)}2 zrEeQA`&#K<+zn@|emkBRe1!)n@2$eByCAembX_pUQ^b=%iU%Oj$NT{{s~WpLJM+Gmbj(OB4J=06ibF~xZ@Y8A*-CiG4uvi4&nk2qPWv?DmF?=?6zpRq2 z9M1UkyX2hWyO*0VDcn2s>d~3AdX4U7XrJ?-2{!CUGcnIUu%bnZ1qiy(*5`AA2acdG z5L&Is^GAZ%>BL^IG!jNIy1j2!KUFPyC^cx?-e<^~RQsyPT0*9uJH?7pRwHS8NDHwg zqLPI~`-;FK3^$lO)@31^-$*i9kza61Ml0K)9<$pugp)UJp}>hp{lkK$;b+;Cy(WXa z0Xquc6vFf^GtSEwowgz$GZMCFSZjD_6t@qYO4698<-HuRKmrq2GxMo18xJCd^!mNt za)cH5Y#T`z`roulZ}l~z1>O6~;PXxg^gWnwsL2+eWl7`CWrcnxM*jkQrF1h1uvno+ z3-**eal1kSnQ=!FbJ&b@VBU%n_wTjGbS|-z%<7x*T$F~eg!_z$@or>pc$(?rGI`K< zlIlV~2hZFxr00*IA2oAZCT1`Z@jL8j%g!9=kp}sRfpJvTx4@vKy3j7OUFK zC-`L8(|k&e=*m(bqB_Tg@aj2U;pbk0TXme-i7ckQ<`YZ(3}6+n$nxm-=*kzNlGfK5La3oGcHI8Qk0hh-!;%a&%Gg)fYMqii-FIQt?;rN>+CRjW(of?GsJn|sW{r#rD?wfRbwf@;EdlpN#(?wQA&W=*Z| zdg3d|jTdBG3GbP|5nX;8>xY-{-ay(~lawN@H)M&_F-5v?W0ssa!|?%IePrQHoo*2) zt_UeyNr*2ejk6lInbBhzO@C5nEIpp|HrRWMbwu9rtc-^QhE_)Vh($2@iS7VFFA@J& zSJVr~nxoHBFR4n&=FA%2eEfEJy+=}6UEcbG%YL<*NK_35S#=OZ+!#iQoIR#FZS(Su zv1XoEZhDXHhgaS3=#{#Z%%?Z&%NF-NV|(%ZcJ|$zGAkJ!Wz5v=Uac_NJkOd7dm{Bk z_W~!>>{VX*u8$C}ebbE5)q`a!hdm$U{(AJv{)h7NM5HA=v(YAYov8#}!wj1|sWa`p zf9Q6N^)2aV-v!o>NUw06TQ!9?w$~>eeO#AssBE4bo|$!}$|URc!sbmS&P5s~idzwE z&-eKF=Xuc_Vj35Yx-UUJYanp4*dSkY<~oI|E{(Am`|51p##A#ZIJed)SVu?IQk`_M zIMmBU&q^;0E1^(BS$_07j@(R{X&SEG$HnDGJBl$tEh3=aaIc=A(Ox>P8)w%&isbMq z^6RiU@)bl&0i}mIY2-)Y@q_i<_r+tgruC;d)pyiaCZvmmbqyO?h8s?BFjtyqTF@?u z3*TFN7n!28A3`s)NzHd&YIJsDaf{pqXk>B$c5|~GjRJ60jPh3i69?O*5 zrCU+3T^wi>`t=^D9VjRS+l4biT-m&bSkov+Xw5&g$?nPC{!9ECGcl- z0hZS-j}~d~k+wE;ICc%ekyW50vB@q^81n8$o z$w4V&dYwRXVTbK}QfIV{Bsm_s9(~U07~Qg98rf$~ZQ!L+@ixp_`e9UHp@LKa+y1zr z`~$YkLFp3E13aQP(eEP|Qx-B^2lu`Zl!#9?QJ>{DEyQYomR_u1wo2Q!ncFdGwXck` zXD?teh=a59IGsFxwyxN1=)sf2|}mvsLscO=hZ{XF9{6{ap2AEM)#5khOkR%F9AU1#=$ zNdh#HZ~5z~kFT z#&}dgC%)C$%^0{f{ow-+;wA+V0grVJ31njOsiwK}hY&@6%&agQ^aq8U~i7+FfSb$E~Q|w zXeb%pb?5dk3>&s~NV{jIjS9UKPni7j4t9Br_D)>QaLOXh!k(ZdK=vcX-f;p(NBe3p znKbEPU1qvTynuMI7-S3i^Zp>!qg)kd4A(Og7qQ_pFHhf2*Ei-D;AuDKu~#Ba+Y;PC zOvFj1x61uP4NPh7n4efL3zDv(TD>ob)LLg6Qbh`1ZSqgSYi)E7eh~fmI_lnJ{Dke~ z=~@T;w$=I5$w*FmS+6rfVshLEd&smoy?&)|WE2LjtUaik7Zt zl{EKW!y2?`N*@;_j6czwe>N@pT9z)T6}50{ke$)O;n4ee0<-Sdj15I@HL&LUT0S}0 ziWjn|(O=C%@rrThl#jrAR{Kr;G&5^#CIfn0Z=YtoyDbahk_r$Z8n}0!>fPbq%7U_keV4^M()Ns;pk62%JWJ@TQ*DO6X)n0o z@|t&hS0^_bx-y|ktMwDZ$4ORn{LD;J^{(Wp2ym(N8yvxVrN*_hn$~)#MxEGO7mIN% zrU_Hz`)+aH9vWUSU0P9`x&xmCq&(SfbxsoC`X1dA#l3UY?VVIpe&tJD(GX+O^z_oQ z9v)w0nPqDDdPFog9p^IUIG;afmr{^6sNf5EQKVr_A-d~Z&0&esE5&F5Rlz3*?ubz6 z(gs(G95S-!Z*JeO+Ry}Q$$qp82shB5&9bH0aPOLBt`v9a_Mp`VzPLj0z9-U>YA+K- zX@X>>-A_FaHU1Q#7L-QRj71X zcSy#b#Ac>cej1QIR}gt6SInH7H;E<>{Z>{b2y$v}NvBX^!mEE)njb%E&dw;DsiM1B z_UMyt(c9Xxn)?ov#qqnI>QvU-i}B;80)cYUSqCgl#3#nji&_*4k?aez6s-s>3DiI* z)yR{dEGj_k_|{aPOEoGU_R%(SQe=y+m8zufVYFgLs9u^Ijfw6~;5Ws$C3f`ro0N8= z2xp?%({Q-xD#0myf`Qw|7m}|cEc)@)l}r!Gtj&Tq^Oa2*GhtX=se`tFr_jd2!!`3< zlt#3844waQ{^Ejv-jQZs{;FKM^eHzd8v11UMIDA<%IcQyvsnx}C>^MyH5sS36}vT+ zlqfUPr1~pIyzwoW)E+d3;bjnSPE>#sx>@lroa+O)HT7Tx%Wp~oc6$-eA~zob_r1Rm=?%Rm zcz4piWCupIy%4tML*REK!=Jh^m(&~aN{a|rAfUCf6ev7l&I-;>Y2UH+I-&5JEJ>&P z0&x~=Y~>sF@b+v<>XyoD3)*S1)k(=}uhy_i&q`O*Q>}&mML$Q-RNcXOxHi#4#dO>C zVi&9c@=9p{UsggmWdSSaOr$Fw0ZCc#>35Y(@E(>kTkvcL{-@cO-Kwg{Rp-4{^?6E$ zYB(3#%R^k~W_7jN?)YRL!W&C=e9l`xq6s`wQ0i*3H>D)TReU_Iy648l%`x({O!+No zsyQiE@>Zt@#w}t;pKnH~JMZ{oyJlq-i1L8xnv^q=AatnAhQr)9zl>Svim(58mNryX zxo;psEa$*2;-XtA*BOKH)@3e*@zb+iwnO3?dzo*}!6mF0YG-28+y0`Nd-8lIWRqPa zD6)o~tm^*G`=o9X%b|nMxMZeaj|9ao5Y+I|Bl&zOSCF5gXObW#k;K1VMw+j;Ju}tA9DNEjskVo#f^@TX8INLM(PZ!x{d>p#( zXW~~fjaWReB_b>KuFescS%{OwKYTS>v^~n_&O?IkKD8jdi8X<>Ncnv z*;kKvl&v`MGvY%|%t?diUdnq5Ln9K(7gDH1o57UfTUB#KceCmyNV7}XK~vV{L3F5A z=pTmpE+HijW)Uy>xoqgswT`y3pmp|IB}lj1gLWeg>&D;YM21ijjTm(qjc>tZ*-r8~ zjMrgf@Fq70FR1K1rx|QG-%;iG6h5CO_qqEng=xNcbKhQUJ8}p7L2}x;c(I9l|n^QNzLfjjse>l|5~B z8H7te#3;)a4!d=VpwE1_+{7;~KpYgP8IGC8LPwtDz^#>CkHXUfS z%enjfap+nENxJs6BDv7?N|nnRG1Pp87dXwJFdK`Q-V}M|+L7axd)m>zeBdqT-Wz?635r2|eK1jbW+*#NDMyKX z-OhYNzDeyU>@lg>b`h6ODs=A$%=pu%lkW=ZZPnc*cK#4{yqEJnIVkCffzIB=$L#Kc zy+usceJXs!6)qdRg;y5!MmDjo!?9>3w&S+eg(R7qVd|hHQaRZK zx?T*$^*KL&7pFCdEP+ zjkS0ME4zp!H=I+ip55xvOO$yK@?F4zl>bE5Go;WTcY2J#fDXe3e)?Q}%tQu)V^ic| zd!T2>%Ae-L*Qm`-&e=N-m$?rZ)U-C!j!)Yb4y3;Op=Q8_k4n}3uzR=jo?xhP>Am*U zn&dUC4Jxyk<~eH0q2(n^)^pEBv5_5rnkI8R7wp4kXVvMxXjpVtDy~n9quV2;!nbe| z9O5c}JVm<3V4P1};&^kdDwc39&9HHK$$waS>nwp7&Th3kdpIvlf$W-a%Vo_0 z?p&WQ5A(p7VR1|j7Sn)U-D^`v%3{d7Xk<`Q~ zy?RM3;EeWVe2-`s1@1uEsI7+@PZ0Tqmrv_$!}Hz^SYnoMKWV#o-ttAUR@KEb(6uGC!| zi`YA!HAx#+@9tD8ZHO*!ry7GxusHK1$PtPH^}U9-7zT&b=jGhyi?~n)RHAlQ zBpn_1%Cu!DWoCjIN0eu8sahbU{I$CVg?nvs>GCu39q{Fz2TQ3NiNnPmAj=iF+U}6o zPcrA%dVj~Q87+Io39NFJaAS+_K&LjqUX_^+A-8F5_9cJ%GCq1mGNi@d#h`O2UAY2z zh(=DeIZSk1o^B=hU5Y$S@)Tw$;rpPpU*x;KrqWpzBULD~#U&!YK;p(`^T2!+Up!;i zf2lvV+i(;IspN;&#PAHFj7MMN<*t8R<47$z1!w~~|FgIVbTRK&&BiWT&mO{Puh-)K zwyen~Pq##4$t-iRM^tRXk$q7xls<%s39mx&wu&f%wAG`a?)=bTVv z8fURN49M|6ttFj1_D_L5Csg^=?v@YGtJ6@Y$NR^M>prRb82?~ZUfn5m(?nu{D|0!g zCFPVkG)D@0N-sVr3-dN}#C^gVQs%VVwN^jj+&U$2L;ncl71UD!PMibr!D^BhStspI zP;rPvv%OJ5wUecHpD+p>OI5W66}{F99(V{EA$juc&<*9pwMw`&*Cyo*ZZnJ1ts^M! zLD{mX?fDYwaF;K_w?Nugy)o%U+w10C-zO6~hAolN zuqGpa#72p)RGhf^+gBr@N`8)A$@i`(fDPW(idIZpDcU$ATB2@1(bl)9$Q`1;xCD74 zd}7~~`m}h3Cykc+5E+)ggNol&+W86}JM4-0*s`~EJLjxjy|urvMhRME;KsM3=iWZ) zwqk?tb%B+2C_{W@%QORo>|V|ZWwus@f7FmoN)L?3M=B9~TP%`oKh9Xw95*vF@ajT~ zYUHNk;F@rBl`(3qPkRAm*P;~uV4)$1rlyHzp=;vT0cBHmyRu~sd=);>`4uDgrP^3# z{?yozfSLAw96#P`B$z1QHp{2GkP@gGw$urAB0{@n#iiVujS()*?u%a;xJWuS_3$g# zXCfH!27LyJ>U>Inbos$gWsh7!AB{T^u9q`9sn`YipcNV0-{ii?O#0sbDgEll_wc6q zC9#iBH9+1I8wbuWX-gfZTLw9OrwYW16)JP$)cnlCcBYBlEEkxGHFJXGI#n!iteG~L znZ}pCEK9oQpiHmblN?QP&)jAn=Y_qo<+abrw31ULKW7#xwq0HD^67Bj9P8j|k|6GS zx(pj`Qjdp=(S-eCr7!JOJ2kmiz>u>o3B3+(YxJ#n8d?}hTvYDi^3 zzJ%L-Lr4<`F0Kta6Bs<(^sOMTjF}jcfViD3bamVUGbXF5hL8OGX(nzG@v$OYsj7&| zJBoL(tPJnq*sv8Q)B<4NP&E&Tii{T1^^L||@N~ar$!K^cw_$WhK9TNqGom{s%7akz>E$WLv0)|jT2Ci|v;IRp z|M^XrkvGyz=a=V}<{UMQCyEENygNZ!Mbuq^0(=;4<}IzAPijbBy245nvGiy3V)T`$ z`@}QjvOs!vPRAA?(po9xX^9Q_OS;k|Vty7nzu5T}2>;{Dyv-E#hFj~ltX6!N`c0Tn zpESCoiwz$YmRi9?zp}~V`BI55X(Z8xZ`WeGy~Fg1l}9Z*PGSb4k(Mb0n|dY4z20}a zABSplL!l<~EBs{w7Tb%AQ*19#_KMvL(I=3~Z1!?woRpoMq67Aeg+8l8>n}wQBQrm!drF zIzSFRU|IsZ0HQL@HLx3F*PHhRyZLc+NAMwm0HXc5__x&^(znN5bSMiJmTY7Ji+f5N z!EY7rjZ9i(>H|C+W9t34QL0-ieb>7_!$oCFn8oqSy(}sh_1#@0n=E7si^2;Oo+t2| zn2z3t=WkX>5c|p;54X+>QzaZYX*I>Md)zAL^xTP6^U(-@$~DRY~NlX%AOqN7oE6q{ReGnjcC>ecP~P9&VBQop;QI^q$5#mYF53v`BPlpQ?Mk|?9TOEXzwVe^open|Z zy$Y#II!>TykGn!d=divrtyzh@Vs>c1{OIi96Bm;?Gfyoyjj38qypEH0CxAzxi4}0- zc>@g)n&79sjeU{!Bi>KyOLUX}sAp6?>xg-|JA%-fd#X*BRk}h2rCAW{yuUuhXkjm@ zMRIzbap8`dYpyMNBHD$VJZ=4&h9UiS>8tk52RZHhh*llRa|+B7(Oc~CMumkpNL&J+ zxGxX{95G+QQg~@FTjsQ2X-$Xl4fx$=cwg}El5TPlD228wR7(Wmj$jJnns;=!6TGg2 zZ%&boF-}F98%;89);8v{V!3VWxL(x)iymoauV3ZvFmXY%*Jr%jAXpl()gV<3+wYi^ zlkCgkKFH@?=|2^hSGCWesP;7)l%f)a)J0y^Z+kjiEB#q8=Jx4AcmwV}_UUxC#&i4H z$sFzx|6)9=@+yM7T9XZVF!&7AZawVInyw6rS2Z@Ewes8E>a;8{* z(uXykXLf|Q5xg7^;M^7>%NothISswMB5=e>mEd{471ObKXuEVM%S;RKIScJ$^Ko{} z!3J0CzXpb}l+4QcO;$xJsjjD?kW#+E0zOga$9oDh!jxyku`*k+Uy)O-^J0x$XL+ zpQ*CXUjAbXp#t>;mfVoVt=_8N$KAVL3#<08_6Ka1aTfd}1#UKWWci$gYrW%O*dh!) zCZS#Gh!MI6BcALex|GZ$INwvIg6<&6+*5us_bP54wx<^yvzef(PX;?KIgKbAEO;I? zem!R|^nzVKnqD6JhQ#7>JzMa6=YW6JA$IpM2OmkqGWU%FT#4yID&*@P+_Ru>w`a|YPrMj_zSa#sT zF>Ya7JH6pIh8q7T8s2o}#K~tUUL5wz99E_XHMix)Kq=RLJRyXeEyM4&C|Qrekr~AyIpel)xis~kzp^c zS8jQ%oUhEI%i7Pm*^^OH(UL1b!kA$>HF%X7-4;KND4Ro(2Q!)}cocdSl>@&(Gl){j z2~&VM^P!sI)XGLIaAQ!@s;>=G-l(&lj2tETwi2%|MxrCjyhaCyIc_f|L1zF6i5Oo;xo;<|TH zp8LiQRTmDgL#iC(zh(?MCO5m?C~kemT0KK8re?6w=?vs0q5t-}7u`?u4OzG%@$l=h z$i0$L7@T6o13NXL(+@c{1ic7{8II(E=Cd?i&2*8MNy~KJC`xO?aFN+;6tQ_>diTN2!dyb7WsxHyXB6Q|e8yXVjlJc? zKcwGc#&=#1R;Mf`wf_XY+ht8c)t|R2)^A@oG-x-;WVjMetVw~jT~C7A`ow_C4fAtM z3H5{scr*x)LBej2Tqc3foF(NQi0O%0a*nTRSCL08j3wbpAnus$QS+8XEevdZ85DCx zj>*fAkDClu9BP8_wx8%Op^guf2n~0;XRXz;tL!k0uf$52XM||gxIl2~7D09}n7(eR z@>sIxF|hByp54Yp#jzgn?!ATX1e+K{lcaVlFr?RZ!col$7X(V{MtYc%DTx~=Is4># z5@%f2(iusHR#to0#p1(F-&?~wC!iMdf-_h)`yhWv%)EZTdec-~5o@w5&oh0ji)U*f zOz00+@K$UleR78P0;_11b@ZL-Z90QswI=VG@!~fys3r?9jc_T*8jY!XBoIoN!j$gY z4od*>Qk3X8#62wJ=|TE(6jme3I>dd8PF3*{7;@gq_nCJZ_LUnVDRhox!P$lnWVIcs z>;J%>@N?<)M+N~7PCov>`4a#NkYB_C0B6UaRoWjp6_k+vCM9@-#K*ov z!bZl;$H~IM#>V!Oe}s+9*cJ)k5MT!untx%3q=@u?d%M~1+u2wEEMO!1KX)9=|Fr#h z{U2@r^Y(wp^Gg;s+rP0ncsO{u0R99P4jy0w*aTQOIQcmM`UC)*jfIQvSIdvszYl;X zSlE7@=jP!1c^2sDU%mVXGAF>A!VAzauyFp|9(RC6^?CSzA@e?J=$FiYkh!@ySpZ!= zp66iaX8|<&sKZ~#05Qv7>I2lk$L5d0nUrR!Fk!Z`2Y$97B+sM1OM201n1&sVf!aI7YmRF zew`M5YAX+K!Ff2sdp4kOnuIRR||BC&7*68!<@`3Ern@4@5yWfp8KfVr^o z^F4~p!NT*mGT2%8e+?-oKi6;j;Nan9;RF=)2buRD$N+5z58tDG@bdC-@dDfjEPMbo zKz0Nm{{j1HA-^q;i;eH6p8+xh9`~s4Kfrwd0RG?1gOit+h5K*QV+SnZ=Mi9We7_(8 za86G4-wyoC`1t=X(Ye_8e`*rIc>Lku}P;B1e^ z_6wXHu+U##$jit3yAzyj|4s5oBB(z`^1msDga4m;;ph83v>d#Dc7d?=sJcHoe`hq}0EQ_f;J3psI;qDz z!>VNJXy@!;Z0ZOQg#4t#L1L9PHL)}lwR6>ev;;mbz_Nbj9Dqwi%sLH*UVf`gs0vZ<3ctHO(yzu0a>SyfD3oq%UG%l|0O&uf5T@bW(6~9|6IF?=ianLIFISkL?c%;E{Z6e^4Ghh>z_L%%jos z0fFSNCm-#U4;ZH3hk!i4n2~-;_elE#MA<)A0g3n?!~S3W0@60$PhOdyDgU1ue0<~| zgGcsTS!Arr&PGnaaQ;OdM8+y-X#4Yko!{&?*$fb-|FA1@u>n*wKZ(WoIR0w*|7YTv zM-rib&@8#80+f1SXgEk#UU^=)mt>=c6NUy?J}DhZexJf+Qe_mhbYAJmz0`W%C8aH< zs!BOJ@V%X$oQs=Uh>PcGv5}dv+DhQ+_Vo8sTdYlHy%rZ|_pY=LP4Oh@pN-C+M3YAl z-`x?45_-?hddr*`oyJF_Jb(-^)(uhz);op@y4$Jx`k4Bzzz6{X(7=~l(nbF{ysj1=OX4o(*r1^n!q#po6)bQio?6-6`6 ze-AGnz~ZgIF2`U6EJTNu5dBd}Re5T30?5Lg^%XS^E^nM2FOSZU&=66Pqe3I3<)ma~ zM*4;rSm>D<%L_`ZUR&B)A8zj@bGZ#nSdNkmuq~s8sB8ruD4yPbeziU zl87XI=DoUJD3egG)8ca9JFJZk67)Vfn>oc})%UtPyB-86WPI-KU{CNUp*bm049*kC zM)hAYF%2>8k@>K5#06j@R8=q#Rw44kRng)iF%ob)g!0MiG#r!VSG^g9AIr%K*D+$C zL01*_Z-%=dctdJUF=%cdMSkZT?iZ4TiSIV#k8*+1Hs8pF%l3X%QQ)1#F&$}7wkvkj zp94ymQ*aw11Qqw0_x}{29!=oUnt^cocaV6r#(&Sm0Y_w2w=@Chj5xUgZ~a3c#>L6@ zA7s+{)z-hH5qm6*{%PMd8A9-6CII2u|J(kb2L4kT_!qQgKW*{Rr+CdUU@bmshC9wZp3~@dt=zlNR z0D1i{9RMBwt%Lud3V>GrwF>?lP3OPr`R^Hpzgg=zf7gb8Re}E(g#=K+{2v|vPcoGL zuO0ty9F4vR5WfFIf&u7Z|4J}_Tm8RZK>@Ye?~VhL7Qe@woeQY$fYS0m#Lvp{*wnus z`2SWs(PT!yU=!fV6u?A)54MF51WRr5vEqHo?9c1!u-d@$f#U~m-h zbj8@Vus-mA+S5GStEjWKYYMjJc04dxJ`}hf-3N7ejpB#38`zeHxFX#4&~yU=gZc1+ z1I}0VBM}5>7t_)?@jAU=mh`|6PY&0Xyem3q`%IuNBgM!NhJr=v7E5p4+wK^_LEzbo zmU8P#{fc^^{#%~124Dc$kSI?Gh;cXUSwxPQputNQ20UKJ-j%?me{OvykZ(KJIUvH; z(V+&ZDDQ>u3oAb51+3|RPrn3vi;?ltwq9AIIzqTINC1xkt%r<1GG@P|Y}3C7C!+VJ zyHtX8{FXJ~!Qg6?BY9c)-Zn5exU~ti0uY)T1_3%)=YVW}8`NilBC+EgX`WsL@Tdka zb=}tq-LU}=!&L&9eqcnP`F0jC*tBn&cK3_Vt^P&lQRnet0J5P82Smscc{ItW8;YMh zX`-Ee9(eM)?d+lL=CItQWw#Os5YL1IQfz0%fdDGM^P%l4{Q134Jvdqb8KMn*E$`;5 zxYX@H03p840sl5{seasD9*hj0nzHrKWArMkB7ocih8pEEx({c(6NMI_Y(Hv~08QTN zztb!3+#_pS3DWr0hlcxYfnbrsqqf|;E*@QX1~=8nzH$UuEjggHC(B(cZ##qgIG3)-j7$CD z_w%!zJJG@S3SORLx09r3pcM&#We=r?STK9Y}Kal&aEQZ&b`>tgx~thE=i#t3;|Fx2!(d`7zFfx-ftze z&je{jxdRP+c(VmXHYN8=cJ0UWk3MOrnkWI7v~X>AM+QTnZ(a)W{>7UR4_FV!84*2z znKYg6OiS_t1IJfS@vT!YP(}Ux${+!3+R@Awdx`4W;J+$zEZJuQjS098qd^}jihkYG z&(Kj*tvG?8rAaa{SfYM*RA_emqG?ZUX{vJx-5dWU=^b1AotnbUm*_sK%hKq>lEs(k zXB|OX{x3q&1D7Ax(XpeJoZ(jrp*uWAm%!GC&RbCGhVZc4=_csR>~wx)HCPB7un_Jh z*xlg$IJ-UCvE@BZcIERnUArg^LvS$Y%J4og0EEI?87;WA*oh)IZ5mY(fESFl3PO+W zqobICev7{FklBQO>0CSw_GD~3EYnB7Z&Nh93BIy~yO?Z=!1od(|I+mWkr;C{t#kA^ z3Y=U{1#|QQ;lPHY#S0#cc|riO$d>N4d&>hKZ1)A|{o6Y{GFM!Fa(sER+NJz^uooxf zg*1D9f^fKY1=#j%e@Xk!Z@CH%U|4_XDX(k&a#*#THPzs$g~E!$%z-2pS>XoVUWRbD z_0lh2h0h&5xf37$j_0CIlhQA^}BeHUf z2+m$1)sK!DKk;i#OXTx8-rv1EMbDEMd_jH!@81UsxzdDPy7Ou)zA!*XGi#9ugE}IZ z;3(=?=Rh&wAj2;(!KX!OqJ+K1EtB*NnM$pHzpX5rykdJE zW$2m!d0!GNVq^diglj?v6~f7Yl7dSMgagP9hoB-NFu}Y5c9>68>w{UzqY25qbv{FP z7$Il~26bG89zA3RU4UG`^_!65bzs|O@sK@kAkH531H!1+31HYSsiS%rmfLWb2}hf? z8Xi601@D^JfxUN1x|Rr4_V-llmhM*q7q6_Ax?r?B9-iR>*yd=uwWHIwKGrsbJ7qfW z{UyL8ZD2Cjw|9Q#`Y1S05cjwPh zzL|4hite+V)3=*U#?!c7j=qPtE+M>Qt5_7gGr>;ZG%Q9&-%SelC)-+1!y6wZ15dR^ zd)&}^n+awFc)H7%=74h#ac=bn1E#4@7!Oz7krC|^xwrA7w$~X%-UQ54XxeK;C}T zcv5o3A%=M2sd^aMb!2#ZOA11-uMa-zNaGOzc?w+f+fuz@IfMnA5nx*uUf&0 zpe$1F``S8?{gMyb#i8LX`pnZk4-HT5U|caO4N~+E!D%3Rz?HjNbwax@;aH!brK1B4 z`mGE=Mo;m#X_R1x*bI+>BHpn^{=cpHbT*Jb?v(E(c0u#DZ zj|!ba7y;yl;yZded`GreLo@{s6bcX?-iM#zbn35snsArchU+Ds+DdZlbJu*KArTe%#8+Sjl>thm2G zVWLp>iu~YJ)+`8(+=YAx0(jnm?Y1od$>|L~csO`i7<_*WKC%Ab?~-nK#k<@QuYEKNaM^XM8w#+4%pi$^ZQ+A7H}tubP~b7a+p^>+dj54q*1@KUR|eZ0TQ@_5vT5-`qd6jNdMn-IU&K-ATB6u^#1cKuet??;fK(sbiwX zr|w`st~^R6j}|q|!warU&*(7phrY-Ww!r8pa*r|-onS6^U5j#l zwydgDfZr>p&e9rK*{TP}>v?L94%t-lskC>IZK zwTV#XvT}Pfw->|Q2BbW~nwdz-VXS&-m~rSe9l08PWqD6a8Xf+PTrr8c&*2p*+zDYC z7S(Ox2WSMKcrSyb&E+m#+LwI7=^O2US9c1wKg`IKfi>*Fznxkk>a63W9aA7P-6y7v zZbE>{UZd&tx_2T0Dq@923Q#f8UX0)MLyaL^<+8wI>}n8%s*~9dm++(Z?OAZe?q?t_ zGU(=N0<(P8ZaL%EADDaduRU~jZs_Aqu!m?+f}$BHfU$fvGj<}0nXMW%)OlRAW99R= zS79tM4>GQ-IUzXn5MlszqiL#WmAsn0{YN3o>5`Ntu+hT}_h!mvDV`GL{c#-GcQYFR zirg&~gs)3X1VZkA;s2UC_QSX+?n&QHO{u7D%Av;|4vDr$Sp1@~J+syrEsI_1m+WXVD1FEMH;6FLT8!S!@x^2#5SbZ{aI(%_xxD z8)B5TGGH!VsEp?g8=)KdCyBqE{h`@rwCQ0rZ$tuq;pm%FI3ZZ+`vkJZFL1;t>I*vudoOKeE|fH%Br0{$B1YL!lw~}91{IrPSpf5h zc2757oNe;z%t~kY0aH@aO;%9il6!ahoFMA6362eCDLMPjCs3Fo95=xSAr~x1Zh;j-OZFpTD=m0iEKw zM|B%H$Y>qPE%w^0Oa@epCcdu$>#ICIq~z+Boy7-yQ9=s~s!i1N=I^6AVS zF6b@?K%C<|;zKEbb4MK2sN7=*&EPhk2#uM6!nLGrj^;r23W#)Zs!9~GJYoHJill*H zQ9l@FNcJv($Z!?Zy?1qsx)UWXX*-QCnR8c`=3dF4_zbw7k>>qw1~x<=A0pS`(gEl$ z61rJ5MV3G?LU!RS0KNJ!3yAx)Xk^2M=}Z(LuF2^$)A;5(o_rTlUQ(Pj{V8HaONr!&OZ-3n*44z#KRt3IVOccIkd?frn{-Z!d7+moAub+v38Trr6|2uha z|39ZOLG}9oTK4}{2J`>*H2f$2l3t|r6Z*f12KN7o7yrYu|IfkVKT7?-#RA9w5DjcW z2hjg!Jox8-{r3^!{|1ak8z{f(mAO!c9>N$s4`OLNj!@PbWHaaS{fAfuzOb;`4*@3R zVCn4=_f%Fx=M*0_KajglbC^ADSZT!i_?L;SjWpa3HM-g;B6%X# zg9kALyr+eMaz?WHJ@;?QkBrxq-quc%??n_Ugo9qA@S-z7>mWKtwcjP0;$rP#gP2(W zzR8?a$G+Pr@)^F}pLnd}Dp5vwFN3s0%gVgXxREvVs$v*Z*f$};1J&a;OULZ4{G!J2 z1=;e=>b$)aNsWVfur=$wSy0s_T*B)o(%kEW6mNmfl{SZx2N`!RsAF^GbGTvWsd_9e zH4I)HME@ut-Kdy%J*isyRdbfTu&gY3TDkyh$`s9gzLFT_z$oP}(5;{i4g&??icXD9 zN=-`gG>{p`l_N0w%}v-zYa!ce$by}6BB_qAQAyeCD9Mn)$*CsLu%W~7G==%!@0Nxw z&BxDzXsljfie>riQS#aCVQ#@Dx+aAh_5&-dbM8x5m3iu{ZiuGJ$@d_^VeM04KE?`3 zN4F{pvqt1y`gs6(k69w{3v|zw_rj*FCW|=)LP#ih#bROq*feji8{eEOpWG;wR`lK+ zQ1{sX2TfpR>iMS`R{BxsIsb5h*REEpMq_mNSnVEMElPd6M_T`kSjgH?k*Wd*R_B2^ zm!^7YZZab1P z)@8D2HZb|^2TG`_wjl!-&)9IdD2oPnJ!s-Vm~wpf)_nEYGg`|Q?x_CuXdQmtcFWDH ztZ1#R=`K#pIjW(oC?A{&dv_0sGHgfDs5-VN5gh|DmDl$*y+oN=>M!0~G?0Jq^ar8) zSAh$xJ)Fc#0N8XBbV}4KVhR3`IU9tQh&QzCPxI1VB2LYO0F=~>+T&bAb*xm0b3HHW z`MCwy?h|TMxVQ3OShgsj=iyM}H*^25;S?DTA}?B*UFu8$`XcPd2qd{TrG+}fHQz0b za3FcTy9W^A?3{_Aj}Yu;lt1=Y4b$zz3uP=9y}~b)%|6FbVvu*HzawVT#CnZ$)F`7WP<#au> zxhf{izF!=8ZZ39jBCAKcdyjI&Id>+Snz9V;tsIMAw1Mu6*H|tnOB47O&Q3Og8~nv) zRQ;_+qxEXd$#V+|C^a~_A2q9;}??~o$A;StXK!OU+9Qvb?ytCjqJq~<708j zaloVv`Qo|q<_E9&Mm~#r?#x?xevA5pU;!;QInHvVP}Z(Vs<;9Z4?ms;_Q$0Q({cb9 zRNzu9>XGt;W~f=$yj1e)De@FFQ+LA~#6Yn#Nc0(1l};x2F%Ea*+gLj`0yWwd(L|4& zERl#O`_sjNU%}YoOG%bEzD}IyE~RG&>{--EF~j?sI&`<#5pyJ^iHu~+HZi+3W%yUm zXz-ceZUe|gDK~phn4G+z+4A*#rGrM0UriR&U7Ts8c!P8zM)vWTOJ45Zo`Y$Sj zq<$VUzRazJ0TP%&7#4cQ{+N@W_bi(tUF^AQPh*G=QH`3P-6q-XEB$SKd=S$%#XRaxVfJBmZ!V5e1n{rf7LDsA1WSYWe$ zS3mDH3e(b~Y_{9A`WB-pLLXLD<4pD%@**d-u}NI0JO7hNCTV{i`++TOE@_=L=2*u| z5axu_qxNshol1AB%lC`EiY6&Tl~U;!3rNX3)`nXiB)N!{sDgm+%tlC%8@6MJd zue@}_$KG|HN$#P)$n|~j4RJlV0M9> zwQvspRK+CEh81+B?ao_553-l%iGcjhK%N`O%M8loG)1IJAI}>JQHPp@<5g^d3GTw4 zTr&-9jU4P|RG%AT2_IfmTf%-^H&m@lzXWSr8=2lIniURXrB)OqZGp^P{bAfxtd`z> zR$wD=iy#0#1z4#Q9cq%eF#eq~R4vm4^4%!gSj2WUHQdx@G5J8%xXB_Qx4us&68w#< z8VtKf0LJrkIj4gIdtf)sSLDHy0jtP+`2o>Z!nwGKr;BihNOO0P6#4CRjKjAf4Lw7#DQH=K(Ns3F=(N z2m;16jQnDh_uC`6Rkc zWpNT`6E#c9Rr1bI4WnHcxr=o?MIk{5jZ|))pYq#1SidlhSa#YT?=yG5fI^LmHmBFB z6m^ak#l(BKo`zo!1hht$-Ae^#sA&uK5fxiVXYhyL=GNtmA|Nwl(kGJ{+~Sm@QO;qQ z)C7N)m?F$j=v%x!$G6@7!C{Jd2vnD&+?X+cZs49!DSH3n0jS%jW_ zn}dQO?-y9RJ}C8PZeiMY9>x5u9IE4HgGr|(`_pOGVK@qr3JSVMDLaZ5rXR!zQ|UYRWivTv;w=zO zSpN7upH_Ml!;mi!nK$~RT#Mg2%-X?_JM9kY=ccuWrJXn~^uPt(#|ZwKar_5is>~eN zeF;%o|45BOF|U5_E+!AM$L$s63KP{l%ViGhiPna=ScY|r5A2- zJ9j#9>VPT#+pspPQLC@7UYBg9NS?Vh6EQ{Y(2sW6&;wp@d#p6}@5l2SCb$w;DQ_9R z6a8fLxuVSORg99-65FEE$x9|numUr@HOvr`)QBu*$fCan8Qyw=fJwvDV=c!n!%Eu_ z5_LEW+x+ZV38x{*wiu%4tli8%O5vceGISK&0%~S_Fl%P*FS0CmkFrnf?xnWhnj-eQ z?CU@G(A|clQDb z;OZY2%>ofbFdJ=VUZlC`Jdd@1c2Dt3zxyTgZt@Ks^?s*B`Mu2^%bb00xubAOL&0kQ zO0w3Sv)A5WyX@a2!I~VB@2N@~MIlDRgA5&YZX)d{1G}BO6vh6k)u`f&6hJ&lk#P52 zrVhvceBgb-cwN2uBL4USTA+}98P)y39%Ox7=QsTWHH$#gD%u{#9VMyfrZbc>T6f}i zEi|8U9$0vzJN_U(rtByte)Y`GPRjz5G;QWG9HY!%+6sz3Gx$w7On1Y?r#@q!DgOe0fNqls#>cEr;)4KQmUK%#SB#?T$ls(xBEV zCx=n+mq5-D7N7O{IxOm$*Or7Z_?4P41pEj(N@M!gZnJ`WbD?KhE_T~b(gB9C5=9Wz zWCa#KX+8NAj-!x{S|Y8stG2Six0Et!Gf4HP=#@kZ{i6m8f+CU%2=ug9NT^I~hc=yx zPKkJRbeCqXd6Xs9jnL4^tmD+IH-Vf6unH#I#dam9mfSOTd#RDX#{XAxjUnUlBlDQMX3z zX0J|+>VHMr8vE>jR10&*sQ>ULi-DOB*}dEQr*#Hbo!}#&tAOwIR-H?4l=0LiNrO*r z%cozn+Jy2CeR5K{WaI~=v&(y)sc5(3e)8IGY1F9gbx_$y_{Av)kOikHI#1PU;cE28 ztAMCSu=l4CK&~eLXe6@hN(6Npc~P5xGQz4+`^{Z>GB+>$<0{UBCnWd`wi%KVjGdFo z^0%x{46wF5wbxCD9}C2hYEUn=aEGSd1Yxw@NP+5s?6jYi)T|$ikFi8`AQ(4B*BZmF ze6nEnp27<-a$*diUTUX!Vgjubm}v`AR4V*Upw4;^;H6MoHuh^|4T zx`8T$FG|}-?pFg*H@-Hx&vfu3H30A**ZrtoH0L0(&*LSbLa@CiXK#n1C3~SJl?MhQ zcDD>|LVb|OPE=C!6vH{nf48RyYgXt+MEdvy`ZIF+PtcojS0jg=JOSi$ClXNHuw8n? zBMfd}$xJt9kR#*jsk6skPPdYmNZ`oiV{A?DMbAZ}(+xqcarjk+(NUtM=K1b{WDZ9Z z$Ck&`oS`7wqhUKYz)tFGYF}ytomERRc$Oaw{SdSXG1iPc5%6ka+8XAGtM*%!3uJOD@%AfeK&+S*2)FLQ;~t@i zP~9AGmS{+t0ZflF^l1<5%5F|@X%83ev#fgWny(A${FVOC@ZEt?DgNbE))Fd0DsUAt z^!I*LsqjRo>Zh>IC**4}4RtgM87T(sb-G~=cZ$FTGJqHOmc;b~mRTyS(OTmD> zn6c;8XU_9G(&mZm9G;ex!C{_j@t_+G@uvCeC$nKY;<{zx90|LL81a4sU2-r=#gux7 z(;)7?DUC>t%TrroMK{GYH?X5zojNZMrFv?ucZ%NBpJn3D<+esiO2xg$=X>WDD#C0- zpiInR9$~`xmCNZir%@Px3(!bJ`cg61zE~Qg6GrVPDY?l4GmY3Mb_Z0U?-i)| zhwKU`0}9JUec82s1J#(R8k2eZW6Gu{2JMAGdppzAOBK+h#@0-{^FSL`+BG6v&2RE> zhDPkp*8=7{4BHVIL^u3#xun~DP9o#VH{`V8wV>;tEbefxya=kskXw^m8}uSy2HW?i zSyU^4&XiP$VYYBk9Or@<#e6y&Kz|7@buu)S9yY6@0yB+p8O557_8Rg3StwR9kJ>O~@=eMjIA-mF%tG<&q!^EXtFnD-kifd8T&`l`V z7Pu33h+p^2aKDE6LLAOBE#pLWMUHxR=Y7U+Ay6;{pzWW3p2pk$4i$vATh4%ZAve>Y zm0UD*r#S7K^31|=Ll7YzC$P5?qTgMN5z3tcuI7d*TR$_*Uqeg6IReNV_tjNk$~E0m z!yk{7CSf0KX_zii%8;j%C2{@ifL3Mh$#rXg|1gfnN~03CESw543o?pWI=o06;$3fX zFrd{+q%=jYwPJ}Bqsof*)_&u1mI-7H&8S0};e0DcPM+rQBhZCnT5FLtB0SU01}-fr zyms8kyc@mo5o+nk4>;?90?^7JiHI(}zqLYI23NC>V*Y|%>ATev?{e@9QCaeHJQ)@d zE&?Kxdh!`qWKNbmQ9%oUfH!XswYamlHt~n?x)HNG>s54r_Y81S{VrT0Ce;j*AAeYI ztN1WeB*nfx6PR_we&RzzA-9M|HDE6Qs|bUKsdBQSVsZzB_5EPjIx8J*q7~B&KFPX% zgtSKHW|doe=NvoB2Nbw`uXJ5pf$Hgjd7S|rkGF#X!jBRynxbuC6`m#ks%&+Re;Ucv z(rktr&S*}-tzC5eFouC2YNuC&oLU9CuP3}vC^+P{-~v+;_%K z-2pt>POZ?8ojQXXk(7LQG_tZE)mmR9Q5nRjTd>Svo=BGf@SQ4U2SUtCO_sX%&yYpH z+AG7l-&ctA4QF(Y1K)L4H1OzMLG3oFd1@cjr1!eoOZzj5+7!LQ$FHP3bV8VIhrn^q z_Cvn=Bqcom9%^&4kSuuwZ$PG|YA!`BL^9e$hK40?&O?eFwU`?|s<7VIp6l7Ax63&F z^T_Pc#KPdGS+z zDz{u-o%8$p6EiU?dgFtnXe&n3n`kRq#GB0o5vW+7&GM6lG>_8+Qry@|V&Vdv0oIzj z>Sf(;N~V~_*S&16<05$sZP=9)v<-`rtidDZU8ezacGtGo=_fojU%=ijSp0(8^~Nah z7l$WXELU&0QqJoU+!$M%HX_4_u9tTio^;jLsB$zl+dO_XfRYJy0v1y`|GN4QE_7@=M+82Lw`TWMQ`)0iqjAMUf>WaqkJ}7yD zJYYS45P}@hh zz$>*cMwS8oL1y-iB59$5n@+I!_1op4LZEM=zO=X`+Wchu<}>>)Xz=gRO5s$2*284(D6Co%qC7#3NaicVr6s!z6Z z0nSyMAgx_i9eh(^(6V=MRnh1;F~Qd06*EglP4*{YrGL1*W$5;x+KMI4CgwA#pzU}X zkDpto5U-_9kVX{aFmyJ{Iwh3S@8qYry92Jgt4CmF>`4aL$twV09#t>))K9yB$_-se z_>|W&*g6qB9uv?)xW2T5Oih(9b9u`j7Il)M&1!LG{QA7}hzVlGJ*^k`*1b83-k&)c z86p@18?#3*9GF$iQS5#y1O`LfiB8$VK-LxiAcXR|sx~8zZ~nes?6eT0Ua#5K3<+W1 z81kDL!Kwf|>-sl|&LVFtPPIm;`4y8nyC?E9DK0DA;Eph#X z%M7o!Na7H;UjMSG<`7;Z$h?jRI@-^oNc1NN$Ph69YepsJw`Y9lKgdq^+Jzdwz4DDH zB(L%x@R*{L-F{C1UJ*h+0F3#x&SRzT%3b!kAB^5fIrco9X$G0LfINF*yY3hE57aHg z1(^>Gonm)s*zSqG6UM;|vp#?zpVP!oX1_Guid0Au=qxy&YakJ9|T4{f+= zad`VuthQ2i*bP^e4ttOsIs=U%{+V-4V5Z`4&Q>I4 zQcPaLnYkc8WnO51o0=S^VFoo11Ps6aG;4&R-227Ey_@JpL9$+pQ>!|6!;kZlxGT&V z?#!;d43KtsmlmAa;N)#KT#Y*cqOTa}rt zo}RB?aml&)X+Y$*Gwtf@v!Zo17-Gf43L9nhBOD&zVEuI%W5d!M*9o!+2+@MV+K<%+ zpA4+Vx2F&#j5aQjln`MhhHWi=?nroir{LWSI1$!nv^ngG-(E$phSKS2|vNDlj+&U>Hm@ zck9lSW&+jq%mmmL*t>m7f^ZKjL*olTvVxm7Q@;#*`?D6Tk@e+HrAG=CaWkIBibikh z4Q#OyzaD_;HMtK-E!Oe(?b7}SFfkYxAMjmy4@KNqk|_)9z@dl8tf{F zlQrE;w;czTj^y;z)9^GOUdWpDz)KW}s)dzp(MxAaX)oR+bOae~+8XbAPvr&tJbIP~ zpXy94^F+W#sK~cKYF}}NERQ@kvE=vc<=6PXz;2ViH1t#0ru-;vwK^W_6jd$j@~ioW zMO|p=Gi~KnFP{`rl{FxZ8=lEvxw{K*xjKyF&U`b>;e3*dBUzt{Hesd0JdLgc8u%Ee z)8Yh_c1#@DmeDDikj7RV`r)h!u)5k-s(dNUGpFkZSG7TYL88V}qZu8v(&3>~&Mm!# zZ9V}PeoQ_dnH7bPXg*_Twn4F=s9g^%;UrY%ICl->e}1Q2C1-c=}wjXDeZCx z0M!&%_t_Bz&Hpl&vo4v~Mai2MN@1=ooaPHerZwx;TaYt=&KRwp$Oql^nVU}tj$=7GP|CejC z;PcE1K{}bAg*9#44jPe04S4W<10a{mGgNZTUcxZ9CiZ0k>QZ^W>ThAT%CSz5;JY!0 zXI2t?XgHP=0~ylo!4bqlX3A} zWmXlW>2Sqg&WPlEM5!zg>dyNhk2Z)~Wunhus~t$_6x*iII%7E2T`o~u)OWA!@3JwK z2Fw4n0rt%Ih=RP9&`Z@Z1&C9}3Zxd$x_#A7w-? zAaKcVUM{j17KB-%lRTRv%My3R1ML=Y-Wmze+YHpx{+DAzZ~ZsK9WsNWR=wjX^7I{Q z^_qtbHF%HIsMn#y6i*j%;!NslVwngbCrnMq-DPvba-m$wqVWDJYv>N~P3OySgvrtP z*7{?d#u`07=gy?(rpX=3QS~jKQ~B9L{p3i$iEq@i2k!1(x~15Vl>w|k9WA%-Z+P^K z2hVWu9faf6EBtlUcgDNgUyQLM+Q@$w)q^z#A#kx~#)zy)ke7MGY`m}q(8yl<# zW_4h^x-h{4Gsq@AifhgB#pmtQ*Z~#ksQRSWB<2p7@+8GcK=FFSP+PWa_gOZfu!13p7O-D04h6FodZmityscX6;v*oiH zf^Jz%o=^awRkLmx*3M41FjttMTaYd$M2WNdK{NPox>p~^F`AD~+uT}OVd%V9#Z{5- zJU91SJE5Miwv{Q#k_S&b+MQG&Kd+3WZBqhMU&`ib>@Sb$ zY0t1>wj-7&<(g}~5ml+I_ZRkkRX%S~xnW!z5oRJbyC)v0Zd5P%cEqC#Jw%i95T$vL zAIA4{JDNfZH}*$lnVM7r$3)D<=n!;NC8JA-DMl8Gcmm)6i*(!b+nH z9Kl$I#0P~f+uOpYcjggohrkM1eGr_mIAkhZi|UCwOFHW@HeSS=tpIU!=g`Y1aD~%6 za+ZXDaX*unmZqs=re3*>^?yNiR#@P2Cg-$oyyZP!zDuurcq!}qHMZ) z>{~%w^hOJfy1V7h*`BU^0PYl!NDLrmWlLxFn79i^V}XgegtjglnZU*|$gnr2-Xykz z9zS>go2(+XD;SgKxFh^CO^{(4E*>maGk!VHS38rl3e#P~jg=0_rsA`qu1Rw-YBJW} z)AG!2x9#XV5z)>A1w)cb=RWn68-0$1my6AL5w2P5Yka^0rX#?7*j7!;ylq3yE%~(h zqHJ|QKRwyvfda3G{%AtH)L$TOHu2QgWke&C*3X)nM0TK(5jhBtPnl3#m3vwjSM3Q5 zpNnqqyLtHJmIJ0~S>I10s2kf)_uJU16Ya50e1<4~*R1zhtv(M{h66iD zl|~)!lpr&8b&Q*izGPWbjqe`xh@ol~exvR}RLsmwr7jU#7|+EMDslx&ZD_dAhG;{-wT9t{cvaECy0oO zAjuQx%oy1extH*bD)-Dtzx;~(jk+QOTfnZ}8iO^hNN#Au5HNnI)Tj6PPd`+7dY9@P zRx(C`TfCbtLn-ygyfrGm=9GEtGGADbkbZ zG^_|;zy>#lRWvz}W3+^=kYtS+h+)A;HO+aN26T@yKgwNQ(XQOa=$?cAEk}g# z8bOtFNlb*w%i1C975TmA$T7gLo9o2Uz2xHA;!9$N4$J?-WTuEL%IpD_6qiYW!o1fy z%z)5$km7j~q&=>zjyEmsxpF)?{MAA|-K1Xz;Efx)8F`Csz*4@$#LR)ldv@nb$NKWZf45)1V^No(JE|$;0&DT9uU7$I;P`4k zz?A{yg`EzJrk_oDYDUZu`Ds`o=MRfpJ7SV!f8Az!`gO^}FN*2)1A}ug2|ovClA|OD z$@Tt$`Z$qY!o9&b_pD!0Xqr%Yz<@I&Zwv7)XD;D-e;!Jsniy?n+|TfcEJ%hP(Eben z4H7>2A^7I~%J>mZWA95+>06PL>V%%w&h>+ZGeF>bQUCplb4YO4yHK3>dntK#P$bR6=$P9S1R0Sv6e*56U&JeUIC#T?7(w03LkMUem9~?kVCW9}>9r5> zLdcXoDw&@h#g#9}%_CghdTzapGQx2>PI(y}Lw1{bKrAgimHUU@!xaj!`Gck9#58~2cl&?z1dI)be~Efx@^M3 z)X!EEi94ErKCbKtA4VC}%rIBj^FTVa;d)l1!0|IY>dw?b9oLvNVCIGD7mL*-+zGQ3 zD_SUB@@1F45Z(7PJPpUP%0houXIUrFSmK0w0H_0gpuSD`Wr#p&y0li~>a-+BOH`U! zQIC#y!#3ZJIBn$Iu6&7x=<_$Xo*S%JX;Z4rEE4!|Wfbu1-4i9b2qTS_Qf%xGSDIl1sqY44`Oa zB^RqKn9G0Wke~nX9F<2-R2v0b8b>S-<@!@E%W%PgSw|U<>99DtfW(vy(g8AHR#D|j zhGuh17c>e#AU_XNd6A!A&B8WgM-gx*2|aHrv3Fujp)U%KxY%d$s|VTCQa$CSPrQf> zCj)XaKvu9@sO~~g)(C!GNvc1S7pNJ99ZIgEX=YScRj`3W$7rSgxW*;Co1v&6fJXJ`;TTEy=hS?V<6+ZFDr ziY+|5St=q7)~=97R{hvO4qY)&L}@R2|Fyt+eV#g88M>o=d}q58(SFL)s^N?6$_fDT zCoTKpxnrN<7OoM_8U~H!utqVE5j#sJM?NtiSqOfc^5WIV4=FjtHUU4T-Gx7Pj=^FqHWqj#UdO2aYuAW!@;xDZY3cOWD)?p!?AaL26snHG=DC5S>q0ta36 z=U1&_xyUBZnH6pPX2%($9rdN^t_+={5wnSP9J~b!qOuFw*$>ep5N+l$Ge1yXIy%PQ z*2HV17o@(9e1sFeRPJ~E4d@u$$skFM#iIiO=*GjV6kCBb!=T%4E; zOr!$00Kf3m7$P#@!ceJ~5u1t0(;Q?3X~Cbz?J4NQ(1I@#cOXT~h|vU~zujL)+jJ0( zS73|ZxVr9dq<1DnW)v;727J^=u|$U+rDR){8E7jjv8X&4iY0Kdc8YecRgq#J_G#cI z@*hrP^Dn4sFYch0-CL(*-WVf@8uY7ltv1;GEZRvlvPOM46A7?3Ur$6f>>(L%<{K)l{i$(E%t_2w?y0A?ReK1RN`lVtO6StSo z-L=DP-w4j#goH0Fq6V@rzX`uyHv{%twLMg%#sWF((?ES-b!5I>-B2|bb~=K@d8yuE z)C7ILnbL3t}5X=Xy+;R?dRmE9QycktjNw?yP-BA!(}LA3wZ5ul0WXHi?LrmYz;P-!)xwXHQXCNE**u-gQ88th5yZ2{#< zCmYZk?al@kR+=YJr0Mo0qPK385I&Q336HivV`fm8mri{$)E)(+- zV+0ZGX72)3WP9qG`5;+NTH9Rp>vlI$Cig4g)D*>I&PJ|T>$afZEFv?;Dmx7~P=@N0 zU^;-GK@GCCD!@gZBeOUUf0XS7R-4VTC zh@Y`1*Gp>#2VN-mXHTG0u(6CrFR(Xnrx!9h$mke`LU%s96H#{UjHBMEjoJ*If({fF zT~!FbB#L~NbzQTglr2t8a;!)?0Dqct=w@6Egyy0~+1O$K51W2I`xFy%6g*+jzVp{T z@D!XQhQM`Oz3FcPkCWs<0vQ?)oztm#T{|`%hbx`sd^*MoR97)Jy;G{S3qqk=>2-qi zo}+V^-N7ze82bC=?{jrMONzZD@Cbn7Udy+kG)=DG+rympBlXLw2SMjcwLKmKlKP!T z9nPLPulthpdRrJmRr&VW$VP24&_%(II)G=4p#FSNTG~@JI1~5ydO1X}F?q3A5N-jo zcthZ`&NPF+5`@E%E~rC&GsLv%rXQ+bHE2LwrQsTtzbdcpAGNOx)MOpKe#$jQaPIT2 zbx&KTdD(nk(~@J)h{$KLvg@YYH6Px}1(ifgX1Zacq^87#pwS2J4=aIA+DJSZGon30=g^#^yKZT*Jc`W|wLKzxqn>y<~MePUb+L2Q1upE** z(}bH}ASj;b$HoqOwBeZAaECuLm^k~Xbdp7VJ4Et?hiDU;n_uSqP&1x1vcg9&y{}if zhsaVV2*VEtWeNwDZ+!meC3z)f=+O?(13y%5@d}`S&Bl`;dj7~`C%prG9#)k#;Yz(e zjPYOxd_hN1GZ5#E>&5uDA0)X4d=d5rYLcG?4_JO0wL>!o@SvPjpet=KI0$^;JXiKsNRz%cOTF~)=DL*@h4Dd@qME9pcs|EML3F0ELd7W&PscuNa4 z228@&rCu^R40=t>K6TkHp@|8a^=J|56HUcvD_@;z@yzQ}d84Km-u922R8W?@!AHX! zx;zPGf^&s4MV};e)Tu>XPeeW|$73N@{f&;D{tMM1OmQ^k5KSf5OEas!YhN85@AK;g zc#7^q!9Xk6r5)kUXgdDJ>|Wm~5;2%p4yz+n5q8H3NW*X?c=3%s7b&gj+$)a$vHGLY zCrvn1PdlGxF#&kS0nlCwxxM9v1xc>b$BcLpFr!NDq4gtoN{T|6VM;AYs0e#YSLdB( zZ_O8$QWdMy`e6n^Hn?Z^+-K?s42-NeGXjTB37^|;s66CptL%Fw%uX9eH$sCF80;># z>aEa_=)j%eK3{iLRVjfKbzkYaesJEDeb0Ru&n39(`kvk=7pJn!&))PXhke=JW+5Pj zvifR3hXTgRR{0<{IB)(i)M<#P5>%)M^b*3!3jz1Gz}_A^S&tTm(S2Hzcx(1Xgxbp~ zNnCV>iu$nOEu`GfKS_ZzZ3 zwyGTRdjp;X7D|H`jG5o5`;ZBOt$R{%r!`w>w{Ttp-G1k*aE~1h+|cYECW-bXjWEMa zDp6i;jO*G_HOBH~hUr&-)BdK|Anu^PTVc7_)&+cRZ*%H$EN+{OT!BZ4>HN^#n0oYQ zXLO&VL@hZFF~D@bRaliMnqX^9EnoRGTfEZ06c_h}l(%hy_2~lqA;jn!Ob6;oc|Eh5 zmSDu>AB*0W7D2>UB=zTbnDJO$Iel-@J^)ZX%OwL}%!(^r!cd5^Z47O&+vnT!KPzr5 z1`ou}C7%}!0(<VeR9%qwdI7j;mR`r+Gk~D0Th6* zGjOLRFX_2m3~a>37w`kq;I45XlJg=ds5pP!Wtzh5K`{F$%{p_4opL>8vwymQ2i*B)ghPFN&UuRO z*A#u72=iMU-WN2lVJu7C)`ZB4xtu-bCk8M-* z1oZw+g8J4#beG&UYOq2tC!2XyRcp8U-pm5vNG}fC*_(f4MRv_f7BYJA4oH+o>LE0&Rk~JpP2^ zNlzwStOoQs4@;ps^r7o_)(40zVgzYHR--OqIuh177qadL8u)6*UvwbT@3Nm0t|^Vod@PQgYqq~E3w0eWOVaeSGDp~jJ7=A(AG1Rb^a$QfwgO0qIS%5gLxT) z8l`v4@p%R^+B;~^hpRgOLJJT4rFnbz? z>|8s_#oSBxW_MhgPgOf;RRJ=RQ4%%!m}`7Nbzw=XEXEh?u5;`_egNWPNK*EweF3+$ zM3Gl{65VNU3cAcVKa6i3meqr9_-(*M#tPW8wha573#`iB=T{XTy4R+N1h$Epp1I~?D)R95R)0sBeiroL!LKW(NjgOOrhqFkilqG69}DRF%bOamb#> zwWVdu)LBqh*LLYEgc|V(c-cfvP31{_)nmsBh@G9EIvfD*9OYtrtdwbHB0XVza3zvJ z-*Hm7ww-VgbN|&MxE&aVlCgF=Pe+wf`cdU#J6h-^Dd)KCc0ash{?v`_S0k55RESPVT(P~chuT3z6wmw8WOI7r6W_loy^U~obe;D zU(yEuVff8t0=9w&IiMOlPa6+)C!e852}}_vdo!4Rj9V3CFv==hXLp?TVyLkV5YiGs z`j`U`?O7Ez3-rKlju+I?>i>_o_l{~R+xx~rhQ<~+pi%LPT}ruE$u@h#F}rI z2S85?5d`4aT~!{j9jQxD6seNu{0*A986CH7$mgh2C+Q)oR;ZR6EhN1^oshr6c4)qqx`yU>b=1C}cvY`8 z{f!>l@d4s=9AtXrEHKV}Hz-}e-BJ{z2*|~wI-UhPndJVAqUZcdkcoyPLEfn8V(@o- z&L&|@N{pj|g(dt_e@^sdvVV^`3h6n=TVfr&u>|sI{Vo8DK@{YG`?Ci{66jTPG>aTW zLQ<}>MNXC%N#$m{=6?yf-8PRPa@cWb5_eqg=PdtXnci6`-&_Y^8Cv5TZd`8Om37MpW2$DyUqvxoH1dZuHZ zJAXQbv_CPBV4|S7kC)+&L2#{>yi-tU*1ajIGMiQaFMuodY+ANAXbZ1b1QN#*S;Z=? zRXZ#fpH_Z+Ut97h9=+C7^3)wg`n<7z^G@A_CHs=k(aIV{f<~%OM(=o|v_XFA$+bG* zq*?mW#YyfRdr&KEZ0A%*c$J^O>4<53{${6F*Kw80A-AnC^vrxmKRA!}k^eoy!JI{Q z+J*V@lgAcbmElFNZDrQLl(NpBY#d)A&4W(4m>L#FKSY0y+T*FkG{xWsUY`adje=N> z^!`4FZv1?_oP8D8X zuOzQs;6=EbIjDYGrhu%35w0bE)zZ!K3E13%Nf%nFcQg~4K!UvA9t?Vfr+z(A1XmUs z$_GJo)AlLb;w-Ly5*?JJbxgyGbW8nHo(dx@73Njv1pQ39r}Jb@Bj^a4ZpAwrzS0Es z6@ysg+3|&R*#6o=#jmeM(fAeF;JIHT3;cE2E}Cn}``e%WlA?`TLF&I{kffzmfAId~ zEBamDQs%kUZC#mp8!hnFH*D2388CYx?<`2u*dcJYQ3NbR>ZoVIw&~~@kmxAtssay2 z{O`w*HRzryDEf|lUnZGu4k@mBl+xwp;=XV|9+(K+C2PE2!5q7Hoq9pKG!$=aRncUO zL7gNNJLj!aQOky`96)H}#5WB>bf;Y5$^=N?%aiw3d<29oCWDn(X9rJusmZP%5^i$A zI>FKWs)?5lbmRr7*0?4a*~C_FJ-yEk2ig`{6_>^4Au5z07(JdM3>R`f9=UeSqm>Yja2}d-6CO6OLnoCWBiK<%$%;i5 zFgt2w3JBvi_Yt1*FbHehWedk7BYcjQe|}6aJxiXTG(tUPAWVD7PFR`Zo9fiy0DA{O zQ}idaJMefUPh82#TDK-GraFs7-tP=~`#T6m*A|lw?xDAov)dDaVDyLM9rDpBIgH_D zh_qc!DSv>Z!qKAR&AXJq*dBCpxh%(fZXLp|k{!(i-AHc15v;0XNIKeADVPlk~k7l;_jm8GRAJ<2(An8 zb!%X}p#clCgIPiA2Z8Ad%ae&)pT%Ln4b22$!6#BBZphT=rW#E^r}}%ZX$HE}8&Hjl zykPg(eAMBm0(yR+KUYC}b~)-(@26$WC116)qCuGEmL|x0f(fMEgEe#I0H7 zHZZ#6iL_e}%vKI>t)uTs+7BtUU>bSYOEG=lN@7Hkvu^K@S;+f@gES!aAcd^HsBYBO z5R;xeFKbzAIOMX4?b-RpkvdjOJPi=SSn-HZGKeOc*nK5~ z#PY~ZFmum1!oz{f$cPWQ@(UcquhqBst0677BNX|Yp5)jWHrwfJ3frR=zgJLRK2r;F z#C8*ApEYD@V0(@2$iA>}B93}Ek-ZOV)Y6qX6zY{QxB%1^tKhqfxQr-^iERS> zxuZ)iIC*1rUO=U>{VN^nELtEWwXYBSJllq}({hTB-33ME(4oqPqsludHP7~F&4MS^ za{T?EOv$yO%(0U0v6!1xo|p(#`q(J!nCG=~26H>yx(risU?Tv@j(0kd6^SGt<(0x5 zbY5ED{0wSjvjtxmh_$$Gb7OQQvWiv?5lJ7Wy(D%8?cVMWN<~j&w4u)q&w$u;P*t+M!=%K z;0v&gE)KYDgUJ!^+(|~IL#Gr%3**KxqeCdO zy8b;z9IJX9(aBt8<8EiGHgPE{?f4W>e%$oS7;mA+?FImJJ{Vf;FG)Y;Y(v@lC@j>; z^n2#)-P=zl`!Z9_%S$Lw43)ccq4OyxT0G}#R&AD3Gei0ni2cke@P5Mcr=aas&N-xf zsBzH-r52H8x^y5gOw7%(R<+&eZnN=3yAfIPhzB}{QRx21?VEuay|2^Csfb403-ldO zEcT>O-aTqJaM%!fm=7p1*}}?bK#?_<0xTKqj{AjhK2vfxeB+GodO)bgljY%nxgl9E zj;jATVjlpMM(TVil(ySHGe!ASVJ^eKb6-LVFCCB~Kh93RqX9E>@fp917XAPi3KJv5 z^lhLmo_ne=4ZXPlggB+7gI*OB8juW#qtm~|RJavS0?p!LY;OT{1{h0swRA?31Rr{t zx9B9M**u~o7iAC{s|CN$%Dad5$`mrx2CTE%`shz4p`GoAgJT3)Y^&Am?R3q1{23%n zd7G!cz%pq!pxdj+c%)GjM1;Hh+D(i!WB-w%?cAE|%_v}n87-@T>GQr}F2lQI2j0gnuT?)oD`Ywj z_=^z;t5tH&PTiOfn_t9P&~P`~|_swNAehtR8a z>$1;Q7ho&8J?NT^S_*v!AZ+gs7(tk#dw@uH$h{MTE4IVoO`A}uyR zYmg$P!9kH!^*u7E2GzWf(+ri|5PYfS(d2XPb~gyZgDr~HSdh8WFjmtX?fOCM8Qgj* z^_8+bAi0L#+_h<|&5lBX!T4ob&roTn&)uEVcrl5TB%uSt1_wqrK@INBbm@2*E&mm? zIZ2%EZLwF^$2}ueO9F4HX==UYpNO;9Y}!zvEcVdDS`e%_80EVz$rKB$Kd z%ZUex_Uq?7eYH)J5v%J1L*t+B&SJ(aeQJ034mSPE6jK^f!Dfh?gLBbCVOl}xTnNm> z@IE0GxPy*_6EcS)GNXks(s=`#l--+nO-0y?l?YK&Z;Nd)kFt?{9)#!h5poSu1E87b z$lAD`RF7jU;Y!`@yKfSul=!r+PFlkKWoGe?xj*J3A_-OUW7H)!O%aZ>n9NJ znJGTwxwM7L7Qz9&Yfl_ISpLaRr_oW2K~^*C`|jaU^Skn+T9?m zHM1H}9=}uI7#~i^v&WSaoj1MTLu{K2sSCc?tpCKJ>vP*&W<}m#t zFKbm;)-g!OK(qh$B5n=yxMr9h|EAu8EKsnMQ=!pTHgwE+DbvoL>Cc$kRx^-zNGQvM z>KCJ!mEcD=slr5?@5}rIvcb9`{-P;TQsu{9L%)|lG?$iXr)fR=<7>>O06rA}X5Tvz zHRr;XjAUVLVKU0lt7RWCjwST5u;1zJE6F>`Rx@GDG+fKoni1e5pEt+>n+~ZVB5r7i zNR-d#?5$_5Nsj$YJs@YV0bLK~=HEfQGgd~+@Dx)ATY+zG zkt&YB`{~B1koh`^BRg54BI1pnehzu7k(b$m`!HePk;;u`P<>Fii)cbKlbrum)d&(~ z`%{mLF6h);Ck@fSUs7wAR;cTDyr}^5*7bW{RhWTf_!d%pFKFnz(NARclhQ@2t=%qM z*tt)X0MyJsc@E*Q@`ECTq2NiReaixcs7^M=46+{aFU{~MUXiSdq&hb4(+N-l%KG&i zTtnj`n80OjNB?+EgNZz&a|}uB1Z}DvUC}Q*ebCPl?XcKRptI`(4fN*B83tw@Z$Wd2 z=Su!i`I+tF==pFSi9WCaXv;q}Jzhua`Ts3gx@QcCEo>3`tw(D zkk=N;%m$uf>tSdtZe(>^sK<#e4~ubMpqaQM?g|Cf4}kDW6LHVNBi*ZN#I*2{L2!;r z1nhSinwUsi@{Wt70=l8+L{U$KsBuSuWx7)S^nu*VWuXPRb7YRE=Q_LC`^~V@v42hQ zEzG|AUvn;!z)6PGCF4?zFSbXcvU~!)w&415VG){!YU9d}`X7bDXF#J>OvE>d>qu9) zFR?w6b-4s1`kXPK1d0SN*CBuI550)H=(J8nFu1rM$qrW&$x7Q~zr8;eG7R>3YlF1O z=Jrl|3^vk0!2|1$cGIG-%DRVyP$c`03C833GY{3q7A6D+{(Y#BquHA(2!c&}(GT!vy@@gOko6+ll+WS{nLF(k)S2?4&!S%=uBI?dumj;lTmDTZ;tK zXAKJ;wr{_@a{?-Ux8m<^)161^nH}VvQfr@-=F@5@t2-r-r-+q+jA8a6*b)ERDg4us z&R9Y0cfPqTIr_`zx_tQZ;=`v6HCbYJQ!LEseCpSEBuT*p*JD^~3cKUaV(emQ z@6yVtrav#vG+DgF4g%iMtuE`Y>XFK*u_fZ+VX{@y*w{Vuexl?(q$Kb7C;iSwTtECh z;!gZ4-X>GI>ei6Ld}x%nl?e^|ajRT9MtgB(zp`yDu{9j@sX7Pj!&jOW(iyklpv6Bq$FAZD^(BR_a* zT$gNCK-isr_E7&->$#U*TNQq-3j1C9`_o<4 zc?kYkPI}vc)v(|(utnv0VXN_AZxXws5EfiV*x3v$%nw}x&64i5@Iv&f-dKue*?r>{q$+ zF4oWTPuFARCVx`t{DvIg|L2zm0V+>I-qTNOvD>x?3=CX=+4Qk4D@&#DcUNB( z_G}nog4b|bYZKjm=;m5blM{>z$Y_q9i{<87rZ-;?oVk-28`}bIh1)bSt!t8VCo^hz z`6$qH2UCLpWicnpM>6IF?WPfJ!E@CmwfB}RQ{a>CG1CA7#4omHHDyYw3=>^2n zy|+c8#l1JXSV6i|Ja$yNOmM$F>bP^w$BALH3caKADsy^_?c*JMJAu|hx2dO`!cpuw zrdc@Wjhib-s;Dh6<&0+wA{VE6q{zSS_&)Dci zdBp9RSD=CImiKFcPpF+Gq~bnCz>4VHN^VY)yc59P;=7wRqh{W|UY9!&k9>8tb}F$m zK$*)d>e1not)SUH_Dj~!D#0r?DkxA7ZB?)61BU{O#);{TxQ#JS&0rayi3h$FV?IUhzTvLuW|kA3VL_ zKZUZ#YUoji8@utpQEUx8yqAV4YB}OyF-bEHEGgo5M`v6ZO^#APU=l5+Sf;%{JXLz2 z*6q@3X&L|Oi}K^H@_X-*#m`31uYH;@LlD5kuGL@S`QT0fyS+skpGB(gjA9j_J5!a5 zQ&Z2kxZT-g88<6vkG{j|y|t(~&ti|-w&QQDFs79`$!LpT0RPD=?g)$2{Rp|dMR63C zBwFIHJ16w-^fkSlFG$;ViMIDhftOt;9FR-HuO+(pd)LRV$WL!S#CK|s6Q-05ud*A= z_#Bn$q28A{)cIR!devlTO(bf?C~ce=7FBznYfG(4@@%NZp5Nq+YlzYM`d+e)E*AKnVi!NjRynybU z7I|;}tm|cJGSgh!YUqTe5gxP`&#GX-a`uT zSN*zsZy~{qDO}i@){*W?y85Q&_ps7C{po_aIUqeKv&o&4_hxVH3=dyDJ7}#KINT!m z_=Q2qjl3x=KIec`>7w<_O-_W^ViLa8CqMm$iFg!)!B_Es!>-?d^2rI9yuU~HoQ4#C z!J2=i)cX82fE7h1n~2Y<2pcz)Y1) zCPx{wvNxV?lv6=dgEcR*a+sGvXqfq(LS(;8-SgR5W%i&VFhu!u1tO8v>G!aDW6TcBvA}hF z8vDg&%qyxQVf)BS!=c{>K2v@6sg=lK&X9BFW`YKBxK3f|tE+85aMR{vGT-Y!Pa&uL z{v>m&x8$k_R8#VVWc|ql@4qR&$Jgo*ZsTv+Vv=ebt>9-bZa%se3-VPpx3%S*yiVDR zse>I*q&7--ZnAE{?6P^vG+3j1za$)kA_SKo(8~3^Vn9}}RWD;1gL+{dL7oNogO-qR zb=1h%YXb=hrV0Es>HY&!!E8vG1z-KoXC^n(rbPIvGM25TnZg#cx9xj;N%=I% zy>nxO>PE;WTf>W{Auyx-xXg1YsDq)+N}}IU`8~I$mCXn7Wk^kt(p1Mjc412dP-{~^br4c z@D`KieNlTU#))L{SzITx6|UXNa-*yefq@n~tCz5v^&1eZ%OI_E?WAXHcL%r76rt6B zFYRG(lye~xO`HIEVT7G9XYzNDDpXW&>Sn}0em0(S_~kyl2f8;SLB>L>zJNu9| ziGJbnAw!rt6 z!xM}X-wb|zpD;|;N|i_~COtvtrc};jwH=@syCBGe4umD}(tMC~*Yr3dU zpTk4dGDD%Qud`YaLFqw=@b3rjFAQ6$yBOm3uypL0!4I>TeW@$sJ@?; z+mAQjJ)^0}7zF0rKDus-&d5&~G}0mOT8?q?)QuHQPR7E&A^U!Ny)nh7x3U@|Im#e` z8kU9;A&gLea39c9>=h&aO$AY*joh0p$&!w4^1@&7h;Yc%QLgqZT^O~!l{cJo=r)*c zB_9z{vhqQR?WwlI8HSEr0J)}c?6dt&qptUJ#k9fxlL;Oe&yv2(r{~hkneB&MR=55} zR|;?(5hWsmg)hN{w=#|&kaSlQS;RK>TS74}aPxEG=vLO^$2Shgkj}!7C|T#;g%l*| z_RYc-N6&cP+uOme}}lQrF}Ylz!77pY-9Y3SEBRiJI*_L~sPG(FPY{@C2vMP>Df z=hemFCi6$o{G{V1;34B_z&!H!q|17f*^3rG--fGMFWSJXPk8oSpC$M8r;O~#x4Y3N= z{-$a-2u7WXvTGIrrTkSE4^UbnaNn1Msyyo{0LTG_T&t}ACV1f=ovI(f3wDlne?@NC z|IZ^gZ2n3O1~X~@*@XKM@%kT2Bl$7T-?!lYTkOV~qCRmUZwl8y1#ZCB*}oLr)H6!w zK}-^t948k|=ZF&793=vvhxSJr339&0w7jYsa_9pxK+-~@UK9%4z_(`?BlYJ2K zOCL`5U{C-d#lc_Hg$R;m*O3=aRy-auL$cr=xbH)A5+}DDu##z%H#rzs%eu7uwcp`u zTm|DU<6O~MTQR zH9u1lIUhB$dP~hqPb5j6T>}@^%6~udf1QCPRRqRtF2js{D=5iHFG+@z8A95Ha z&Tx~xUnW$rln5JK0+_ZtU{4VS6XIBP1ZAH65`&mU>C6%&3s!@MZiY8L6N(y;e2D&7 z?1^auw@fvL2220K3aK<7mt%_cDO-cK*3?!~+d5%5j|~7kYZ|>%srY#&sNdW|^ zjTP%f@@eIf+^nxy(^4>Wua!mu03}#u;*5U0@qluB=J#c{6{S6MW#MGvK2CUjFsnWo zJns9;ju|emrpen)H@`pqGoL1_H{+BGhUkC>9bPQ++&zZ6JM@4Z{lgi^ck||MS)*F{ z!_CSnC~HlfU`ECW6o(+Th-i>?c3jHhy?V-=!#0;0JSA7N8cF#E%|foZ#|PCxF{joJ zfB-v)RJs;ZT@_l*)u?PK15J}E?nh@8&9$BwRd4&8D)npE2QAi$7pIlRL+*dhrMZx% z<{VbG*+J%rQ+bp>{ZDlm3<*&$Ny7+}X@k^cNj3xWh;qw(D}i4QO~`f;$|9#XTJ&dU z?Q6BtE~#l}u235_6XUoH{Qb|?poM#&8?G9o?Ph9mP}LCWq^id)hdf5ARM0yTZ#kJ` zG|f-%u-jbo4<0)Z5%_K-kQuA1Ow1qe)>*hu0nN!m3T=DGQurR zFa zdM~N{KHqX9E?_r*=vQdHhePmj=~8NN?kiSqcDBMk=gHqZK>y54+GK0{7jpQ&NKN`H zW7EdL>8}UK-X2Uf`j2f}{%feee}ev>(4-hp|6ph6z5JKuY=l1I)(~|g4Wys+DzaGm zz8t|gh97?n%1DfejeVf9RTc4M0A@fp1VaP#1mib8JEdoV79V9e&|VWR17DD?psis8 z0`@CYkSO*dvH(o(_gwgjPN1|EnQu|2i=LJe*y zr@6`?tEVRKdU8!K`z0NUE--|6;kcVa6hHqNPm8YREQans!w!~%o=Ya6k&hVlHji4l zDP|i3XCSg6)S>&c`$9xOXtXeNP8=9{)63!DxutBP6o~aBhZLXL(prM3HsbP`qfwjC zl8!%Q9yp}Yr1l8X@zaD|J zf>ibO@avBmUaZJW^Bf!4obk9Dda$o3X-DCxfiY;hPi6=6nR+Y1;8KWTk+yygP6GCw z6Pq?ID=iwM6*YVgZ8(!%gRYjW$cN04E~s+%z^#=cCeZot%T<9%aU=@y;wDs}i9X18 zBB_yJUK@wvrl)6RHB+P*^a1d-ALrBVaM3eku_z~8l|{{h3*5?8(ZS_Q7GLb|w$*Q^ zsM2}Oud+!_$A=e$C0-0Zq<3r;YS}Hf9Mia!LyH-t z*-A~4YwXMdweHFu1^B33{>qG@`M0>#zg8*U+YysZ0d>%slDgas(!GXueJUUG8f^K$ zxyyFJSegc-E>>eJbZDx&siuZ1Pa4)O(h`M3eDFIg%Z8$|wAD|EsKi0V0P%+DwiM(> zBhimUd*x%N`i4JMK;K2J_J{VNGjS^yfdvI+jx?%MJdG{2(&$Bvk79yp2;!_zH0p43 zYA~cF@d)$%L^f^T5^*sVVE4?$XKkZ@@HSs%Mk>k4<<;QtVX3xR$B=&MGZW^S(DR_) znlre+5&^%^B4BNGHSm5Cu3P^w{w(f8l&yw!aN3D%etX;D6$a|J@fEo{vHjAhjV?*R z(qyucx<9Loj!YO1 zeGu=`2um_Vtz33Hb{=v-(-}ermxO%6(lY~~{g}?tEw2sR2T>iz0nxeW4YBuX+FVo< z0^RXK_RI5Gr%qc56|^+_0zfZWO^HqgP(Zd|UgfEdJg$adqeTqj(#5!S(rA%_i@`I|MdB9nASH-*m5ad{Ok1RiK0y14anmEtU7 zLqLWzHzBLHR=?5jLEc&c1r{yzj%5dfv<~2+zbTipA#{v6sS);D1-3%JEvd}`DF-Fq z7ixg{CvSn-vRz_O)VK>1A=PcyH!XaB+Mh=ay-@v#fcHJyKm+A-qv}wcFUWM-u#rHb zWZ_F}QL!@%Q}rB0ub6WD14^w2x#Eh+_3o9K*dXl>0on2F4|y_Qgv-W60i`{B`8E0y z+U=DJ`Z-^6LXpnBc$#Wgs8P=92;qjwgokQ)uc_*pZ0zeRN%@FgV=2w*NUtsuiZTa`Y;ymb(* z(z%#8cZrw6+Ks_k$TA=)jWUD?LMFHzqsFyPeT=K?F3*yASxql(t~EAT{l?bw=|E#k zVJ`nj01%*jM|H{pHy#-H3}l20Pxw z24*xJNJ8L1x+RZ>@WUIZrnsqSRGF0tb)Rt^nEwbNYLzblRvmpW|(QEwKnr;>#8 zpi4YhMfp2Y0C4&CWwb3BSobf189{2=hRg37y!J%RT<;S*-UXY^3;63JB3_X^B{{SO zTr6!`1>3$6JHd0yZ9y$*q;VdDXb(KTIM9&(eg(By+aTQq4FL5NN^^RzQ~_*)eH5-k zyI8I$;-3U2!`|kZA_m-qHd!n^H->$mQRu;jhbF))MtOn~;I%X$8>NrzoEEs;jOHwF zOCf_%sD_bpawTbf(vN&1CB~#zp2PQ38LW4s9}*L|nU@)1YsYo7YVx4l`?}Nz6*EV^ z?&RJwpxrI!c(=A&eTTF0AH^pW;jb9{l6UCVn`{^R2f?a$#e}kF7|Dv0CLNSgQns2> zi7!{}c4S-435*V$C<~;v;In6YNJ+wuHxMS;-`&v7ZnpqtvneX@S~ZRyPeyvJ$Exbb z&X~bAKU7vsl^Pjje1o3dza}9t+Mc+yQpi5U(sc<5!3%V7oi>?iR`YZjDn&cNl#NpJxkV zFSp>`b8h9fm<~7-MlTvKUOQiT?e-<*ZRHn%M$fI`cRpT+8<_eXIqbj-$pW~OTN}E5 z)5`|c9LgxmFbSk&CSLItPU?Ub=ch@jOD}y!4KgqyH7Bgr-Q$CP-~r~VMz#J0Sx&gc z3boiIK9qh7Iki3d!Wz5FSpw=MmDo}HRaBh}G&Ocn1dt@qyCoGmLm_0G0c0g}t}!Z& zLG@G19x0kwGg4ff<)O2!<%{Dh`g!r%PC?sHLx#GJ^}E?)1{Y?ExA>`pl4q*(u?{L} z&i~HPc9U4_ERe!u5=9P&`0{}7?{D6e{8}H756#KR>Drt^CCR3(1Ju$9jK>huj@%L~ z0E~nDqUtI9y_Jc>U}JDqXXicunefFRCugvq>68%kQnScczm6xm_-f@oloLEF%GIou zksSSL*{>B{;vJ2GOR(AZg|{?%FC+rdV|Tq15|DNaijY<2TXU@3hF9(bgG>7CL#Ww5 z;A32%WQ!Uu940__YxzkfHGZL>m@I=L-Un{`EaaRXLrBS%!ZW_I7T&lq%l~?G091wZSJQcmhO`Z&H>8rFJG=HRMT%I2)@%O?#Z%kr9M0GN{(Ml zmD|jFgi{#93IG-Y7IJ`*y#xA!UV$QGYZGJj3}-GhJhK^rk96QtfoO&Z{#CuZC_=r% z?7m6ny~)zhiWzno;|oKm-bHHXxWe*2_;Z>O` zGCIQbDodNvN1yT;wN=@$5+w(X*^_mI;!wdc*5ax+i-mAa7I4Qzk&&+-pEA{B1O`-G zyZ#LUW@SUZ4>60`kQ!sStv;DRs z?WX2hd(a{}ciIG$NEqWNpSQvk941zFss8bbB%v1$ke^KevJ_c$Q=yMCFB$2xbcS40 zoWNu+m;q_?^`+QyfQ+gT`P7Zt_g(m7`kA5^=(xz!s+|%%hWJvLRZvF6pg0s?Vkc{I zFgw3deCW9GYpbhD5j-##M`dCT-?n?ZA_JsctM_(Xm{yEQWBDb1tv~(T7Tao{%61`j z2N9Z14Ow@J@RtL>aDU`7E)D6woNcVQ4&TQ#DJ`$T-_drhYhPKPb3Rh%t++R9C~*LZ{uhJY-A{&BMK6v&mM{SiTbG@UjnufF2=6eMa0*I|*_lr?KJ zlL$i7OS0=rlu(Ev@*yCAkT<~BN-DiK8k`iWHv^8@EIpy@y7VZQfnebb@JHGUU#WPp zH7HliM6>Dl@vCFV2aaJsQjC1vnuiUj>xEorhsLOIoZg5 z4s(C3#*M1wWeCRDYiqRN_UAOpLN*8tk)HUQ%U8r=+Z2TB2SeFiId0YZq#}7LtULFE( z=yDnYdM?x6L-q-WHy@v^Acx{)fT%)zPzdbp-A(iFdjeVu4)wSDR@aH-W4(e+VS|&o zOAh8-Phdel?F+S$SWwdJTR``kI?#)LcYk#gA>VuBumsw@mD5Bq=S`ST6u4Dqon12H zs{j!*JSO&?c%O$lG+OomGAF2s4HKNVo@Nt#A6jOWj2)1l&;C0Ej<#{Xf_6IH zS3!wqbtgA+OliPQ_|1Mu%!n=Bs2b_71$# z*--O+IZLRPce6EIH0fFEmMs%q0JWg|xqq-yJm75zogpHI#T&;k!8#JXUoBn*nK+Cv zZ}kML3J;NCZshbuqeuuLg*LfsNh3y4{U^YnU%if4kl!O=|B+ay6z`qX(WrMG0$Qfg z)Gb8|&I3zmKYsTK0P9Z$i>?ZD)eG*FTmqx;=BOUF%Pw7DQx%~++#icE+7O*pP*%q$ zVEkJO%_85Lj7ziRilnA<9F{Uuw@XGRu^;v>^)yZME zD(SY|wGa=?zOVQFGyptXgMoW~#@vW$=J?~>vV;vCy*$_BV|N$)Ec8ve^=D=fhLnKVD^0aS}G+vwg>>{dV13vV}>eSKi-iWj)XBECp9W z=VEHDP)p0L99YVf7&FL|ug&~eaoFT+a7+}J$ruc-YX+vew|{NU3jV1qc=mi|>Z-5P zU%UIK1tt%WUaLN_t}7;SH)imhA z=Bp&{rt<_dac{Cni0$F0>*^c;oRC) zZk;XNs#CSqA7&a`gsKnPa%XtRQ=ovPIZ?EzCM_crAA@G5uX4pQl}pyov2SHx;%u9V z)BVIyWrwn*u6JM%#jWyIKfN!!NI6q1cfy!riV~2!N9uAx8y{dEar*FyFO6`T(CT!P zry?=Uut2xlGW7ansc;yBKF_lfZ1|MFx104*wFTY_k0qLgDzIgm-_8^S9k)hySijDTXh{-9)>}7@cqPwhS>^aS0_uXR`(w z@RJ&CH4HWIE%)QM)m!{XB@dH~NAS%M2K7M6+^Vwm!y@D{Q>W;P>q4LK9#^szppnHt z(H>rAr4Q?=$C0K>RkJ+xW^w#)Iqe~*SyJ~ltx>{N zP~Ev|(6?`eqYsOOYvz82SgS$Lylc8%6i5tAGZIb^`R5bo(wr@X7Jd*HEM!(Ft2+%_ zcKYAl?SE1zZ0&6RT4MhT4u#Xd?zZ#)b+iAI7~qd_{{CM78v?~Cl1e8ZT2k_Axs4OX z1R@;_#orkeo|)T~ZdxZm?&1)9o}tY01iP z;xW@|EBJk3(|c|BISr87$1R|N7Dxep^2>WH-DlXrmu%?MQr30cgAfeG3MWvFnPcj+ zUnbHy>Q3I;g4b8o=<-rNiMJO^XCO}?PU*Y*Yr-~KN$;5JE?LkkKeMKKP+V?$vn(OK zxy+yq)C?vtD2d^+xinYo-xNuQf8n^!PJi9N{{@We{I45m0|IaVL~$MelNcO0&fnj_ z|Bm67J=UjEh>PX9&CpKy8@YkID&!I_M!oTLkk?_}3 zZL`VYKR7G@80qh)<^KUDqcGc+$058d85`!z+*}-ZV{8{IZTR4KVgl#@IB*l(AXxe^ zmeS?5Rfc#A_68k4(5yT%6HWTvM#pp>@*?OmM-u3?4<$ndaVLbveb?I1WR)kEd7Lw4@O4z33WQ>qEED@*}qp?K>@=h<9%sab0SIBkG=!p zcb-T)f^E&D!&VW2OSWGhRaDMq9ahR zD2^f?kFj@>IFG!@r{;3PN&d#-Ltg8CG@VFydujli6FaIfTn10IIa!c6jFo^&$uGL9 zmIsqK#cz&`PnBh0ki9!qHOF|5cic?Mraq3Daxp2NZo@xAgz$E&d5 z#YTewAVn*k-D)?H&?~KJv9}9W&dx>d&xx|@5{LIbGb;vlfLGIKz|V+PhDUpZYJiRs z6MFw=y$v9v;7#Ne+M{KQ?K-GmLEjJ+g0=9=&GLX$WdlRiY1mb-N~3;$<%4`Ey9+WK zX&50eSD|p*5qJFt*`h|7FD9Whij`PkYq)0Sr}VAq;agG_uC0eT%>0~b=}l-0V3D%R zvCkx)N&?D7!{|5%vi|mA@=n;AgwEu7h)MG&qD4w#_JL8tba$Fqi^H1&Vincx{Re-> z?w7gP%;j`yNTu0iUOTn9K)=x-FzEU{T_!1YMj&Y6TMEA({OV4wXSYL#QE_5wY?R1w40=9EtnMmiGwu>5h|4pSri~ z#8+*2=W`Z#cU||p{&dNsS2zYGOWD}$(4!}3PHavLYq3`rL?8kNzuR051?cERFnh2& z$D#B8qwSl6YOSxX5IhnlknZPccTjTGywo#ROx>@(yj2IR#lXX!q1g}Q z)V}`J-R>Z4^k!544(+^-XKigS6Lf8 zKYTj-Cqh&RJq6r*WG~OJbv0#KLGLf1A-EvwFYiB>y2IuKx5s$DR#h~%u5)=3kL+9P& zMc|9*$Fjn1dGqZDKFcg3*!9xs2TxmhafbIqu|O?r4T7P1LiZbf1jF{rM)Xp$s6J-u zzS9Q<@}psCd4D}xF?)D_{Tsya_oe@4Q1-t7!*?$9KTWC_|6ATY{pTL)*T?G19pEN#$k+Q(oHP|oxt7Y%i-l2c<%>4sY{Szo= z`=4Vf#=od>|70SD|0JgR?@jctm?}>oU3xnwu#50r!ohJUa8CLY|Aj?EyW2|eD)j2# zkk#4#fvuSS$szw2*y=CZ7t>!3`Ja7!{#%FqS77xkYq|TjhQLeAOe>?RW_-x&*BK1k z7wpHge${XnKCJD39jV#=5viH}LZ0mZi$MKX#p3To%70MO|C8SJ_l5p#z+(TGXib(U z;MHP8A&7$^@GZ~=nkMwHjs-(-ot1@>CcCq-KAyF261KfcolyeyNI1!eeTm=!28UpV zx3%4S-8uJtX!qFZKKH@v^XXIb?AA*NHNeosW5mPIY??!DY)OX z_kZ*EA_e_lZ~{^gqcw{BJ$bo#GG@5`C{6k%WiSuXMU!KHvDh9KCax5*@@Qc|AKVJFhe>uOPM{ z_y`K5RVy_7<$AhXlUgB&Duh#rmCR2dXAO&`F!=Oe=P8+#qUM#8l9U!tX)$2{#{VhkkT!338WumxxE(Y< z69)2ALBSxcFloLWJ5d4?ZR`KulratBb3OK~D>*KSUg^pwC{O z#A^rAFhqPpi{7hZN8=Q2%Dx^A5AT@X;cSW~Gg;WytCPJHj#iHm9$x4Z2s@EAuH^%9i7Gdp}fCBnRSz%*81dcrM^ zLtm!e@~_a2{x3Y=$CSVv1#W+)9wY5{?E4Q{-TN=Rt#tN%uHk00cWe|i;~Jny%_$D_ zC7Mk_dn%)0D#F`yj@2hJjmMPN7QiLO_h~f3K29XkmOU2N#dugg3wh1I6_&dbrM(kQBe8Sle9mnm&1?KV}=ju7c?-ZKO5B?9y&xE_o2UDdd zUR|{a92ika!|Mf_xRZ5hB*JjuZ$7*sE~lX)W0p ze=PP}3huB}mfm)iL4qTexCtO!!O4^dm&}0CVRs?Zww%yn>6n#cE>7`4c5}}xi(lK7 z&5g-vv^N;OI$W15UYEmz>b|W9v26C0MGMY3PQRj5RI_UooARir6AM?R_(8L@O|KFP zN3cS~KU#tzXV}cF2ikQ91OOILWoXV+Em3$ayr7M-pghf4X+3ZVg)tBL$=)qdsE5{* zUwcx6Wi0ymV2#QG!c!MPEzl|jytzG)wfN7*m=EoqE#rE9++p8xRu$$hXtQX9aYON+ z8zLFg@_Mg~+43rl|90^@;d8B==x5ZxZ|AbA?vor1B@f}EreG_9E1ioWQ-r}h!Ks?SG&HtZjI?tK5-)l2%;H zSNuE(K8m@xrTg%W2tO%?-*?gV72sBmXl)V$&0W!Lt5%N3_t%R$Wey(zf+K$$`v#H;lAU^KRFSrz>eOq==UP1_9|l`J_^mpUs779XxEU< zJ4H>u#T38`dy8xc>6|m36}ASSr%XRKC43Gv1g5uB3%NvNXWtuynazf<@kQ7~b*6t^ zqDOHLIVuD}7y>W)xK$#I2xRwcCFw2H*Uc-D6a@XL75e$yi*d~}DTIgZSy^BBNi8gL zud{u3yTiuiAZ-IoE?32I?Qdfhb*}G}<(0&BOCJUJ-J!7^*viyu#R6vH60r1}*z*AQ0XcoVNqO%Z*ang}8DH+Y1eO zAi^WErxoqJBEW~rt}(Jn8&NnvSUvtn!p`<<6WTU-^&N7UXoJjiGAmS)pxtJG^?++t z{~uA``#I~Vc<+0DI_G**s5g^}=ZmLY!6$b18`Coc)i#@x`_Uw}pY0bxVeu}>q{_fr z6OnRuEFUTj^4J(3o4>TjHHBygvC1)h!Pf_L(_I7OW2vu$79Yanh0oX_xy@#@+p-zz zu#tHH+1!OKD17-xxW*I8sC|HByn#2Rd;13I_}B@BY2Qa>r}2HkFJ4h}x)$|L(_`lM zt_RPaF`7%&0AE_oMCsqh+TEa13gEzpPh$5;gvZkT6U{!nV-9|4?X9WpY0jtYQTsg9 z|9kRCMVO78t~(b+W9N zgef+7S4T`3sPAK27b5LEg`6r{S{2J}Ym@8bz7bXj+~QXE(ep=0x2DIdln>gf(cTU~ zWGjtM-p@7=R}N_Q$H?d*r5FbHB5c=ugT<&t_DzASY=^b(jWIf^jU`xh*q15LR1uUi=R8B zpJJB!h|bPot;(5|&1^%q0Q$M=iWaB+!by1PWnkS*WFGiqetgxMkkh&0$A#w&p*iVo zZmIT+L(KEB#LFkVnkQBZ2w#>*{LyOm7@uU!E%}dvjB@U}| z2o7kQWXPB^2g#=#6sv9m_PmuMZFwnNPrXAG|;%`t$hh52PnHiq!nJZBJ9 zJzg|mExRQlKm8)`^L4vxnnov33_A*5k&Sq897-~(&*JN6H4$A=$ak4t#I+5K8w4WU zVKyj4+n%(`^maw6;#7kLt~%RNF))8jbw!@(Xyqd;m!Pd)W%Ub|@m0M-v`Wm>kSC=l z=OmypmpwD6=T+q%GO6c!uhXjPMLxEbHj^T5zF_~o_)35&X0LIBh8tEK==W2qhD!x2 zKT(*$>^DiI7CMUOAocYJg$b-!w_=-82K185^LCfn=z4u`>y7Fa?@&%G_#;mS&Vaj` zSMZ-e{wiofbSKY8cOOnq$!F+>T6^hwyvq$;c+WSrqb=DyD%yu})%0bS-64HH#vP4B z4%fm}F!jA7NTcMbYF@$MTI#eB%__0UgnO(n)7Mu?Fn?FOMf6@w0i^jPC4U&WHI#dgtT!*{9+_72 zNi2P-(pfo&w9+T@6J!LqU}=l|I4#ZE$Sy*$X%;F=dwiy|!RIS;mo;Q)(%b8|H#4n9 zu&+6mNWiBP&YA4bzo~@Ovh-5?%@6KvL8E>S!>h(q6WF`e4V5)~Yk*9(z#q87Wk{JQwWPnWGv@B_vW05&bt}gQ>9rvG@bOH3PPsOy^F^f5ey0a$m%S5qB}e-^ZP;7M zl+2;OGMt=?M@MJYEBaxaInCvAbce;COHhwg>E%Yly}yC_>yNd-*pPl7P6YNccshi8OX!AV&VuQo!V$T6taEgYPlB{u=CTmqUxv~1qla-an&U699UWJRfdZOX zkn;5`_8|8o*cV~R8QqO%+5ihSS?XA1A}MEH^5{~Pa5`NQ;bjRuxu#5TdpTx6PtGY^ zHLqQrcJ^&mn}%CpR+rb?SmxV(?0Gdn|2>Z9eoAi35v%8uaYzm`^036tYIXT8R(CCE zFZ1{wXiMg_l-Fv9%AC1mbl^~BrLdJIhX77_CTN{*&|y7|&*?-H*38O#VBU8j=WeAc zgm^#1IaLN=Q#Q(3z$>~}JYJE0$!yClf# zzt?Lo;C=jLEqqv_JzIf*?VFg_lK5?{B^nj zWvC6IvBLC&j~r6BLrmdUA4Hozbj3gVEVX1t&5|_>NQuA4VEJX2(*neaK}rIeQW9c8 zZTqA40D4`Ep=NRE;K-I7=X%g5IM@01*o zirF$?9>#TkgJZFMIm*y*$B*m#Tmb9H)kWY@N>3*DjvJY<#S8u2*FD2B9ifjY00;nk z4ZM(c2mEJr#ckfW#eH!o5OXysyP%NeNXHgWIx&&xIAK@DT~l^AuCL8Ry|d4oX00i| z;HVj0MOLKU74?wkW;EKoaKD15Ed2o2r*9Envo0QWOD*4Du75B4sT8smH={ChbzJ|h z8M+;P!N4Bx`bzjyosa5^ef^mp6M*O>>lvB&1ftrKB}IfrGB4ugyGwCnpOd*ymZD?n zV=hqd(lTddYkyxS%Z{qWzB>nO(|ajh=4{gQ>|$D4&0_;gAcqSBG)Lm(r<`NlY##YD zm%%_%3i)lMs`XRxZ40ev1nAu!imXD^bA`L@C>9n|rb0Sb$Krh>*FF=fX&10zaRam( znW#93nBk{|2b^dnA~(g*sY3a3-I8eQDUieOD12u(e+m$htdsDR%-d(qDyI~E<>~T| z7~Wf<)%KQmohPv73NuQF^Wv}z-(ojXnaqJn@ZT+DEX1^rXrR||OxqVA&x|$2%H>4_ z27MJ0G>FPaX_3Uys0v_~2`byl5i38KfGJ5~N&6;CXeE!pffgp2_Z(-_6C<&$nRs*U z#!zB~f8H7$eP$QI{yf=;h~W?@^CRaPg_>U{2g(WJ0Rqz-(Prb^s71fNSNI|g4k}&G z4MyvJ>97N&8CB&>H=s);z5bW!c`)hP|q#UYbpQRrhU3D0thhw@S+*7 zbEG@xJVM7A!>-5!0e1w1AA0;0+nfEcQ_#ORB8pIRX4O(Wj7k!7IuRK5k32P@ZlOs? z-;a7;APnj|bpa;4_bU0(o-v!>9{Ig%H!?qQb(l-&EY7I9SK=$fhw<+{a~lq&AfxY2 zFn=LAUS21=mrSo-gg!Q7-X3>7-UXnOu!jg<)E;$yJ^yj7^XQ>MRW#<+f|j3K*Z#=r zoYJ{F-kr5A9jxq=t?_9YJXsVYcp}T z;i1knCrc-&!pGvK;!OXMvzLC+urg?n_>fsIb4^9ffqQ7>h!l2o`^j84Wf+~-lPRpx zqu?RV@<4){1{=f0!jwb;JRko-af~)dcQYh0Ys-4EQJT`h#?6>x&?J59iJ3@iijrb+ z#^~+2hT-?alt64D4*m(=q)aszooPQ$`ELP6mirvFc)O3$(V_WMTaTFJ0|>-CG#RHD zom)r*QfdCAA0e+H$B~Vk9V4m*)#m_TLRcwfG%fmJ%}Owd2NFiPxyCS zm|WyB?I#kb1G6oaU8@|@OE(-KT*t2=6~;j^@RVByb+-4v<|UfEtXIS@fJCjCPc4Ia z?$x^TcISKJHm*>~QktORUEM4&YaTS!a$jt`&gs!}edztnTFLFmobJ@M8KcPViS7t9 zitu%6QiHKC{q6TEi+}m!z#tBf$+mCh5Qqs)0g$5sC3(MNgTU$se__Y{@x5VmcAC;( z{Apr7gzvCftH?t+${zc2JC1pMi5yE^R%J_!=bk3|)T9b$Ae)Dt)Xv7$A%r42*iQTG zb*D0mk2E^UHVRWbgpXa7oQDqr)Z}3{oKB9uzB9m0NY8-weCC_M7S4hEEB7ceV31`6 z9Sf=y%+EjZp`0E8;9Xf+Tj`@xqEaFr!wqx0^vjiKP$MvYC~$yMiLU##DnZuvQq%o1 z*_C-S=DJKE^P##EKDck~Ck^%HF}CLg2&-((&^e)!w*cQwPi4@C262 z3&Fxw#0ZF`U#jY!dYvVXawnY3BS+47Y?!(xBTU8}?}vqip=~^1h&85(Kz1K+75>+Z zp42lRSdN--1(IaiVb9NJH+nF7(tga>Jw8_c{jGQeowuh_gXUBoPRz+Qx=W{34BZ8l z6nQt|IZf1`wXMgVFcItcqrVU}T9I_SV0{UMdE1-Hz}uh3$e%9*6#0%mm4#ILGkn)@g+# z&0g|0i`PSZRP}wHp@Ex@z-2w ziqZfu=by8ON|Gt=$N+0FMd+B$`%(U_9k#lnvrDSZ4t~%9(|Dmj@CPp_j@i{$C;%+I zJLD#eCGS8czIp9=9?1PD=8kw7ys)S13HXr5QfAlbOf9#pUI|pi|k~b zX_fNzVqsAeAzmZpvM(RUuh=PR^2^QcsFv?9fE@4=`S__J@3zLOd(&+qTZlw&{0#sKn#2Rg@moAn7sGR03FNf`Mrn&fKm@9K=Td4@ve7KT zlU8r@sGkcHZLQD7;|M0r=CF5E5nvLQOc+hWhXE$o|kpfqt=zj_Cv&$3~^k7s)2W4msapMhvgF+;vMKTUK zYGqNz@ktZUau?Bu9Sdj}Lzv5?iP?03R#{pS=U^U{r?uCt*#~?LM z-=rXzGq!EoU$oLmP(X`h8->LT+AQ8VhoYFRq|--i>&HTPs=y!H<(~iO20A28Q^Z3* z{y4mkai-L$(x>3@e~)>NV6qIgqm2#ala6xxAw233u!a=KIV{_x2$c8XSN)c8zShFv zL34d5eDQz-i10^!G69{xhVvE>>7`wYd6>^=lLCMQBE=6MSTg2rW(07(?J*PR!Rj#S z0Du~Yu+LJkA5pjR*U`b%N9QAD^wGFmy0vD1^Mg~DP8XbB(P?!}U6;8ke{WCLxJ&va zwu>N%5D%xPeUG9H6n5nejJg_)n-%?pzmv4zgv@m1&e2;M>KwUWCXXygmiZ6*t$_K$ z5a@Vh!qDKbNCZf5;kf#SpQ1<;$V9<`Wl!Kl5?a~X$DZQ6XTIfL(@iz`b8jr zY8oXbK8n;zP2{W$`MjU79`3s<)5wO9=Hs z1)StFZ<|k|C@UZJ!k{`7oEJm=lz=yrP(D)IH6HQXGHsDwPI-a0Q5?jpcb>5ZAEFD< zEqzWLCcomK`yCpf&2}WMnpuJ%Z~$6%#e)0eG02CEk>ls=xH_gcrcYsDNDa}c#>VQ^ z@h!fEpwqOl)l9B1_Xw;g)&LG`9OgLM2_BpI7s`+6U#KRci#YUsHM%p0QMMrcm1URq z0FqVJ*59F+bGwl^9;}N~FS4hkogp2KLz#9uwVv%$FDF%W55Ej-axgA8t+$ZI7QhL9 zDxvzz1ON~IXeL7j0ulVor>U98!-3s&x+hds5E29ncCagE# z(X-{E=mAY`7}>M_dQALlT$@T2Z!WhVzu{}8Zw!iFuBUI6>S{06Mm z9(w&|8w$o8^f&q99y8Z z${z|WYn!t)%kE!ku`C1&^I4}=@+UQua%#SMp8?o<0gSj-K1o9yE{}!)1Z3LdAu!;l z2c|t56Vjj5U#PVKZ!w*=GZeX-@10Aa-3YOWx!sI@&IAYo(>PTeQXoX_(4c$mX1O$N zHimU2S^!lZxtaDw&5BUM3G?%*E{+-nZ<0&$^LJ0^F?aC zDU}>vPWamw89)!?X5pTj8S9&40pu;x>muEebT+EZCoVr@4Wc=Zl-&V5A{!si^H_9n zqL%XT$lH92`Km@fcj++#P>H0QK;<}qor0TVgZ9n9f>pr-K z1f=_}*m<8er@OS8rCM=jVV&W_vJ~8%**7o%g6Otc-7AG!Nc+3U-?0rkOq~$41Ya84 zUmAE+X64MwM{35&h#5>Ny zw?INIoHGEA*h9qm>}S3*VKhTc4j7>Gi+IGjRtKYKojVz6c<7RTb7ohoNvH zE+6gOTs(9LK%f-UZ=5-WC9}@ptfekh45OZS^7zO)i@`?1^Rppr^VO&PQUcnpMgsiI zH|oj2i5Jq*4jC8(@(>Y$rYQf}Xh{lcPuPwkg31(7E`TZHVRM>hEogM;qq4PZwUi+n zIdNHI=L*#N3ZdYSmCBb$kRZ4eXj3n~^N73zLwHP|!#T0J9;{EdKG}j5kzf3^_r111EDZcR zw^Qq4ep8Vi<(8Hv>=W;a{#3FFjc-i6J>`gF^=Xtmd3lnS@crO2uMg0C+sv2kI%^vI ztq7u+R0T3_LW44OmJD1Qo49@hKjXlb*RL>n#Fm@*_D6WFnxoKTJ1*n|Ty0x&VQ%oW z*mXGZd14Geo7rg51V=0MAo*|?RV~qF0shYWv_%mo1TzY=s!`ybz`fV!eC{klfmH0N zI``OY-VaeoC@*J7D@k+OEq8HF{v+wqh@i25;oatC@uQxhv2G1GJM}3YM$W%k5O}4X zww>t}d){{cY9_aVqzLIO@%D4L-f#;ToZAE}PNdNWEX=1sNpxUP3+6n$x$Xz?oUSlj zpAsk<0rC5A02f?8IOr*wy!LIB@(sGzpZBse*4{!R<_)0_;T8Nud@lr|HTkqMe2}ou z4v{-12YYlg??JW}Y@U*jnamwmyp-k5gz+%~5PnYwT<68u@52 z3_-BRUc{ztZ)I{w6=lpq2ccV8My~ZFk7|I*UDY|w)J3rV@Q+{g5)0E7QPFGh`j*sH zq!!o@Zz{anO|%X3zMl&58uF%$m5x{s5G^QQah=Q6>|J_afE64ec{%=r6`X>VL5se~ zLfm*3%t-_n7Pkj0%@(N|N6}!fU;%}7MhndgEL64zXe9ZYhcp9q>s2o67Qweor@>1g zDr2(3O@cJddpBc}L}|av#PF`TZ}tNus}%3whF`sj|7gbAxi>4zaaP-~Eul z7zFYwCODhl^`F^K;M1zUdq7=|W8DTCK7kYrhy{hS5>W{mWnBdCrvpORX{eYZ4tVDU zV~5f^GaE34Sz0WYDr#)F{r%O}_mMtFjJjd#%aCbT`C9d!hbIlPvoxowoSeEMtX z0kkGHU(ZZMq+rCv)?YUf9cs()O*YX;u-4@iF~46jSK-L=gpEam8-*j(werxH_PWiL z)E$jwcgd(T7ePhv8$sA4Oe;}{c6cdu{T$4ygj&U-v%ozX1|h}TU3{3}(ueF4h%;Te zC+fjWfO-`K{ETq`w7%>Nn25l7~LL1md7&ejSvRB+l)j&B*ugwIO2^?v`H}goIW3 z!e_XP>dr$W`m^z0R$|d%rA`6$cW>H4zL|D0uTdOcNTZY@67;m(1di?}e~a}JJjy93 zp2}Pbl~4C^JvG*K{@4IibiG({9;g8oMw{q~Vsu-8N@7f#7!PdxL-akdVR^NI0uR?s zgyNkn=r`2)`ZkCFkfzn+@|0u%4eM<)Cb#;QbD=FJ$R!&JIrV{UTPwA{0%!#xCeUzG z6Tj@c8ntY(Y!3T2346~eAcb@a>;atL6W#U>>R?i|4@_6CJH<4Kc=42O?dj;oTe07B z04QX9>>Po{@`sb*+fbfd7iNpfh z8#9S<8}0|(-j)avkz`i}R>oId?d-^onO;03g&f-FqPC|>1Vq$Y)Vo$P0E!@1Kzt9g z@l+qx0dgw}DdFrGUCnYCG!@n*;k;|EMe9_t1$z8(@2Mu@tS^y& zso`gc4x^U;l@IK(!q5CD?jGE|{kj`+@GVjFfKGOc?xb#|NPNK(sOAj2-esebRXt&N z>7&)HUDJR|k+N8=lrrqea=`a~&{p7o3$MJ)L{nhB#15LD`BWZ@Yem}Tc%4cmI{Z@TPb*5<9hnKdGX0y)K>uuZy4B3dF zajj`I(KWr%!MZ%$HKRTMW>v21l4B-0dwZR)!=QDju4V50PWp)+7%nVXN~7QnP*1&A zztKLVP!LIfcYM{C&(_%V0f9>XqT5embJTnSOi!V{R}-i(RDDbRAxYp-G(XtwJCX_) z;jmXYC2-8u)-XEp--Ggz!D2e@x=dl*2bkXq28@|C)FS$isf_OiPmrqL{3g-!&x8z6 za&0(Py=XG>+;=j*o*g#2?D`&U)ooxVz3Se^(MzatJ^9)a3&p4Y*FVz5w|hW5o(xtV zA+OuE(CJ3T1JN^-%RqUIMY)%je0XwR8d#Oh7&YjHALX1xfGN=k)RfJFug`B^J()Mo zN!J;tK0hF=&q3kl9;I@D2-=ynYeZ|$=?o^n#~*0HEG-$?GujuNZ)dzwv|w$VZc5}Z zkEi!5q^doT#Bx24XAiSjJ9BNC_el=8S?l|P#i)&HwS}W1xaVum0lM<+O(32BLM?Wa z-J(o*o#M0*`;i#yCUA9lWA|COmAc4M#qnIf%he{eam=E+waraf*R#Wtu2R(k(xGc% zR{FEEA3FArrEEgeeb!Z~jU^G6bEs1gu`Z%>XkObFpWzjA-0En0rBKO3mtOD{P6Ymbp}dd`OHTTF@_?sO zR5)(G2OwjpQe%14mtq{IRzEI9hKgib6)!Px-xp^@x;wWx2*fxA!1W&tN%UyN#kXcY$^7OOM9Y9OW^(#3(_eH8;V4hfwcTcn%CTKyDy{wppEQG9=(4e># z7CuES6Sav`W*unM>q}grj@oR}NU?E*#{ z>~+@Fjs@->fMJZCQ%`Nr%q0susR5b3HKHaGv|C*oIn9a$2j$uIuD(KtLJcJeE@T>2 z*1sv__yDi%5f6;?Ju1Vm?SXF6#=X2^*|hq5D$gx&AWn5{5rcfPcJZK;YJ(RNvbpYK zRna%wWe3D{fU#oS+y%&L#0wtnkNu!~N7e(lHeN)jK=H#9U=T?tIcP-5OYlN)yEY%G zc6G^Y-tLhBo^)09l$5p6U>bw@sJ?ssMv`y2b+Ke;$R9?Kb(@3VV1GX=G`H6l_qggX zVk#nMTtLqa!ohR1NoSa)c6v}*qr4a@J;)?}jgb2bIZo=oyr^itWvU#JK{k1$|P(r;kjc>Z@TYts-Kev2mRKP zt{Y6|$>I?-a_&;Kh_Bx_nqeOK)I$bXQnjm*-HsIGanyz9ISyqp?b8YRWgo~}{CLg3 zn`6S$*4{Z1K73j=|1o@>)E!Avckr~o)VfrCHgjGgcnWMKntRfUi+XNf-RzV@(`FV( z$y1oG_1^BOi#h*}>NL3O>9!r@KZ_|?$PbgMwFF#s@Y+aU=O)AZ$!Pvo(!MMe3ciIo z^JCW8=V7-w0lLq4)(oLSM;nDmm2{>H>2NFxZ{)HoFDu<1;Hj#n&??O6?rGKVoZ~ry8E!$}ULAXgBX)un1Fyw2k|Mvs#<{Dz zHwMSG&7T=?=T~<3mzU$G>ny;SfEAz@Oump*^N=9j^QZ)t2}piqc*;k7;H&O@zO0E; zNfqFZSFyGywF*S)Zyq$Fz46nY?Xcu=p@8v^=pH6cA6fxVlME1ucvX{u9~*VR*dm+t z!q|rNokeBaK?)`NR0OYapnpbu?kQPrKNMm;r;pBQm>egYSKsi2_w?WCe0BlU z`{-!x-Y%;@@vT@r({nauT5`NMf?pN+JfL|2C~CU*^bTr{%~5<=lTMt?e_k#eq*vP% zT-AEa>@wWcZY0mFR6s}1u(G_PsUT>=jsVqFVW1`gwf*ApQ41T#0Ig(*_B&w5j0#DPS<3YZ4Ui5eHnB5 z{l2wX%37I1*d;g44vky|2gsr~UM(vxXZYBHEQejP_!HGU-`b1$ZgI|kN$+f%)xjTHIK+aZBU!2F?x?Fx0}Gy64$IMncX6eUKmnb{NY$Yc!QDcW zor?T)7w`T29ewi=@vbmC#>qb#;c3*13Dj}6) z9ga{+l@vOcT+HiJNac&C%4_7OqW}yBG%PAtY`(^kcDK;YhJ|PfzU=|Xawit^w8uFY0y9AG5RI* z@Mir_Dhq;lhy6GPy`^tyMTs_blaX#PD?XqL?}~CiP_X10Q_G%1WJ)X7+llSgXQxMq zrRO~xC_d5)-zWiENz8@hZZGQ7pFv>r*UdM;JbO|qVo_LIG?vcsEIHXNb6Op~g)qrBEwl-x^6(O{aDOnnryjDZd9) zUe_a>c>4!?QlfFpwe8ROv$WhGSAR5nAJ-Tqf1F1}Q6*21AI>f4@ZxvXUHa>O>JZ+# zKU|)c1*vv}RkL54dmm7hOk(%10l$)6csw4vW>3~gi^9*rQ}fV z8hQL<#MFtE!9%xzXWENX`__;?f1xpr8j1Ayc7l&*MHP}jOB^5a_rlIdDZkhitvU5g8S^#j~**xaR;b!(u! zpB`J9%$x&+5p=De&XxpuuD8gBX_xM1sW>mx0{Iu~2d((Uxu6~s59*l7PsppqE6Ii6 z=eH2z0ADA;FtuCz%i)7CV1E1^cmhUn{R(}(OlKfBr=UIbgjY(z>ip$|1{2(ytC-=T z)i7vzMz+Up?T9nXEo28We>?~Sx=Gt?DXWE%FYT2bXSFqKA<>H5sl(XVey>A5d9d5g z@`&mn?-?sa?~FuK9OWPY{s8R(;joT(rg(=$FJtECs80sp&AiBt*?f>to0qA0$CdCH z-|JRC6e?LHyL>UX-f60>Jxq7TDv_D-W_wwTrqF)TUw^)7QEX?nb=010Y>v&;4N6PRx63_D9%z0F9PlTB8J_TkWVHb@y(a5OF=A|YGou&VfchHsMXr*Jp-u(= zTIZWS&YAG&N3R8=hDh@nv9F$*yIOe1@&fW{G#szr(|v&(CiSMOrK3yAb<#z zt31sQh(nZDMnwvJnJwEzs%LsGT+ky6r))%ObdgbpcV|0am#XVZ33 ztA{>JPSE{H2G>y?p2y`7#BryIN=0{oTc*8)Bu`+J!@{KM7Lq=@l+{K^ zl?1sk#I)UH1@{fYt}*U;&=#X&Ff@34vgVD>XFbW-U`S38r@~jnPs_xV!l^DvLQD6h z(c4h=e=&(+o$cP!B>C))`p~Z4K5hZ|RW8j6!58rf#|K(wJyjq|! zvFw>*^_57@^Dwz^I8#pA8gyahwRVuSiW;;Wr3Fee*C zA}W~|o^BZCzg$FBj6S@3YD~F-1=iFZ zM7!jT@fNlzXx48Q_dAohL}j@GOHmW|m1dv$R8^jTh_>;cjy)>s$0`}@HQ@E?I3obU zA^V0R1Zki>!oyWUsBcM``#;fxniEDqowmeRBdqZMR%zZ=SQIs`U_)_1O7hj8-2+Ip z$tJk2HDfN1KXO6zj66P6UBLtv_3Y|SirkeH0@b;U2AkXTr&C#PG+6{3kF1n0piHP) zZ08x)HZEmsKG4%<6wR!WoN?el6jJ@;l?jL?*|A1rHxNx^=Cpq757I+`dolm%t$_|u z@Wq%P*c)5}B8ElocLop2hy^wlu~yghJnJqA*9bJinIwG7@TkrXxOsLAL zGXP2=Y#IGaAQ?eBZF)l0t^b1+047{hG;ZE6CjaSayn{(*AB<}*abg!9W;Yx;RPADBH;l<*s7#tCGsDX4Vlid zo>pMVoZ}}}zCr*_B=pLksUzPrOTeRG4zh;l8>m~dNxp}F-V+`j8!f)2X9WW&`b~4* zJ{{%yv|w|`Ct$!|E)-@-D{`Dwr=BvlGoAx@W4Nx&Ao4TE{C15*#!j?OjYK}D1h6t5 z^7x#!R1j{|1kD#AE&KFc0OQYYplMi$Ij~F2b&KVoLuWHEw7$_EpCzubE7>mPdpv6Y z2}C1BAF$kb!7S?7quyb;hA!8-VKjS(MQ%%n1#!RhBAwGbUn||UslxChrxcM`n46%Z z5JjPaj9B-8;fsl?9D-%nioyd(-!L#}FblfAFmAaC3EH*gc{)N0DcpgM=}d>j7%uc_JnmOYHQv#^K_5?gL_4S_R)We=1(gB6fH4s49rod3)|6bhOAOR zrwkj}IRYj%f+M2JCpC^8gf(DM6cimGFJ@4eBzS`d>`umVrRKXz7E*8Rxf?H|EI>sd zb^|!$kh=pSR&M6+4oA*-4=YVaq0ccYGFv(>V21N3iW5AtLT ziff|OXx!ODuAR4p_IzXLUj+?v^Xcv=Q!!U2tTUpEyd#-(-L=ebF#-S>n}tKXLffN5Ynx=spmu zBGck=q1dXAX|~DsLb@7Q2@N8dy*=hx+I!wPY1S`Orfz4brkfiCO=^Wp+4MvcG*mQ+ zKNa1VdpEZ9V#CpXcBm3{5XM48%8_Or#Ti}Kq}@RoStcW`OhOkU5Lu~F%f+Ff_b{W= zWd>lcfWf}^%8r}Ns<3L*PA_5tJw%x?*wz$|&hwT!;m*}?;_WN@{?-J}rl*U{Ygt$BkwCBT_ zJoR!3^T#)&Is58;3@kMtG}peKEG@&R`bctA0#~x7`6R_5>r>Ki`@JQuwBfUz6PMNM z^jk1#cB&8FiEX%ULX6Yeec-DHpM*1xk$=(#q{ept&gI9UrOS*L=Qdx{rmYs%w)8#i z_wyM1gDimsPa!~ya`Rei5~>p`6cKxINn7gS_Y8OVMGzQ~VlaB7&9DYnL%t_>ReMrG z`F{XLK)Js+?o2}u$qqTqio63dP(Kp}J+=M#;xZCJnQ^0RN$Fxk8=dw}?}HF2)4^@_ zn}Q*J^j4$0x+PA6E3=UQ+anto===g&UiQ^%?p$7AnR84co6*r*R}a@rZoYTO$g zJ}@P=W!oR~Gpc@>-ARrZE@+j3_fscIoTexHCR`T7Ww60Sm)}u?pGEzye+phQH?XI+ zMBS?;BSaH70(bax5z$F`cm~9F9@pd~vTPdb60;&Bbi}lZ-RX%yUlxS;^dNLvEvsLu z3dDO*Ve6KM4496b)icWH!VhYD7u6qPt~r$^Z9NZJX!b&DS8o%2^9!#?4nonry5Sb=sf zWKBy~hWF>-R4nfazpsi>m>r1_Di3-_$MK%SluNzPr0Mc#6XLf-zWjQpFYh?@b_*J1 zUL*G#rPoKCzFU_yiCFlWJo2Gno_wDtk8R?YzxmARYZhHh-<;qHtB=y&;WzyCT5N|b zzENAKW_s$1<*=d6TuQ*18;mT?khQs!xB+_v^?coX0Jll6KcOG6!o$-8;Mz@sayMu#HWq&Ix=*batygUGcbrDBzm0;@+Vv06dP5-4u|9q}?g3m#2R+8dSA?nuVv zV76`f9*5L^QcCE}0Lc3gZ3LtKOX&~W?2qws0KN*l2hIbc_y*;iz`dKM!HU*7|FKc9 z6T@7q#T4184}VD~JzpZG!L#juG z1wVjD+{2WB1Wbf33h3~iychXM{q2x9T;SR<{4#Ce1~e8(;sVynKEx~0Sp zi1^X|V5GU#?tsd{bvCz>T<|yoZxk|_RQl)5d;SAG_;8`{C1L|Sm;far8DP`UFTtgZ z!=RTQA8bgp7N>|h{s7$$3v1a42mx$ZiG(%BFliOZz)}moxn@BYT;sqV6DvfX0&jV` z8MdkJ1gbz(JkI6b#;)h>KXINGrTe&1N0L_U-nhEcljuA5isvrf`Uran_x`;Frb4}H z)RWvdY)!biiagwv;eyj|3u(q^VP=su_;}(q#QW9I!lsl?#McyiEYZIr3v^gH?Q>Z_ z9ovN6Y8==E*J7v*^OIGuUiBz?+f7-?NLhq*vSPUDK)5Y|aHHav(z~KZYR!4KWfw-| zL*CzBHttKBpo%nnZxtQ0pKzR2 z*HD0GW2Q*EF_AeZD#2g6@0>YnZfuK04#F%J`#9jgQ($(ls4GcB_v8kw%CUaqp`VIA z?|g!0xLE^16H2^hFc~(wcpOrvWvA(17)j<>?30oO2b6o6T+5j*K&W5EJYQy01)lDW zoBY*}T*jB54drddqI_du4mT3E&t8hRgqa?KI{?rw|$Y5$?5diCLRrA=Mq1 zchC%51h-8p*qbie)aea-LTz`*yhDo~;amOnE?e}f;!TM*3bS2RrXPs~?8jUIk~io7 zR3HCfcsqN-%vf=y;aAL2MIlVg93eA=uM>iHupN2O8Cc(pA>8#G6=HSe)HUZUK8FjD z8`ea^BO`={8|gL*VsV?wVlvYUHOXRhd}tM5G7e?DvinmP#2>~hF+Gd%R}AF^zNQy) z)TE9Gk%w<#O#v1v)@M4DEbg_oFA6|EPNKp+M3>w<{wuk%qk*pqeAZKxh;ck3#>I+y z9xku>CNW8TJyUW$&?#vQA+i%F#@@%SM}3kuFB=xrLnxeJa?lDe!MbPDOsHsJzM$Sg zV^Fd|5_#d5pYMoxDdR?BfTb~~7}GlE12vKi%hHf}le`S5waP0k|oCpY@aDD zwWg>-4>OT8Q(K~94|7-%{!PO5C%9=P0A_E#j)-+T6BV|F8_CMDn#1> zl{ke!5Iqh*jf);$CQ1eqxl}1~eN*xY*2gKHcui8$(ulOB2fe;rly6 zWG%ThehYxV94)VIRvFwJm%Us4aOckr#74PnKap(fZbm=qGkV*w9<`n^_T#3yJrg3E z03wZ;pULPYZA)f@mK%&*bE7N)Aqx%!x84#>g+^|*19D`s7*Iv>zw9AQ$!)4~oluw7 z3zv5*ULWOH4ZJXmC+h=T*z~ZJ@=3$}7bn9GcX_xiFXvRp$z7!%cw=~xpPCTnlqdGh z*2KQS4lg|3FN9m7S9j0^BP^+IG&TKjC2bR!bg&|}r})QzA?r_FF{1Wg8@SQ!85#IX zRbgv~cASMp0XsopkB}~mS~7!O`9zwQG;LA9_tWJc6J;CUdFn0BC${<`>Eqy%Y0v2@ zLd2RX@P+C8aZx`nDBH6Q=V{Y|S+8M<87Kqaqk!W~X0+>eYY{7bDOMZ-2$5NCQ5|>S z(!^G;2}kF{PJWxiHG85KRtpM|mS%A~=`e+s)kEq6^foS%sWX+c1^i z@uD1O{Q(P@>kjRc-T7ve-4pZ;t?o34m#@dSK`L zVQ(ApLl9*IvMd)J#`@)z$-T@<>}O+7y)n)kxJT2wU>L_%k(DrF21b^W5%pB*m-?6% zV8SItUHg3xk|N%)USUW*l9KN%L;x$q;PQng?F#mo>!$$L1hFJ>l(^U^eJG5#O;84S z2%0l{GP$>}cRqKyIGDlRhEAQVKmDpRf@b?4r7QpSmGVLyayWb8s#8^)Yfcx13#39~ZDMSH6R{@EMI5*_tzh0VY zKl~=#=RiJ|QJCFQqpk0~p9UTto>mV=4x;%P$ZM%uAcAQET1AB%DuKBz59^Wxs@kJ? zc;FChZQ!B&eTF2q^2vL9B;pL*#`-GY0uh%*nA)i)UPms1J6SJ+Z4;utMsS_>6OIkG zDDJb@3_xGKAf6OceW3x&AZTU^_zSia2v!3ySXGy1SJY^?gUHp}ZP67i*JSAetn)}j zu&8(-4qIdia7ZP|H5Z4!gz15)<#jH8c?pKHM0su>$rFv>lIoz&d3&5f`yo*T?q8|q zHd*-g1vC-RnMsc`sSazaKM|qo6P+<1XechZl=A@sh7X7$p$iCTlL?W*+fOzUebt(= zdJuZzRxtfjm8nwz^*P^9_q3mLd_jUE3|)oUS%_*G9-u@n*amb4f`*MB&X89YUNz@w`(Q7a}0{Gp^1>; zqB1lTrhpi}s_+&yfeh|E0JnM?+M^<7IdJDRV93Ez3Y5o9PP)L?w*!{kmSH{$iwAdu zZH(KzD;B4)DLH!+1rF(71Ij{S+D$h=??(z;-pl`7M*Px`vt&9=y=&PKQ$ePxF$k6%v9flVNVA5!OOpPcHq zik~p18a6Hvtya$QaGk)5y~nY%uPvxCm;n7=&3!ZS zw-VSM>Oq~#@9zQ7gyE@G(!=0=eL1W#? z68CWsd9tcKW@cZ^maI>IvuJ@Tb1k%Ipc}7%{ep>sCGtFPZtDXuP%XsT?pnO{)a@3f z{)?;OuQaI1UxPm}RLh_FGMwBBxvEjpQ1_5# ze4|+MuU0<|q4qz#A=GM7vlY};YRAYoVsD8>IgtT^qb{|S_|CQx;Kf0yMex88`K^5d zFB)(vHD2>H-3tW&r?+d5iz)s8CoK^*E+ujqwnZdqm1{cTn-J24p^MNisZF)%;?{{M z!sedMG9eXdaw%OIx>~nVYA6{qMK|4VGtJDI-}9Vvrl!Q&eeM4KIK9rCb2{fa&v`!g z&*%Lyfc5y@rJ{~%$F9Xlk!T=~(1TdTXadK^(l6p?U3M)GjYBgOHGf)C=u6~1_oS&i zMg}O~&ONVDne%GiJ%}I>tCz`aR)ttiYm}DDfh8P}t6uF+4kP>;N^3?J1FriP`v7z; zU$N_Kf2a|{&K+`Y5Y{$IFtN6#x_nGG1q>cKx}6oxR*rGoO#h_U9Jk_Wfgm9mZF!EJ zb&+FNgf%&t;=-C!Us-lHHuwyXXY$nT10(vg20tnv846^QBNR~dg9;)oz=pQvRuVak zlVz!HE3_3{4{)&Z&P+UYPBW`LS$8KEy`J9bjGaY%51f3(x6DroKXe0~VBLR&6HYRv!gN*g3|pr<1F z_^4qRRt?CT83W4!;Pa z+OuU(>K8(0$o1A${)MdvZ09DmZpZ?vhnW@Z_bbC5I3o`U)f-%*JLQESos!W~WEGp< znvYGZ*EyV@qo>ENjes6OStvmvQ*gE34kHaESPu#@ZDP`GUYk3@Af}byf{Qcs1R7o9 z2<;}Fy>q>y3BXr#8@GF>>B~xm>wJUJig5(m%Q;!j{k1PJ44uGNXvM5;I56m@HRv1! zisy;vOi?J1MP<-%(sz!azIeCvF)ZNB;cFeA55!V9Qdr0?FVX8zYL3%pYT z>&|vtO`j=~pB?B*k}dI8L*6om@$>{O?wvKzArZpgI|b;D#~}$3m?fnJngmE4WrYp4 zpPjTH+stf8f@^HwPh0U!Njof~v(mKHR=9F;8Rc!I)C4jY^kfr5e4rjJW1TCNSnccY ze#Lo<06e$fj`Ew5`n!=DslA!!QCOQgQn9#ijWQHpCcs^J1h|%UKXeEx_7(WiIike(=)eY624n3FqKiKofh*>`06zARyrqkeO& zBQWnDaABRHHxrm5w_(4>vt+zsLQif%RY#d;Yt45c=mfiA(P8RktTVR&&{1x!gJIIJ zDWWR@(+Qj$L9oOvOyWy_%yH2PX`*#L)$0^ER=D0HzmuAi$PWlXC}4^_jshzBSdKdc z#TtTSqyIt#RUy;aej~R$`aF8p*AVQ#b0pz_QzH5i{j{#dREVOhO~!^E zWLIdoz%QA4Wl5IfbSkEUagD1#i|_=JvhF~1i3%)Kq1FshrTB4?xZ`n0QMHui91@a zR}e4>&Sg;3u&iRowzmu~`zePlI!#YVk*Ex+7VP~iEK8UoMZzG?(-U4Hz69uy8_S{R z;C(NRx5OYtF}qO2;csbe1r^;;64Oq4ZEVkEnryxlq=U>-K;*mfT& z0@M0F>73|l+wlN=I-||7^9xGI7Iz?9#_ae*T>jA!dbvHjNA`LDyMM|1))S||(o}tm z%+P@mS|SM26~6u~DT+wyx0U0V?^|gC@q;{ZD{0cu1)>K8tQZkIqO8FFe0B=y5^%ww zVEIuG!q;ADTDRD47^iM9I64q0;S`y`hG2@btYx^T8!nBVR~RRpQZdfe!fI~fc`0&> z`{b_JNIx1D=j)q{8~0af`W|7?nnm&HZVqK0zU*dAAk|s!y^5-TeK(oZUxHvg!Aj4l zw_c$q@&^=!iudH=@A0+%F@VqKrepQA4~&?;Dwh?y&ELM=IcJc>41vj($6(B6xOW*t zg*Oa8h}B+&UhJ+0AB0BXda(Ei{_hl3IuAfsv22Zb6{cx22JrMCAeSO$?zii*pJv_Zz)0` z)V$>k8vP<2FD8hkUM|$y$CJN`cpH+R+v(6j0M6O+j26nke;B?d{nr7~v=P7_5w?|w znUX@2v3ZA7cS3N;c$Qhl)g56w&^N49*th6s=BJMxKjT}#RHScvaS7u(5*`#P*!nq& z>wk%RLlQw0>Bb`zbD0kPxfyf@Idsdjmdv!GL>~{3ir_x!LEX(ypi@8c8E)M!$SEQI zACe?{_1dnBCla`v^p7qybpjm8vDO;;@jY;u>AD>}Wx(p#?#g&ZcM;RNw$-|mi8|C9 zmt;Ty5Z}e%FL;Fdqm9_(9i@0mqHkgb*mZ%s2rPu`zT{6ovMn*k&OS7R4I2`}zGFd8 zCI7?fLq9NFE;1>Z!uo=J*heCD&l8@;!@4u4ck_+3vIBrtB}E{@^?iAzpE2m>DZ>S{ zSfU$1P*JXUV7{XBQ!0K#Iu$zo)V zB@umVVi4Ad?8=c^(Vba<$#7Ko_0xkTJ1q#wvOL6l65ul5=7#=vg-axqB{BWZU4RMy z=ctHXL|>%aN59P~w5bJ3S@tzxw^V6Krit)W*o#*#th1NV;fBGsmm3;`#Rqt#g>u91 z)_YqRHU$zmkw6cSwFW2k^Pg(CO_j!2_uOEqnI`54s+bzd-z(ru5w-{ z3WD#et`GZ3Z(bzr(3c|9!+|865#*h`@QSSTs$0@*oLUauw!WQ!g2Kqg_I1e4*-lil z|5`iC!>7s2E3pIxO?I){s180tX! zsWJrWZEVZ+*EC;f_!m(6--I5x3Q&YhA&8}sfP>oJVOEfw2U|p8nZl4y<)W+ACN#+Q z4{~yLe1fePSoO&ZF~uf|KF;6uNo@mixRz;)5SZ+s#W*-QgYzQ%}145Gp(pL@2he zlp=ZF_|oXc{V&33;=w@h8!Cl(9bJj#0qW6OXGDl1FQPmj`a+#*Wu>$(D&IL-kV!Q+ z_BrB4(WKak4}P&zv=`hI=x6G^>HG7p1PprfxL`b)S?N&c;p^BuHV{uv0`_*ZyyBUn zNBU*CwGM8-8dL731cky!&s4I zP4%@08+Qx{KaaP-20mrookqdfJ$B!E5w{tp{heSnCoi;z$d5$6ZFjS{ZU+M;_xVOY zgZZOE?nS$m`C=#dTZ+(t0pNVUKQfV5%xRlW3n^WMMHAF`)?IAT>{5Zg?6bGh_y_Hx4l^qE@^-b>|uRU-Yc}c#Ib|c z9H*SehUpdrrs|J-XX{6mhIVe%iDGTb44&_uVsz?2stdIG9mRXdl2eYS{hXuVpV7`w zuyJF!+x-8exASLKKip(cC0!UcQ2djS>-|D(KQA$->dvFW5z8J?;3>htmINeYSjHK~ zYiLV)@L(6P@4B31wCshtC=@n!jpuWIr@>Iw+P#(q9C}L`b~4sqZB*fePWne@#aoaU zYONc6m-K~|C{f5^>kPe)k`=L9z2U^Vb8> zpJ70iYU8lm-og*kt)lUb%%#W-b{im(>twDq%%Ql(4;$PLR%o85__#wOQyeHqIdn!> z<+#?GD#s=sSuJi;lIBLjj>J|OZEqJ+*g+9}+n_7DaeGtJb`v*C*=6rkIS83 zVVr+k;;fk71FJ)>dgW8?u_kxYtkzb%4i=gX5HN{r-LO~DqAPJdU1nQD2nG!6hVD=8 zcA8oBC=if2vKhm83dd)o9T%XSEoG>@FTD8UtQrzfzD%}8$v(m!ozdb$zZjpwCIYFD zdRYcjOJW80RFf#vNa3O2DZc1ODMBPs@>^MBa+uA^MA)2Fv6F1#ndyo(i6EXft(nD7 zUIi4QXcEN{nEQm6S~(xfs;|UTbbSvbn*+mgL|SNPMPgaLJpTU6zp0|p59O$kIML0? z7DL7+HW2&u0m`{W5dDmReJjp(swtmsO<1%x3XIHhO>Yt+Qr*jc90m2OUI6P!ju<`z?v^*Cg(Is>A@aZbgwG@sZDiom6%sPHm>cgJee7+&2D=SzavI2KkJ-)b1mTU#2ns1=Wj z@r#a&m-r~Q8eZjXK0cc)uY&??Q*HY84z%r0_SwmYx==HjamQYhIH|wO5ljyq?f2e@ zMPvev>PGJhK+9eb_j{MU+EsiCFvU43_)dj)1zY4j>dAQ{n+Q^2Y_s=0I$$SRF%nG-qR5w}RBr*bu7dZ+JzUFah@Th{z&=)ex;Z3#uoWKDF zvMxV0CtA$qGPcw+U9|AY?T+<-!rs$bmJf?=vdc~jm65vZ+q<6GUssloKyj^iKaNRA zF}n_f7#;(34mX904}5?*k2*#$dd}l>{Hr1sI$G=aFQ9;V zk{C;0zu*@ZM2aS0pag|+RMAzH>!n6mo7MFjd`o)vl#X?H#~^WSGEmygI(*)B3<4TM zYL_MPAHaOc#7vi&Gm~m04e93x1p;;5M3y5xU^|s6*@r)`#CvwYNP^+G=}vYgp>v^c zukBJlX0CqZBr@0CU`R`~9X@rg z20k)Ank^>B2e289&qnedh367Sku_2 zoYg7f*azS?G{N#~QY2y@N77>9IQ-Awc8cO3!B?E?C|GWX@0}q<8p@_N>Cl88=~9G^ zNp{yeN2m@F{1Gos-iUEiD}LrAxm=&~X2;yatmjPqO4dT1lGs2dzT`G6d#f7yl7IW% zlSZsm55K!n04b6$9Kgmfm;U!EWG!11Z>0!CAeVla6mnUi|Ra zKlgKLH|E*9A6-orw?%2q)*G1>bM+Hv%5Q*awbi_CvNyxm0|lL%`YA$+tYa^-E*PDO zWiV*s#uV_DOT+NtF?aDR<+wz%9ww{d!;`VfLwS-;L%MiUzNaYmH>~=iZ^D^}JT`Ae z(hKF4wDhgue`zyN&2JUtIWdX1a{GWr@uH9VXC~9|2zDC@t)_pnF@a8Jm zafCapk0A)Sjc(#NFgxt6p#t-`eUsoGoCEmP9&if4e{ZK9tuxyWudfL~-m`f#6I?%d3IO6+r7-ZEV8&gwfeJ9D@^heS1n3@MWF^JXcs zFjUgW<${gHL%ySkp^X5a`4iRv9;$31SosO91QzgvF=Cz6$ZQ0BtKVN^ zc|g0~J4av@I{GzT_zQVyy{Ea!SUvG%A9~AcFr_NET2uKLMJb}22cP3`UriyKsj>b& zE=8osSocPjd8Y9X3AYOQAsc*BE48+_85e!}?kC3J_xY+}!*U5-2tn}?x+w0CHsyf( zI|^1#r-{>5u{YqK^PY}Ba3beHU~`13fnP>ubP0LQ8NYjvZsRA?Mf65i!cuk_{jA=( z2L}S?SqN6#v=FE3RXBr*WaZ-ij5b#66UX|{C!xAnDmo4Mfbpi?Mw^?NaliV$n~)G@ znRs%m)nU5lqjIf~HutIUn)iug{G>?Y&7ABHjz-@600TB}I?$PzXT`^tJ(zmiUAQZ> z$uNK4DLvswX2;{JpFFWV12Atu0)q*+0278PEgbJ45#46!lQvb*$_=adn^Hl#_4RMQwsAA0k4e<}fXiJ-hq|K~r z&yMMI6yMeJI=c9WdyXw_bV=KC@S%0X#1b=MaZ2H)DaI?Yj>l0Ke*}i?(}94v_nVBq z&R;NPu7;(*Zpa1E?mXQ8GUP&b_|qg{gbu^F{Vqx_0By@i3monb>&uJkSO(R@7aV?b zWTX0i)Q_>zP&7-5=&b=Ce@hCc@y1m3g4Xn919c9l?GC9M@O$_F+Tbunn;C;O+&1Cd z%oE>VB1QIvBuR)%zK55XNlv;Q+jmJ%^nQ)fh}fEY1&$kr8JVsJ$JVVACBwzPoD)UaPlfD!%-?P#== z9NjKOjPt<|Z=1F20-_Id#u{EnsD7Vko#e3P!?UJC`Y}o#kHoG?cu4}inRrTe$@W{b zZ+ip{Y(LCV+?4IZeO=6MsiR36wGqwi>);mW!HZbnMfbov9cDM&UWw-bYnlmeynp5V zNtelI>^B*Kxd5Fs&ktN-F1Zd~bs-;4@LK#4oQ(DGlKfwC#i^Nqw3LxVNi4Vo1@pco zw9Xt8HfTuNrzN$kM~gd&3oJhApu)CbT%e}l>~gLWx66ie==UmO$LSpG{gxOhvUvk| z+D)|M;Wh9Cw7C`zSkc6B@zTAoa#=j{_SabD4UD_^E_Q2r3-b5uv& zu7e+kiW4nFRhI3K*~EpnG2WOvaHQ;paFnMh+eO}pesOFmLK`lFTYi3}g<$DTc4e`i z=wrZayi`NnM%X%?oh}*x8AV*+<|!!O%rjRuy)9S4Z@&SP4(a(UT$r6GO$K zN%Y2}>%j}%@lQ}F6g~x~3WUT3)A#BCig|gEemk%a_tBexWIebz6W^CBX~l8^78tz@FGqRM^kI_c0q;GywEO(@m*M=pz)v*s zt=yNTVi*1Qr3zAHx`m{jTW&Hg$N7aTut5&a&cyxbqB?fY8Nd0Db}u#)tFUvCTwW^I1Cm@gQN| zHCMo9#{DeG1&iwWgazU6#SENP3bVBGjmB&%15)ncb1&Q6V7J!dM8CCxV-9|IZc4~^ zdsNh{Jxy{gmv#AfzC8ir8@4X%2)gHHDtGsEi-H(O6l^nLBzBkY*!D3BqP{cQN-iH% zX)v!)1WhE@6xOWFOd9l9sz`M1cH_AQHZ67+>7_sP4~q9=;EQg-#PKkG&cl23Rt|bO z&Vqy?xr}cOm=9|o^}U&breq?%bKErI)GxX%L2W^4q|ru7?{va>6vocvtD^{q|;oy9zF&4McitNY7pdGa^yG`%l;# zqVLW4D;VGFC-jYcbB5mjWQ7dBd@PG4b1T?0j^^yFq}Rb_ZB!Pg7MT`D^ijFV6r5jA zYd;S|bA$z}v{gUuttcz;9b?e87fHYF`+gCX+$r0-u$yIW^hq*&+P*ebdPZdhP}$;x zS^VVqAw$eOA=r_)HZh_fg=P)(Z@aaJSmW+#DB-`)X*NKdG1BK6277{3v75@>e?xuP zPwvG4yC_SdixP!->REp0o3>MJ9qwK2hG$+*!kqqx4(v!0;oQ=6{Q>Bdqq00@=;{Q4 zoC-l^Q45g@2*J5JV`(Di=?0Ugs+Ae7=Z9AF*w=iDvIILnG%WPb=N6>dcC`^0zlVSA z&&0MC43b4t)S_1OS@E2syGt?75^?Od40iL7Knb_TS&;?lO38lotz-f++WazPTZBck zio=!_P1}S|5&M-=gp$37IRwRSUwV#&>=^`<63; zY9>CSljGKd+W(%fnh~Vxlnki__b%bkc#dt>q8Dv%AzT?7x`>#a72GGO%9V*QZR9QG zt)6{Uij0@Rloc;Mp}$QDet6qfghAtPK1mXZYcfkKi@EzLEFH>Ma_{oJ{YP~;(@dz3 z___1V(p24KQa7e-0iclmrv1*#oI(@23U<2D4!Tlu1inY~&{{w)zUr9*54SyeurG{5 zA`!=il&N&lF9FLi%JMzK}pzG^apyyI5Oafij&ycOgwrvPX)1DtgBlAVr$TJ9c&uB$M8Q zWTxbdt@iS#KV!JrZ#&Aa&_MGseUou}os^Xlms{p`m=#3!jN|_uf^iIFSEvslO74@E zfM1Y&s4hW?J)~gxk(}FPri~O9(MT_}e(}KLS}YofycOCaNovB@3gDt$w-d zwhV`Q$6sYrB+o-Dj7UV72A#k#e93K@&$>st|A=zM<1^edg&}pZAx*pM3o0vb;adV0 zj1pS5UY|A>uj;fp^N-;Bg0FdQq`}xfQB5Cx&C^&FW@)0KEe74?KUT^_c&7~*7$f$e z9Y4XAqI_?L)-}y*V%RWbZ z@j5yK0@kR+R*F_+*1+8B{gfWP3FUk4!udqn^euYRytH}vchrA=F^pQ7;H#eD$Bbi2_C~iwsMeo4C+o8MH+k6Kp%rMdz)Bl=T3MC>sPpn5 z^HtZPx?u8_^*don0Kcv5MJ!hW#;m5YQHT~6yuAjC_HZ2&41EnV1?~;+Fis}Jvsd!Y8Eyoi32CVY|3KC5YJT3LL+XKt3?Kv(8p>`#<>B`G|IM8*7Gis=(9!I zhh`+Dc)5SDcp20My@r(ZnLyB^@&;JhSxs2&TL|n@%23V4r$k_dN%(V5gL+O{3w{P!NOc2x*P!>J2 zZ6{sO$oiO!X#g|LsGQXXiN}{RZConx$>+xZ4(?dyv70?VE?ANPaUn=zdky|!V}m3M zPb_7O*yI%pbhTt=ahgjHY4*ih+P*e^`b;l1ypY|(Dozd^JjB$q!2?#d?nZPJH;yi> z2Wqv^1=vTxHCL0=7ffg`Q7ZNw_aygaPN}w%Ot2{N{WYHwSO~l9ryRlC!YE4Vu21{- zt2$4}#di8a6Sxxd9SM*~O14OaWhCg=nS_UOt^rx@fHu*unv8=6HNGAbKTwJ=gMeMf zRB5AnACz_pmVg%K$a(ATnQWfzv$um(t zCPj8|MTUs)8F}+2%P$jO3a=U3=K55`vaymnm|9GS?MWTQ>Nn&Yb z1be4P7jMJ8OTp)8IE<2-SE-O;D*tOu|1#|@FNS!E67jXM8+LEXj?|JI!q;90+A03S zNArnN#A2}cIJEVT!m8B0v^*AgCzv6M;hP!nI=y%a7iOA=%{_Q?j09|jODy| z;Q&A}Uj8#b#IfJGe^|S7;m*>aYh=CQ%UHW!^E<9|R~%6CZ-e<{-08kqIq z9)sBdboh7^P5+5=3t4FG@3E<7GQ=i^(oI2t{hO7{ttnQIm)aUBtZQu;c5~hBsfnp` zO@7pRY_vw{Y5@mmLxbi64dEJB(s>mheg;suIa|~QM2vc-6vO#DN&6fsV6?5H{8cX<|25;$NtV1dgDKDaUzZL0Hz{}s=V3Bw)gEhKjg z6yCnHU)1z-a_!jiT8L|W@D5jW8spw2o`hxCxl8_JH@tx-QcFd5i4#TY8Cb?<7vqj_ zwv9o9VC8fv@<57oJc9Y3JdCG*2Ny{Z?tn0S#27tc6}RJ&6j4U%T@TQk=~5)gT@rrH zc;+2?^Gh}n6{|pblM)>q^L`vgKYe$Bh5g5Xg_lC!OA(&IEx?I#aRJJ+qK|rwGF07c1ew?t;%5Qm4%_-0QH3+uVq%YjxVN8_xilmzRMr4VYiDq5_P4D$t*qhs4L{ z;KO6-LV@GsLdEziDRjY#n^+|e(^@@V`?kB#&mz|#pjMk@BM5(tJ$+(r7BU@%;L5`h zaL@@KZl@;{hPFV5`)^NiepiJ6kfhuPcJRTV34SN>Q%?P zQ|K;7agmxrK0JPpI*ui|p_Mgs(Wf;7+9qwrc9dXwuh5RyS4BRu*cak%xgM2WuZ@>c zL96!>Dm6Mvvdh>9!bHCoQo8|$K-XQzU1A@9Fl+}=5gRw!`vT+mZLdM#n~!2`%hZfZ z*ZfJjB`0#mz^%w{&WbN%ZhFR+FonBw`JiZaLPLSnOlJ=dK|I65_|OEj^Co2qIbcNO8$2ViH zC?Geopxo%8YOLr&=kGE~SA5y$$4mb{&5iz!fqTE@4B{zXFoTH87kzgk42VoJyOO|A zJ(Uta43@_bzamstEx;F&ZGT?gtn1zff|EHE7%_L~t#2_cheS{R38T*eEla7{K%vB{ z8}0o+ZNQ~14~z?oH6sBxKNSN^pY6~Tw1)P2WIs#Oe&+{wd&s)NCs7J=07>VsMJf&B zJxHQ5h+O#T^E%=QkC#uvLt6^OaJ(zO=xHgI&h1p$xlwaeVT2U%N#SaYHH;Tk3fD;y zh!8kNNtE!grV7X;uS*k06S4qb>uNUd4uL%zW5~NmqSIbaaF>D8Uf-W++Ut$ z@EQfs>dJG)Y1pTtGLEv640$L*wcNQivSi`Z)-{T=Ll-U6vdpJFiM$dpvDsR5wK+;S z5ZjMUyKRavMDsCEY#QHavoh=Vsmc)t(#s{69V8wPewE>;UuFfVhAt#A^PDMI39_=2%OjKl*f8$YqMs6d;2e=^G=S(-l_$z zOj0ub--fo=I;%sF$M~Q3(B=jWN#j)XjxM|M9bNQ(UACG+s3g>tic=LKPGB%ft6=hLL!^?lasg0rRBDr!iFAnf-b; z)&ir-I{qQZ$Ef@`qkB?h>Cxzp{$9qTUb;mWM(mn!{55O&v_VFktABP_6r|`48nT@L zq=^{JZ2WD*Pt3cfc_M>HyfmPK&3K8geFeD4!^W~OvB*qljqygq7%~hA*EmP0+6cC0 zNs*@s*jZC4GB7SwESgg5;H``NiZ+J4CgQ@0kL?Bi1q;^=Jg7YE>XxMzKQLGv7#5s( z!Mzg*t%88*SjH6aPR37#;m(c61Lle5it_}VpG^^9U^O0jO%wV!K6_>Ms9gznAi}?C zw%Uq^fp{{`M4Rf-hA1hbiSkqnrAXyljJpaqEVU{m&M&Z_!pCz21@r;cmAHcxX#!fl zX1#W#k_s5@Rwj6=6cLE9c*E{MYeG zfI=`dQEPPA_)D9w71G43;)4@lGhKuSP7J??w{kmLfixd@_7*;s-B1nRny+-QZvW%$ z?1ozF+(T}!JX%5{;~cK3Ddb=oda7tKnBI>udF*|8JXGKRe`RMFB5N^HX=NhTm1*}BZF9d7hN@kI+_7cz z+a1p1_s8#h)=#@WxKmQO**))6om7Of+q#HKkzu}q7XiGZWWwhrDI3R|oAr;0JoLKp z;w&cfbPnH$z~Rg%J62v(x}4~}AgC=Az4Ga8*2U%uyKbXv<1eq2wl05tZKz*BY}w5l zZ~rRIt{6X(DtK}mY-DRRYh{Yb^&1^Z5q5$GEx>81F zpwS)bX~+oGzdm)tyBjd-4du+8O1usq?A;PC*MEq)HlCg? zeg!|s4rz>Y+IL`HwV*AiV@2P|Re^%S<^)}?^S4{wC*o>*Nq z-HvThua)j8(+Ra-IO3tP^|0m318PPyJW3eb9k(u-OWh*2I}BK+MMTuBpI)hdak%D{gwuax@ zUcPp{WWci-S+?V;e_L0>M#L*k!*#K(wvi* z)@4j>t<4XAyY6YBU-G;nu6p*b@K4YB?L+r=xgCu!%lWPvmaLhGYLF4%iL0c1Za#%| zNj^R}>P7WgW4_MKL^3cL-B&Q3?^$ZYU-HsQ!Z=`uD@?9vqc+d*_i8KIo9~6xVA3SN zLh(V#w`B4_{;+91ba8&((z7B8j=%}rBlh|PMOe0tsHVvCj_2<$>_!gqZcOh+ZSPON zm%$SMtV&6K1L#zIY0298}{$4OG`QzcAkoep1ZFyZLF6&vH!txRg?| zA=omgh{}bIJ>*IE4Bgc~wYTu$a^kzP2||O7s?DjWoyew6_0`g7@v8zER)s}zr(}S~ zCtYTdb|gk!GSVK%b6j(w%si3W9Oh z+KYH{yd73b+LEhaR_Ro=R}wS){a}X{w&LvP(S42z=x5KKBpfh2all`-JWcVcpOWj& z6?45>TO41Xa>lcAA8BmJgpRi=zBE^~=}`KH4IVal`st8p)-0>>+%EG{>j>PviVs`A zik&lMa~>{EQ*p;mh!y)Osgn#ynF1qqjrIEg)u zXg@XNjZqZrj$kj-#^!Eleb~{OcItq#$oIA2zVU<_=HtUhXEm)q(i* zT{N!fi>gF$$$j@6pX+B=w@&a5!=D~&-fFVG7dFB7k+Gwsu;{W+dzUdk%+g}+{9l5b zuFqslhLZeE-Aw9M?GAhR$V%rcGvcoN>kG>;4l$FOC+;63elbv7Uw4MYn$ccxA7 ze1Ym}!S015^A)=_1pHH0)!9ZU%_RpO4gcyD3_pDFp4;;4AuU;XSW)6yiznAj>QZgi zKYhRRAvMspw%KpPa*cvS^*y3$JJ<7Ugq5fV#;iq0s6Kxy*<8E1L|H1X4ij-)I-u;z zxA=jKz!fh)NOaVrr*_u4@_}b9}6KN6A5EcYp)Mi4=R=8 zb_Ym(xe#*XAo^v5AA~QqF_mc!;Kv@0cey+mm`%R#A9r}qn;UoZ=T{GIpsoL2Bq>Ji zqT=?JFg% ztRmjtn^#|@{P~MrA<^xew;Zy3m8CQx`I(_BTSs`>IDY<3_O`4Oygk;Th$;Ke z9rv{^&+Sw&yq)>%!tC+r`uMtU#^EnZ?q8^Di8)e|&i3mOLTf&``cUWf;Zm(3y*Duh zuG81>?To}MoAq~cusG?heDXFg<02cjB$aJ>-V=~@tD(fq#qiqDNWvWUg>K=K*N(Tw zwZ>~4Qv(M=z}9t)n{Da$zX>HB_H-rK|yC)^2?v`L3OW=jw**vCd~6-V&)9%8sVvtl9VIZD@V+(14JBTF*^Cr=-C~FZ(w=w}l&d zhmX(Pp}HY0KE1S1S9{?T_9{ok?~MMHs<*7>YZEo|uOAO>CP=op%)p8?ja|aJSaCFZ z7Th&@{oR~B3BrktB+>BV+DyxB?)5yjdAYY+_HA0ZD=~13WbZSn@;%!+$5{?6$&~)A z57HM3RG?4o>KbqQ82LHVtOL2D_=!+M2jQ!+1IHhBvrum}oz7sGWBbE*rnsO>A)ohn zvi&oKvSLft{XRAKxq;oAC^24YW!pZ|L)lTYXIvIU!=6{q@8}^t`22B;{n3H55`oG= zKM*Ib=X`GWYsBsI!VB&T`>LjolSJ-zi+A2Cmd|r3vmX}l_05|De}&sMdps{Zujbn( zJ+i|&+k$>5B*gMd#!I^#1@!j7r!&`-En0(l_^x^#klnmPkUDkgL49HFy`IjlgUuuM zBB5s|Vm)b(_C60N^KmS`W8+d;vLfhZ0> z6Qt#C5Aq2WXs6J_s!x46l;Acw^={I-pxocp@SIzQ^q%LeN__1~_16{+#- zjiT&2MXPXg%^ecrVr>Cs(8gH_oGvuI{|_qWSfyN%J=Fuo~eeIHY2-Lft7^w;0N z=EZe>7O(AN#jy^fTJuakL@d*uaBr-Uv^^iBbj^#KE`x+E%6duf1e<^q$wl4X~%JW}OG}WzucB|LD@J8%8G1a`DYPTpeSkt-j zoMP!W%}M3?pDqV1f7*&V(bQv?JI$zkdHdL7561dZ{Xw2x8u-Y)F^6)Wc`Vynw0Tvr z`=^`LY45%Y8^zbRee<_?CyaEkF-;eF;T<>Tk7rHw8(&*fJiMhJukgLZ!zpfvZ9P0G zNM2=Dxp|}{B=hn2<@gs-D(8Dne2Et@I7mrX*t{p1?}hF6>QACl51THojXrjzYrWG) z(E|*!L@C~|JZImTjxS2$@kPO3(-JNkFH={2wsVqR(onkw(+{3J`nk=t5nK2)rJryyJG*d;TPd&b@!byr+qtZ-j&r|O7B-(@jX>B z`9rhvjvfcuK`RN7@5yG@Z&1vhhq8M0G6=nNR=}~z=6d#PT!ycs%8}*U`7|Vo_}qVN zjg!!6mT8D>j&(b85$(?}J|OxcTXvv?C*!@+YV|z5?*5(*J@GyDhYIdmZS*rpIzE;M zzGZ`9|LqKX)TF<}&o8aOOPB3qE2;RdM&s?W>gSIRJCx$Dh1hqyM} z`C~WxUFu3ottB9r<*K)`?KIQ_Uh!CTOFT0-S1);SOtHy1sXX;ixZbtNJOluaLtyQs|y>QW*}ch!dzCrU-oj=)5*u8JMVELi*%X1 zaUpwqJ{mJMGvrjYshx4HP0Yyd9p7wPbXQzpV&M154I#lJCQfaiLp$6XhBoEuj8)lP z*w4n5kaK2if;?HS@+&1Cc7I!AECcq@RKGiIl9v+=J9kM|cUA0FiL8L@9ztFI?6!S< zxsGM#mmlJ{ZJnc!MaLK;>aNSq6nscG7s**R@On7V{Kb(`tCFF@_RC+!tVpX;ad3yGJd!o4XIMpC9%uQ_B(mB~7?)CRD9PNT|A0eT~t5(LMp! zbJah@POX3U(S6<`s>!}Ysp+jheNapErDnmw8+~#Dr?*}@e^y-Xt=c~A>Dj12BN4_) z$%0v@9)0Ak(>uO2nP#Mh9M_pv@IM(7IxH;;(UVoSoiQ4JLke4816^ACD)TxqMkHiF z_vyv!0=J{(<1bC(Ze3fuI$o$jhId9k5OM7It2|dh+1w!><<3{)?x(V@BcB|O#-#1# zvrAlg2k&1t_rpHHy>Y-nF=g$Zg!l_r&Ut>LHn#2DGM5@%9$huvo~4@cCTBG}roNf} znT^p(rUo_rCG_;@imA>E>6~bWy9T zuFAW!qVG#`q2kO;=*xnIq-uP~Gu^2RuazfG$6mUi(3I@li~Y=ws|xtB>gGYWpivEd z%{B7RHWXd_Y9erC#^t+Veq&X}^hELC(<}JRym~HW_hl@o-$OTC7?ZO4-28lo_GvP) z`=qa+VO58&UKYFN(aejhuVQW%(^tw|fj0j5_~dM6mj149dF!IByzIoFQ*KhtBh~Yt z2F@(3IJoz+qt~1V?QlXQYtQJOH{M6zZ^ItcV~hk*Np))Y%GFmdV?R~CVri|^ouj;2 zSmQJ6c$Se$_i4dW6D9E&6IbfK%EWVP!+GLGeC%$oX7bHD#$JDP5v!xwPP5(M?5#Mm ztW1CK&9>K7_g_7qF*g`4KI?Vf_}Y-n%I2ZBGWbBh`JTMxp}nI+>mfCfl8bn!py(TO zqPs^)caC6VRo6PZ#d@gd%y`&n3hcnzs#*>^>@4~59>q=%ZTvoN$h?(6Rd)?|pPE7T zor5m_pq&_cJ8_H2R0Q9g_CwQ|eeZ|85Vo?Jmhx=o+jBiS%l4VrYD@8~8K-{pu z-rCCcg#@?TXNGN(D$BgFW>Am3oMlLyQSwCOj;{?y8^)jJ;=yl@oC(49Fi2j(*f#^# zTgR-oGE%pHqgjrn`W(OxJI5YysPryAz5Dgi3pVIF73P76K4{4L<7>A!3#k=Vx^4JW z_FPb4*7#$nHIsSunYzu5fS22JS&0L4H*U?7uB{V(uL}ue2w{#0dmr^W78dG_3*^Lt zJp6>wXcPj8;Ka0awY7DAXY2mVHZV}%?S1rc*bxL8jRtx9gpG`Ze`16PqqUK0dOAp? zrY0ytB2Z{8HBBTEsj-;!3=~$k3e?c^K$r&!?-l+}tNZ+_j#L9!ARzuR5_)*4Z%+9i zeg9eg4?c@rkb%FkHMI4RnjCB`EnQ6%P=lJL7D`i38v(F2xMKW5R?|dtY3S&o)ii*# zAYW5MS4~@+E9p-u|3KD4>Yg zJ)lI;ZV_4Y4`gi=hcp1x*3!_@)%qRzM`TUF4iH6a>ZqX>IfIV5{VgGD{eg_+3J(C0 z+PX-T-tP!NMxoWXoEH_Xr3I2d6{4e~rpp;{BtioWy%y+a30(V6@L#l%x@f?h1HKpm z;Cjek5FB`2T{YcBwz?=T9)Q+H>HQrV^(V9*QbSLNgNOzaa5REM>uBntIJ(vb1HdK6 zL0(E|X>0znD_{cL?v_aF{DG_qV6?er@qZ%!sB4Z30HRvD8o$_U>i`~qXhZi8V4x-- zJz%N{m?MEx{b3$|GrUEO0$@Gur7_geRO5{75@Ylqz}j4^0C?Jqh72N$)c=w3Pb1V* z(^%Ay7SIGIwuGzq2QKp844<|(`cEwbLkAYb)rPM2FEi0VFJ>-*Yy9bl|IYF>G=K~o zv_;e7j2CK=FbcIaikdpQYMcT14X^PZl1Kjy9Qn)2bphX>R;Y#4;;QI3_Mh(fZ>b}f zjDbTQwdCO1Xq{hf&v6lNryJ^^HGT~#2b?pyzp4MHE~yQK z=1f7qw63+31alMD)WN*6*w1h9zd7Zxbj^PUuDRIoH~3FW1mdXaESkIq7?!_V;-BvM z@4%O4r^Ts?!w_`DF_mBQE5||q#`{0@Pz@bm=D$_0rTL33m}`HE@Kb^%g=_xlpa1>Y zOcTuMKfP8%LzC-OzoGwA-_+FLy2ej+>;1C3KeP>I#lMZD=HFcNKQ+On`3lJS%SiMV zjr2F^zq#eVIph4zxR;Dk3z)^vB?LhJ`^o)3^~uHM#!qc5asI<=^mMo@vEQ8k=8*q} z^Y6)nJ5T+ZzW?wU0L`(&-_U>a$A7bM_&@6Sc{b))_0QGGKal?AjQ?z<%2|GLR;rph zD2?9>RdDX-=7NRlf#bp6>UOxp-ooG*>U}f}0hStI#j3u~`&dwTsHgWau!sdq8%~yu zx0f%@G$_iMBQzQe(9hMYtFXFR(9tl^_?R;q%~=KS16lim!f;{U2t6cc^}Dom=0I@> zfv)XBgFN?phdHa;nePN^UckXr{eX8=7-;Kc>*43^83rnG*1|rV6&VK=0g}MY(3;#; zH75l;1FglO!z}~GjMn0;qq!+yfM_kSW>`!Cqd{vep@4x#Yb~LGu>q%)Up0X~(b`KW zU^YT)FQI@51g*VNgaJ5$ZP-hmy{3 zC=Mr`-%uP*W)z#IqDpPL;M4Q*r^z{N4Q7C|^Zt3lN_(&K+8;L@w+u)A*BhY$3J2ndn2nyXFjPnHT%)KLg zJ-zo?m?G3oeZ!8~d54+>1qKHl<=8bEp}x~MAj~@y;jF$h0GOt^w`Y(Sr;*u##i%O> zfRhJ2A@CT&`Dl1R0D^M>7WwOfPLJyzzpv+_GUoBU5D))ybzNIs{+);>Tr19f7N1^y zJ?>_zb%wRwA(!1Qdv+ee)L1#2+1sl$y>5T8Nm(1U-bh<#d%lN{r$cu_&p=1}qd>`T z+uSN6!lP?4FO^?elU3$1wUVfOcJ;!7ys3O_XJ@SS508l}L>ODiUA)gd<8@zk!~NP9 z>!{SN)LBT7V8FwpvPDG}X|h~JQcGlug1&&NjILd^!*c!&JAHgMNc%WANK0o2Y_rRZ z17GZC+1vy!i;)*xAK2C259u)#^Uggdu!Eo$Yp1bivtLi&O3AClzxLVhr!}=|YM`{h z4dT#QQtuSv4Pc{rz7DUG{Eb#r1QQ^^2fw@mzMKob|Z{6Ckbr^xy(7a5L5`0p)S^kNT9{&?n zY2ht$sL&L&jZ5h`BKJv1lR)#GTd6J{n>WDijpHl$r{zKv{nx%Z9Gs@S5S|*Bd|g8J zctbpF8bu4k{o8H@3aigmZ6q|%lWD!daAS!mfh)MV^GnN+zZz_DhqLRgyE zxYqdJnK*FMpBAo#0++tOOk7hNOlZIZzP5GT-1_5 z|6#;n3bjUT1C@cBga;lyhFI*&Gyv!6Z*@!q!9fSs{M)|4_)@?r%rqoM8StM*}CopN^)pHX zf0gcqUvk;F?3Ca?Q^2o{MvXrv8qUf2zmp%F@qbM;OY&>}59QbTck*+Whrj2Scp;O2 zG5EiBQ-5!;>Twn#|DEVyb@NknAn?yM#Q#!sfc>wBp1X|xE&9K*`d>?A?O!&p$GwF4 z?*s?yxSxV+=z#I~DY}Lp5+wd-hR^NlU&#+Hr~Z%W=iGA<7Ks}zAzkUSOMqddHrycH zV?ae>AZ~e z1o|kH#$wJ7)$qnMT9a;_J1^rV&wPl|5gdN~ zg{Q0Ojr@@V zh+tazd_V)jRbLP5r$-u3*nIgvveC{HY zGfpFE0_UEMr#g+>1Th^KNEdBHfZn z7*o`WGKPUMucG)7rQF-5IKvg9biwV~GBjT34t)}$LDMo2Eoo;2&9k^;x9s}y?gUEb zNm&G??uS6q2`a8$h-g4B|G_5{v(@MlnI0-av0;tnP=emGNO;!qQ}r`ZzG1D%QsVSh zWEpHM#0k-XdlXSDT6m}fHEKN2&x9b>)ELCqYYlVkPAn$yrS-}8RwON-<2g^sW*G)$ z@h+%lRkgVFP?Xj@5h42yH&sLru}yO3x}v98!cgBTUZ}%M5n{o#+{+>t%-Hkfr=RHw zm|_3CHMzAX2`o4jJ3g$MU0dq!_F2wo1h_Eh}4Jd z#+wyG-AD=+rj8V@X@3lpZa1@{`nKJZ-DaWuQIgUA{3Ze?_Q@Doc zuT*LDL*!~}T+L9lW3%Xk_>+CdDN1`fu+Zls@=*CfYA++=K~Qbj$QZkURk)ztf=@y2 zl}USQUIJv|;bo=Z{PZ49C~wM9w&@DcyRa8I+YUH}SAp zga^|z_tx==YC0VQ4MEU!>ls$wfUH?BM8Amlu2E;N#W+m<5Istmq9Uy4M~}1EQEMf- z1-gxN*_cAMnT^qXMGQs!hz6-??Wt}iuWSs$T%<8Ww1|b_XVO>7u0{}I$VBTTl`#fH zy`5mDmJn-_ebTTyq1^3lT(>^)fMh+ikkkO{&}9igGkEC=JOnY?*U6D^eehhk@|~`@ zss~k=5J6*`lUo}IY)SYaujm3pHK-evM5B9-*FZq0AK{%5ti+P0kE}wr!i<=a{I}&% zP^w^3g~ZFvm2{3ie+Htz(j-J4`vDVNQ1AA8&Q3VqzP|FFXyV46bREY^R10mu(0S*TPU^*)J#8bnEu-PBRv4 z5cIzzS`de%YV!;jCx{0|AFUjc$l$52lE%QD)`IUG7c@XnSJfAY<5gFhZEEx`I~uAT zETz3xrH!1h27=OIq!D}z77{g&bR`Ih?>`SqHnH_c@oN?+w(7pWrNh4K-KmEcUNZc# zYg8esPu-oXA#?JwXe*o{4O4FN{G;{l-3As3ibWD|sD!u?ALN!k)`Scj_{Kua0wM^m;zbt%AzMC<5YeaL9(ff z@?ADD{}6$%fl{4VEub``^h2bIP2dHX)9y-Rsm{H9^0)b@2wOH)CX22kSalMb~F~*LKMd61_eS(-T@4jHPrsWdf zlvCGHRC)Liib{-GtD3>smB!Bk6p|+=nRh1XZ2H~QX>uKJRemSm^wrJ<A4~g@xCNp^Gwc8uKB2{*?4MFHYrR>}uU5PK z8LcqhtLIVSV`ot_x63#JFF}O!2QyX9HOv9J@sd?p9oKz18V?nx^}$8csu{=m9?;oJ z^n`<(WEHuq1Y(mR7WgvhASf4t#%u8qL|*8Uc^j8z%VuOj<0};elrS^e zJoO((s#yF=k#&$hIhMR|jjsSwF?sw$E^T6&3yL*1-A!_dDuKulWFTzJyE^VAzTmdo zt@bFY&s&m}Mt6L|?ARTak<_@+1FAw}d@h2jlEHI6j&ZqKV5&erVUL&+BaTdU5@dJ_ z5Njd2a(E!}{suL{GZIn+ z=n{0_l3^0th9k>v)txwHnLg{RhS!3!k_s+&3Kkbh%&C|c3!hhVC5uB^#?wSZl8BTs%)@QsVh zE5mXInh;0h(`#CdE+glWDE+{o|}h<&G+nm4Wul zt1Y6AFiAPlgO~5g`@*I3VXHh{2ISYlVI}GOX$NHlbN5yY5Ca76iF;`#3QATbvxcb2 zq5S-lF$oISV0UB^tDvNt^r0c2|r3rn@1XNSg`4NQbbJ7j5OA!`*m=xOc$Fn;ts7P3yDwPja5 zO0=WQ`qg?E;FA${5F2y<#;n$h%im(M`@qswl^4da&7Ewa;YU^GMez0V3kOeOpk zH}E@p*KDyJg^EOR$epTx0347UpUPi9Aq9b$A(m#jO)GvL49yR zv`r{qgdqO-ieA@slsSXE38JXEw5;c`>RfA7F<3)3cZgg449n9i^h} zjOSl4%O_cF#^i+;VA=mR*_1WeOWR|1G`csJ6))gFNFJ;a^39FKNbRl*&=iZ!5x^xp z$LNbcpZRt?LilF+DCrW0?y+_xnMuqd z*`JfhkB5RteQ*=&p=KU28L=mEdi;-TM0kbfZ}_n8ewS62&277HM(pO7wkJvV3H8WK zZFpdeUAH>^_QS0{)qNt}2;y`6_{^lp5aRqzTYtORpZBQ?UP0;$u!%6 zCRg1;tm7AU9RK?~{~2f&JH;M?rpb^fe#D71-3EOWLXwANptHzZhS}_9e(RVVhI<9F z!cy}BQqF~7H#pXzT6H75zxBe(owZ5SHDW$n2$SMb#s%})9Wl@cg29?7e9iob{qvyn z&{U^+B(uYIzA25)DkMP*vevU7o~RI%#G1s6 zAJf<3?(Ay-30vYUV8{=_M zV(G!c&KmaSXIXwHQ8{-Q)oyaZtxnb9G-wW1N9(?p5)_*}Q*!Ln=kDG0-ID|cK2;o=X%EO7YqhIc!sr7>0voStYbp+-2| zzQU*ayOJ-h);^i|hD=pm!EfeE?Z&q3>6dA8+Ox*Eox~X{>4$6$fp8wXtP(TEnM$eu_y{ z_kc#M?kg8Y9jMP`6+|hShnx$VZE7RB&dgI$Mqi#sU_z!C1(GR0WF<~?-4Dtmmm2qJ z7ZUmn;L)*VFRaNazO}LWbu)+;gChy7sV1s#wc*LQ6K0fq&;rAd&W=@Mp{oV)_s9}4 z1Mx5By^w>dgG1Sr53u^J!OvxPi>Fa#Bwe8xXs+<&gqDnsoa^WNn89)B`)Fvy6D(%w zj7p;JS#@Gta2YRuhoyLBkgF38pVlAOozTEjP~ylK-jG$7pxZlYGOqL~e%zlP+hH$~ zLu?l}vr|fR#oH$iIvT(;eO~S6amXZ(&w4^*g+F)+)0jy21;V-+;aV@$hAf!6RX0rQ zUEB#)ta1X;BCDR2E-<;(p zEeptMe0FVmst$a_sOpGH<$PwJVCc$s{kaTZ9r2Wk6ANmU?6YH#(76vUeI#9t?eYhw z@9RQr_WAXm4j=e>p?NpTnkcgoJfrRrY2I(vYdG)@E8F4Qr6=C0L&pRi+Z9lCt|Wx}bdDi|kn0J=R)IlE0wm;? zp>~xOmWHNnM?n+x$*OMsSTZ#ExnN`_AHT5AY1i0P(ldI|B?WD>!9!{M@kI^nb(W&~ zPDz58hfW9i?<=*&b-j_b2Rb#TDk@l{A)=6*$Q$zB66o^mvclJ+Z4N5fDwRIX<-M3b zO|_tpU;$(&DGGgm#GG4EvEfz-_6r${ho-L=#^$Fn`{e6=$J|)w!o}k>4iQ_!=mruA zHqS)tM|x*)LEVXi_viQtEBzjJ7%S&S(dc^=73b~7eKUDNWpzi~u00txmYzZccfG3a z4lsy>yV)E_dJr5xCDO=$hS+in6P)6W6@PY9zF3)nZ?x+6&~BlyyPk;DpHvU5Dh=^H zzqj9xrc`qzPM-}4f9SV8A?irkyNZU0lJNQ~_2|kckE%8U$W03K|_U=?VnG8y}^7hnY542t~Yp}T~u1-SH5@FH@AjY zo<%|=BlhaJ$n?psj>ulI4DpY*3>G(8Ia|djZEelp8_1k{<^SCVGPv{qa|0Qzt^0ce zSyw~vf4G6n?do4|ApgU?NgsuDevd9cX-tCnp?Epvs}axP2)nLlrM7|%La^oCcWPh+ z#L$NoR*&+Dt$P!wvQLmH+Eia0%;&?3xgRm?=gg|KgnJW4w0wLM9zS_(Z1UrNjR0k7 zaoqml4FO8+tsUT(SepkPPy#5ba?Sp z+O(_{zm!xGY2~$;Dowsxs<+;HUd`-troI%Lh{8O@vt?D~2hnK4eT7pTGf77~YO8uj8`qbf5ty*(hKCKKTrP znKLfA_aB0BuYx;w%u!tA0|j)=tJjdnTtnS6byLZ*MS=w4otGf8B#Hi8get&3v^ z7rG48OA=E2Kj~QFkAvS*t!&^o(t1&WBk&`a{ml9w6Ci=t^n*U!@x z@#!P^MAuqOe8LCOlY|zmeEaN(<>nBce(T-3)4~!6ITC5W{YGa#{+`*9HAC~+ZN(oy z6vjR{v_GpeR5UkT;21J3Nyw2MSKe|$X*R{CGuNVeSlxxN|FdXt$yDnGR1A+$G3f~( ze710JBGq1SHu_6Xy^i6bl0KP;oJu`W6W{y@TKgTCu#+9FEzc4cf9XJO9W*T6!fPfI zU3}j7VT19E-}?mlbe=(;>OC4KrJrAenfBCqsP)15vooPS$r#y#p_$w^=W5sbRJ_f!9LaX3qg#2qEC3kRZ^c!RP6TfBpIBm`$u7MYbU>) zEE^9^`3olp{3XoHV2z(^cD`tpk7iG>e#o7l#R)hE_a-RzP};@&3@FENYU|`r)g~1a zJ&n4>JvJmxDhU~WtL~FlYU$qCQkhgVd0~*~^>~$CGvBpKXHGEpm>pvFCCP|YstZ+r z5Z$pnoreas(Zv~&1r0408<;BeG)=5tIgRR{|hFOq?Dra8e7rH?2L98I1?0`C zAv?+u`G6$#;A&sNLQ?+)CByp6!(tn~P*SE6Vwh*I`dz{PrN0P#exH0KMcx5wGj5yy z_(a}&4)HXgT)3^uFv4A^-d}l$c&p{8nNOn*zs= z!!{}_uch2a__52~k}!p)csBZ;Fu^a%`2A;Aw|*2bwlY4ty-dVbb!b0kD9wTL)cyMj zg8}h?eTl@^8FELZGfNUWt1!!JPlU}>5=89F*_9+WA5obFf_tAAoCFpdf&;A$#+bL_ z{`dC@2Q|j~x2qK2foD%DTB>{$s}a5+dN3ZImzP|kHEZP?QCFv(fg}iTKAvH)ejZWI zR?a1nLS>T=_|$t)9u-~qHqFB6CXYd$X1P>vG|uV7d%RmwjZkGcgM@`0ytU@eRj3AG zB~cgUSXAo!ilsh6g8SV(-gN>gTgPaX7u9PVn>iMIR5@?K+-zgOI>f8$$Aa!Dk)L~U zJ^Dlg3mD=}5lkOZah&faF32bNIAq7 zNAIuh#D9|Hr9GXCyaq#Lh*!+wc@a=><_&}opU2lSzQbE{eP1?bmzvp#Q~6YI*UrZo zYz=xI_&GLH8WVZF-@DfiX_0#7=;nsg77eA|3Q~Wm1fIPBd}t60(h$Ig#=swzv-!^o}0yqkFn@%GN_LY2MIcawE?&^ z2=D-b#A303^_DxtX%3OdEKb`+3Lwe?i!3Gwj0xeXiXv(|ASfBn&WEbVbc!wXK9deb z+d?x~sLl~|#l*7TGf71LKIO5E5Zibxg!A^7=rQQJn*=4YXL0y1&|rW%Bm#BwKwz6V8i?>Wa$3}JjmbxK};+JIglX;8a4hBhzFm)0(pqU8C0m3O&E(>&MjXWn;AUN8yHOPaM7S1GBm^jw(A30;yM12js^Aw{*gcp7Wm%+ z%JCo$!XNzUzebw{25bsc=hz0sxuy7LEpFc54?ku7oyn#056u95@c&oG9BLd%Ice}8 z1dy2LSQL}X^Jl>*w}{ICH0IR6{-(o`3CjCfJ zE3WKqc%WI39*5qNCX<0J&Va~I;%gus;F6&3KsdyF3Ro=$uFFGXO2E^}+#D7(#ROo$ z$bf3FAnPHXn?J+82%*UpK_Kx?9q6CaFZjP0K%FseOoz<kO92I7JjS3epe}wMkXqygjvyPjfo zWr4a=i&l&OscjB{8TPN9x%II>vDlz!u?vormE7SQqeD!Pfaby0DM#D+SZE$hg^QR& z91h$l8KN`sEc^@|njc$qJ5F~@jxy)j0D!ABj^a4#0O(A}6_1A^IcW$QgvdW#VX+1` zlY0Pt0=UHnoFfR|7qPgS;EobEm;Or~GxLBDmYW9-UrZPWSI5sl14sfEOeTNHl>od) zjzIcJV<=M{qTnGKpa@Q5X&|oyn)by{OX>m#f#9q@O&&u|2cTSm9T+r^=ST#BBgnA^ zM+l0+Lo9Xfc+eMlE*c}yIVVh#xgVSZ6Nms(KyS6T4K`6fTute@s#WPRG@Akf@Sz5| z)5{+$bSSP%GLgu_ayxP4Xd7r30+tP$Pk#nlB|%UN$l++54T|vGxHH7LqX&2Z?Jp|j zX92euyQnQPrz$sqI?xc9WPlz398gv)d9eV(f*wJdV{M!sxnUk0f&47|2@6X}8pH$cV=Vj>6vUOYm@}Y5OfqQ83GAyg^Je73oeAcea}{4) zbO_3;<093lq@J~$VEizyQ1@6_l-aP;-I`N^%TE2XU6@}_u4-#)ExmW6$9cc`zubiZ zFI@cGg#qt9{CtQH#QtYb@B!?9z5Vik9$j_(=MOOIfmbvZ#YFwyfBNqqS_SWx{FGb| zy!`V^b`4#P|K*dr+^+t$0{+jls~fU?_!3`qi0yx-E$PewVCr zRCM>9+L7nPi`z?64f?hZ0b)YnH*io3RCKXuh?y$%KJq0T21kogP|J@{L1+wTb~cX z#B?#EC&GMAyOqW9j20bPurXWkU5R?6M<8ihZPu@NA-+37p?zJlOnxRSdnl~^*zj5* zS(DZs*I;B?5d`5+=XUGoBHVYY`w7ZUm^L=wRnEKJX1IdC##C>`!m6|Dvi7Wl^BtZk zENSu5ZU4rnHbM;-&_2A#(#;K+^na6s}9R5XOq!Nv&1%mrv?o!h~ZLv z-diGu&Zgp8JiFT~yV*+P>$ z^}3S*%>>5GF$SN=M*7KG^=HU;3ygOs%J;8WF5fpnr-UfHKB2>`Du;)^B7ST} zFs?|79@KqrONX1~>Lim4la4L%1I|d<8jEy6qCgUCc{VBoT-MOQ*N=L^MJ-s+d;+L^tBY{y)MlBct+xv#SWN_wjSSubqDsnAht~q)-N;Lbm+F&8YBV`NuKG9H5pqxdA za6}ZJKMRxarf5~={u7V{o;HWVXvIx~hwX6dVLQaz<6(PRUNxLnu*(vG?qST8PoksB zcgtE5ww)<=JQBSBHYCSSOYTlQeBuahHq{)Jd$c=IvY!9e^s~-%fg~A2H{1_VJ9**N zzI6f)jXa6wYYj<1P#(uJQ{xd2G7fHzP3)r6KOm9ylJ#2e(u$NB9Ys^vNA9 znXdYVdqnlEZIU0&gFYEW8PTVx>hgV>!-f4OeiTtNfk8_@p1{E1c1HBLMWYIvicdJ^9EPm5^)gFe{Z+pU)>nq60bFlq+Iw zBl~=-Ps-U8CcnzP%8=RKzxU@4n9cimzMjv=_1x>sZN&4R>>}q&6Wbk4c9Dy){j)oF zZ}2WvRTks#iX5GN%V%4yu}LDSppupWoPb%GZDXQ4D>yhd(hl%REvMGkw@fWizT4|$ zH52;Pof+4B_HBw6j8fNzt^G==g%)*mB7}L3OL>d9cCV_(^wNe*0>iz14)6!w_=~J& z)IXCC{W^`gzcu?mQISseXPQ1TW@C0d!}K$)(9eMD2tm*m_?$&yxVWcC7LA%9^y4K3 zz-qW8_$YrCUy&c9`)Lc@BfShAgdJXm5_%ohac(mT{km1`Ap0IVY(d2nrT2a`4bdg` z+fZ9sUh1QRYEIYJttBF@n%+R)jG9hCpA2A&++cB6Jh1JRq-~;dp&j&eZM)pOs`)l# z1KGZd9)7)=+1ScrIgE|odo-w3F*>Pk{(nDQkym$8%WZe3y93G}H5qcSs&ww$as!e? z{p#^qQ&bv~#J#zqC$ z8;25r`NgiJeP>d-a%2Bk%}WXbU5KN5GdK*>rtfYLkq)nhSfDsL2{$?CXfU-ZSrRMpM3bDJv8CH3u#bofqSq?((>mP)E4<=bi&R7-bnp$y!WD{GD@Gz+9cdW`65w< z$sHleMRYJ(4SwzJ520F!R-Yu!$NnOpb6@^>)48+XP;+^Rrtrs1s3c1>He7pnK*4btd& zLbEsa-S&Nh1nI3;|E?eRsh!FFgr|GD?;)1=CQ5tRT`H=C36W{X;|&d>OmQ=6m2jmy z6CbD(82g<`o-KlqRe~i?%!pyF*qBXz#2S&nLH{x2;32FmQDhRRODC(N;@b~B&!cJa z5^>sX{)pW5QPvIfUkY7HCD*jA}dEW1O-CDd3srphI8zTBra<%ewN0gM{iwY4XV# zP(OiR%92zzagQM`;ord2qsIIlwc-_*u~9+#S}ZP|g+GM98O(k;LG&;p=hp;)GPL`u zw3`jgJBLLk#&a&nL+|^M#4=C_iwuA}+hUf<6|w7vlT~DzcblfB>nqX8@MF^B6oeIc z^Zeu+5et)NmOs0(T@9^L-N|EZpEsP>PG8uhVt)D zW5|!+&=$mA(*9xpW(hak9kXU;a4V=g5B>P!>j`bFUf}BjW(TbvkS_eW6@iM%FaL;$ z5VPnSLZ|ZHQGNuWSJMdp!pmn{TJ*3FwS31Xo1ZXExGC9FZfPcQB$RD{>TTUhX1ne@ zxBf3VfPFUF#~wfx`y)0#$I_SnWZtEHTG{+4Gtcay#$;S?*iN)nZ$}VAPJG-xO1wYR z>)Ts2JF=iuBofwkOS9i;JQ3k{tGxWIIBP1auVlGr$1gwph}cIwgS6k}mX)9Ekd)fW z{$E}bF@Ivu5Ro-zpu(?f4|>E7ACf&>MUD=K<3Hp~E&St(=P)@Xajxf)mt%$f_@mXQ z<^lN|zFFwlXAV0;MMF#N30QhKBgg&oi#=NEYZ}cjsSu7Cu&X3WA@Npu;)qt)Iewvb zAv;{qOahll&s}>X_XKqY>@=%P7qX;$P?L1@lCS$y4a+Xuaj_^G9{&+Ck3mMXW{$}K zVecyK5LHqdNY8+=6LPB-N>#^Tqb_Pjx#d4zb2I&q2Z@JZB4?+L_^u-xP3{f;^>3_- zEA7$v=%!+X5BjVF>cf5p?mqHPlmO7^&MTD$uUv78$E6urexrI+bJ(s z3dS$1c!Op1L)Gd^t$LFFCDXW-g9+5%Dl{#doV2n5cafEAD2RnPs!jP;q%KE_VxT*5 zeL2JOjA&HAU?<8+xcLzC&q%rC1@3Ku`a<@%4XHrE$Z{YmcbPH9)WK`ad5`?)@Lud# zN-|}?QOSsntuY{El;Nt&?a`r6&qY4t-%x-3MIwOa=UZt~ z>u3|MRLQ42?z74;J=S=GHa6S+Sybi$u<5S?8=Y`S`D0rHKVq&=4D=Ku4q9I0hq)^< zNjr3-@G10Su=ICx>I9)2^Bm&L&^b|!YCSnms2CC@dz?@qB=+iI@;+C#GYp|OJbFJ~ zL8r(g%k1>qI6_$7Z=~lh&nvjpinjeD8@Ku^wN=|Fa%CJnfP43IXk$&PQ-O=IGdQ(ws!HWYmGI5=vGB{6kT**KPO!BTHz>fo+45F=P9yo@N_ zq-cMv!a`u1xnmRdf>jA9!tW$m8RA%?*sH4nT_^4#3@?BSC*+4~y2B+TO+;f2}{Zk zlQW@XF{gqKVub|>O9CzKt-dj~b1o2F0aoLKtk!!U*F z*YC+ZbTqyV3Gyc~T7N7x|B$uw)X~Qa7Q%V=ow^)S8lq^im+M|ySI29N_GnYU)4JvJ z)Y_IJZ*@Yio{4Fn-9Qr{l?#3Ci^U0jAHlb%9bw8u*$;M?ahAr1w2h0%;^e3F$g#v8 zwg@35)MaVD=?h8bgikmJJFs0fVdmJjEt6;(=5J#5&HAW8Mu>PNo|DgZwS9n%fY+dw zka>MU9KUi0m66*?9BY~ zBcUI$fK#$&VphTBhxDB$X6#>~(4GJ0$)rCGQtMe2d4{2bDGvE;Gs$n20$G!S|6<2E z3Ujq0xl-K%r{DpDW^_sDniZdR;JpfeVIhZ_VOCuXS<;U?PdR3K>=n`PeQ~A(XLX{H zbb9{Y*O_U3Y3~lrJJ>kYzYm>7^aCf=JQ~|4Dm<39eS9g8C!MxHu0MeMKBxh_qO+4y zi}9fsR0tLuBAXCfxTH$TI_?7yoXAU_y_EycBOfp zdzTqRv&b%n#+?bdWlmnnU0ndia+grnS_?_18Q3Z2dLv zm~p4%Oyu$fXmK)Y842eKm>31+aA4cBm`wNhUHJDKdJ+P{zMR+4T1iab(Gr9jc(`4V z*fN)YK}C4D-(KlZ81+yci)w)CE9$EgW8u;?5CD!#$Dxv~Dma|r)2$1>Vj+3E<$INY z9W*_crG6?wDy&r{j$_v{q6CatYS?NT2@hNz7wz(vQWm)xu3BdbWtt>VIaz!glGrAn zBdMJ+clIqH#o)n`cwBVq0(D6$2*U$;f^j)QH@A_V{t@@~eKT?4DgaKe+AGq#r7#AY zIC98}nB>GXF-pW$kN=ejlAR92gc^~)ZWz-ify&S#C-2SrwXVlsyLwkds)?190#SY$ zy&H0WTouB@JqL%w|%j}a>ninFxr}&KWV#(9YJ>K-f2dNfxb?0qKizBWB&4? z_Bcb4iOGhHxRrh4QR=(dt?Vj6#@0;_SAVVR-g6S0=_f*YGL7uB`qHl>qoTh{Th0iO zk*nDO58!)N6U3S&^>+@ii4BNd4k@8vA?^D;kQ5{$?f|G`uQ}J z92x(o%@F(8*E=_t?vyL*Jyw`)S(vBlLk(QI>f8UxHtu3_hsKBu^OlF!T+XUqF?TxQJegqIlKO(|ELMEPbBQ10kGHm9yWxQFuWYsXnq__9ny3WV@tRn{$ zkkMXJW;PTDIi~_FL-kCwc<)>?G?M6JR_N2j{a@EpmTr34uJ@G=HL-`fjP1?iAE^(Y z?0d`Hk@AlU<5rGOwqEs)XwtCQReU5p$yTL!yB2ousGNN)PXW=-BuYZH)juLB^v>@E ztb||XarNwDWuqps_j>Reyn^Z^W@n8AFLvG)I@MC5c72_4{+zO5Wp;aJrmuif57y?^ z%junA@YW%`xe^%h^_by}e4V*}wW(^ilulHd%cFqrD7Tr&`XQy(DyS0wD}g!w9C0yz zUp*5I49%V9Ddeml2EHqt;X_lTm%~N!5*N zj5!;h-!N^Wje@6^yZ&Zw)?F|ErxY(|0UGY(eNU z{{$r|J5KE2#aosjE*`alfVWC~O&I1O+8(SxiI73QeCZ#2_72n`rwAg~Wd?Z(A~%g( zH0347V0sW1e|4mmJ+6FE`CFx%(s6oC2Qq6=oa}?zjZ9HRhO+vSW6&`$1C_2TJN#IwBStVtn!seMe1PG!Nb#pyI+WwfFHVssA7d`wS(2jc2lOs zx(&aJeOLCcZFYt%&RN)P$RvsqPv}v2D-^^=FR){iCe~mh)wag2Up?^Zq+oVyIpOm9 zyXgoK zZaUl>?-q>hysm2MPyX}U#rhbBE~p-kq!I9pD!i+*7k*hRf# zRl(mfD|`|NG;>gDlVC(&j+77Ox9$nm`hr}N@KryVR~%y!^meu z>Gfxm7xN@fIPi1q1mjxxW^FjzY9P+zi@Ay^c~E&v7;;dlm3t-l@I&HJ;irgO$Jrui z)vZlRBlNKf6|w@5q%UQf2@473bf{+Yw$nciR8=iZafqO^7nca=dP-B(z z3rk?fbXc?LWuGkL9(3%9oY>Wt9>C>Z4do;II0v&~F%A~H=8R!WlNwnjFYq>fIRVQvI?2OM=)2hmjloK;mh zlJ|l0#aex3` zaN%Mk(*tOospK)3g!?LRS|9&3^M27~bY4>wYkR9T0N)e#(&&umhY~G0(HS2J{E94w z;@8}Fjz5LWUfuUsDBd81BHDS)4b^4&WP#^j?T;OtqqypjSeFnnyHXBCN>miJ$Q;_2 zvW5#2PRlos3di`ZSz&LL<^koS7A=CH-rbyp%h=yS7<8;9g<@Yf$? zxjmG}IK+~IkA7XBN2veJ_16GQKU{dxKIX@p%3s@ux*nZ_NUzNh#Ue9!Fjnui=g`9| zA`^7^d$WecFhD&umjC;>^t)odR zGRd3g1*&{Tt!&95{nKXXre%=B0SS?*_o&ov{8Nm76Q|CD(YVTbA+;95b)xMBy)ys@ z9mn)>OmFXFCK*FdNd>A}&ZS@6O<7l@+qaiMCd%sZce_XdrBnqx8s)(eSxxGNbLQN- zx6I)mA_!~xR@#cCP7uLc9-{vu|22SMRy(JDDHqeTBi9~6Q^GUnZ|2i+cPt+zGnaS^ z%K#lx0(++-83oU)gu;}-4`bhM0lemI;&Q2%27p)1VG@bxw~C$_WJijayC%#>;JSrx zw8zuTYqIS$dSm{yCq`sMf=EHg!b)NlT@~Jse2S(vB#2^E;*oOl<>iO6CCLmhPOW4r zo9lF2T^&}4m7R}=eIo9NWBF6cvZ8s!MkCCt#;?(1=NVqFkY_bC1ydrUkOvp%mN4Zd z(Hx_Yp_B;j9OMVCMl)7>0gs+dt-o)u?h|=~|BCO>b3~OwcreCTT5b6wP2jtOx$&R% zra%$%g&kgmsMY-K+%dZ|W|QR?S8ZDx_e@hxA6Tz#pU@j}wlASKlM+MaAwq~Oqu3)_ z+z{}f>AsPCI3#}*SN~KiDXM=whBj!UtZ5P$dTpvYx~@aClhWu8jQ6tRjQ0LCpXYBO zSvZy@`Kp>s5KsOIHpkjD_%ZHQI7TWCU0C;Vg{FW_)*X z*Jf^%iSHurv>b5`R~9yNOXSmFGX=&bs3<^ltuL6UIM#T3;-QzwUIMQ zj`Oy>-rgfHdalN+O8#6u_!YbvwF)gJ_lebV^MjUr%q(r*+(IqAf_CUIBxhnd!$0!m zLNp^ZU=&N$Al8j~zWTwoNdKw+BWe3%!YF?mGSceeKPJPcG4b@2^Pwk~bl}m_*r?0| z@)j+j_O=CVgv%kvy{X`{)Ne%l*zqQe7W_`-gUAHqbHsoizw8xmKlAv1;-6u*#Dk|v zeN&7I?D#7aL4x^;(gYPPuK;d4p1D0>HM|DEe#}|fXQBJTV#~dWZM#+YHF5YG*&R+bMA$Ft zzMclClw|#Sm~=G3)jA-RGuGYZoWRQ47qfv)8;Wq(9MCbUuTlBud!)v(C|-q{QLK z+zf|;EHKIh)Ea%0EwE2!&C?5xUc8%}o$|Q^#sKlM)2iL&v-ZVTZ|PR~-)3A*Cx$*z zVg_t-u(C>GBcq|bJDQ}nnh}p$A^uv6=Tfv$SA>m*$0jjY`(B5|Y3t9Ze<1GW#qJ*= zJ=g$=)Mgdqw zvP2Gp=J~4T-j1zF)bT3RoG01G#PG}vP}i>MK^F$^=Ypk8Y_#>dKZ91DD@*vTA|zcu z1~pwGjX7$S!TNNk_omWEzJ6@JF8bnwr^<#_mAsCiQCv-2@YV!&t>Ktjr=& zg7bd%s|Zjo3T+WqlkG09(4hHW<1o*@d5w!gz5Loht(p8o zUes~1l)%3PB?{V2$8eR}E>8{C^-F<;`uZ*Ht+C0RQq^~~vj*#nwT_1rYsm`Ls{N~J zUbgEmiySO5XJJ)1E1tqu}&++f% z0=QY4lu({|NQu6(l8r93QY%*N3OK!x?W?3W&HIiOE$QvVPU;qv_jw-fBI+>jBw1gA zY>zI(Jrt1ZHecF6n>2)PO69Af58;bJplOpWj2?CM`pY*O;37S!4UC4^#qMVJpYgS^ zqldIS8AI+73!0k=qZ=xdH?Tz;&WPenVt16O;Ytx`>kHCDy|xs8+uEA&9tKctEYZ}x<34=4a0i? zkexk$x|@bt>R{Aa*c%qdKGPaYS-e0=@X#RV(V@y(!^xmZ?neaS^0{?;FQ5E@012j5 zP|3xh4Q~zXwAIj@u);L$gUpgMuV(j&(}!{|FD=sQhFx%M%oEZc?jao$BxQBdf!Zf- zlobfoj39D7wFGN4E1oNl?6G$#3L57-gHZ&CZ7;ih9Pi=SYO0o~c%uRV<{5X?eWCN- z_dR-R^nfK2%1ZDm#{}*~*Fx-p<+iZ!;cjhEQP@fA&JxQy8se zMvz<(G>TCKoO&<9f5(pV3t>X>!k4}(jHtBG(szj<3H(MV=^?Duda=wH_`FKxF>P2ScN8b~(2dr>mIo39g>pGKk-agZ4yuN{@im3!6sZO0?SbRx1-`UWpR3iQC zCmmjwuX*=i|3Q-jXY8;bvoLe*6TU*#guYY@mhe_U;o%R07DqT`a1&yUVaIml#2ct{ zxURZ#*D1am07ALL*!o1_3z}wwAXgY;qY{#Szx!LG(`ZK!2m)1M2O_hw?fME6gm!l_ z?QC$wQ}nM|D{&5;d(+HYaufNhUH>_v#5L?BD})W)q861nVi>Akql;JkgynaD9ybg7 z1{GUWkq`ds$Ci@VcbQ*?Ozn(Gc8H7Tc}u#qZrP(3W?FG(8rB)3BR!eC#b5QxL0KgB zn)O>|kU>u}{(yP(t{MMR8)g);uZarK8%3CdiSTZ2Z2aVLRonHA7vJRqV?V0=7p3hy zM-v5>Z*yP6yf86&>N_qDO{^?4edUzDu&CqNckADKlZFAhxCZ@3ni-)GieJXQAln)e ze!D1kjeh=a+?sx;1aT4swls;?1?S4+MyHgZBwGu9Ze2%u>=8phK}In|f^RWf4{#i{ zP;ptBBpem>FU)mE!GstcA8OP@pZ@aF0d4=hN{qJaVfBiU#E;Rj?vb$@9wOgkTsh2wfzSF4GOI&z33Oe43;ny!vY;f!`F{c}>sl!)yk z%h+~-0t>9fQDXT@(~sWRx+QsBCVPaYrQ^$(5|T;C6RC&);CFuJspU49{9t-~vRVsa z`-~(;^=bNoBB?l)VvCzAF)Ip>PuR zV4Bjj@$GLb@AcIu?cv{q<*CGGB4;Fi7~je*!>;7+sZAfl>y5Dw>T$`$fBo(b3^bI_ zdJeu|J{lcU{%xhWGF}1A)WjsrZ*Q(=;oGoH{lv4Tch1X71HZW|P$vef<2Rga$Fab2 z(-)HWL=;O@>(VpITh#Y&5f8R6=NZv8QKwOU1nSf*u2N62SV6B6XL2ZElvN==&EL~< z3k~a!610WB<#N;_T0X)|Ni-y5qtNVKWM%O+hfd=)>`cXQWaXXciq=y{wX%96P2NKK zwpiCMUD~CA)4xB#dr&1^TXNM|Xi} zap?uNLyYJEKH0iVc)pyb;mWK=wBu;)Ahf-S{h*I0)8U4%Aw4B)SWH>Qv?8EZf7I*rWi01a!g$keeFsE{E*-TdN zmj&Fnu?1gBpN!%JtXU)WQszbE4}WwkJjnIeI&-hTe#@E5uNeb!FJpUQaAGa|cymMM zZ0n(6UMSQQAX5O?SrdQ^dKe# zJacND^a#h;zhGLLhhaW+oVA@hhV932=_aJTZRo4e4zADUaTb}4)T66TbemtyMCr8? z^JgSb!p)zC=d|%lBu(@Q6+SPW6v=_xk5v}zWAtx%1I4M}IH|p&m<=ueVT?%yG>zTm z{y}~^BLPdq>k4-|a>HR=CD>MefX8g0_Ni5j`9By^1c>af{M23@u-r#BfU>u+$sR;Y z*S})D<#Urkmm`zx*R)liHzz-SvCOcjXa+^= z6$eU|E9pE6LPz7kDl|+f$vuQ(of@ZHh<`ZUSd7(do zx0+`F*^1brQF;oA??-!j?s@yC18XJ)Nd2IV!Ll(TJxwn->6ihM!X(~g`}`jV5%7gS zU4Mn~zR|kXvnI z2flUxlcqHf{>s(n&WCnTx|$7QQ+zsY(!06!JMif0V%yA$`@Hy}!EIGSy(l3@?j|J0ZC!m2Q;4r@q8U!O1oFt_x)4Nk?NjG% z8|HFS1)To9O$8HZGZ?kdJYeuPB=jWt34zXJZEDLS7WB0h!TSxcAhj^6EC}urZ;Xe`PSg$Sz zlCZX=$7da|esk<@79GB;>S#Y`Z-}$SG)J5`nJ+xHFzRFQe;-oY)}&`AW&?*0qtgt- z1zbLOUwbS!0YwovmPgtO&NEqm5HVn9vaNeLK0*8(`tXY$W_bV1n3A{TjK6i<`+rZm zu+MTE&kYBL$<@ZNneooYbTi~K@%ReQT?_2O1qZfC1rS-)cOT27Em1aA3Pbt++{Cv% zVC?D>XmbIj&L=}!FWLTyCcO4!XpZ47ThQoOWqpKv{>aTHpEX#wcXqGWvDE~^&0nSC zpB*>3CO-LLTETWMJp5!uOm<^?E1>G6!(%Z;q5gKk89WTaizb@085fX`a+5rGzLhD9 z7-TAi9k6X0q2rt7i9U42A57<2DU{fw8?qz7&M(eU4}~YP zTQB*cAODGtLR~=CX!w=7`Wyvur;HToo$qfh+)hoz-A|j?mM^`WD%$YIl3{pX^9#eY zr_=tkTNMN0Mc?(G!5`<6mE1H-l|0V4whWl)G8<85{Lq=(g}@OFBhMajVz!jtc_{Bv zCw25H+zndsoZA&bbV6FpZsE8r5a=_X-If?UVEl1hG7Y-XSq~}MKst&g1AdxNs#>Pi(b);F>E|7hQvtpWvNB7c z_Jw^1XGyN1RwNsd{C!5-WXWU<^nLD${8%;Ce(YTHYi5jnSB$z=ciyX8a$vB1Q>5fc z8d84<$Yn^Ebn!Lli2K-g>&th<5M1||nEr&(kFwuK8y7HR)vuCV7iyyDSdJ=keF%K> z(^=OOUOx)5g4l69X)faxp`%4l%|(mcdkHr8@ltw^rT)Zk1V=kznO=GiK`q`&Q=i`r zySvKeP-dy)b;@EgDgcVG7N9U3DM$b)QIsEQ@$Z)mHsqBdWA*7B1?j}9eWQwPyx#L)stZ|)F(gEe z{iok(E18L5|L^DbRV1BPes9wLw|&Rt<=P}5Hlg7OM!w&EdVo87%tB1I$8g1S4m11b z+trHOm|Vd(M(U9Ei=CUNFPzcOcWcnb8LLW)u2|fXNoyMR&mfMQzngRoVs2#62Qi=x zX46k-R5*yufFJxYhwp4VaHo^-lQ-0^q2Sb1Pin!+UU+96I^w0rzw;0Lzp=atTkAH_ z@xr>Ywz=g}3NhV0Zu1MbYv*C+OpkCfWhJ8y8)lCQpFwPigyqf5?s|N&V-26d-nkJ| zf~Y3LuR`;0sWSEUs(ooC=ceX&E^maY4dlL4ucxULQZMs%Ltet9KsY2y9=&4C#Od&*Y> zIcZucW4HVQC6P5Ue!h0rP-{Us@NNCpHTNu&e>Czc+5>f*wcydcnb*A3@vN$srlo5f*y!)(YDn)x$yT7;JW0m3Wjq>B8x&BPBs$SYafH zqssMPwI<5QD2r9i`sM}(noMD`694Uqa1z-%E4D%WQt8;t9l^E#%-C12Z~%-*cQ>;3 zo4Y`qb$Y2!4f`inkgDt|kltSyRNZy(m{T>jZ5WFW*%C!W0J`9;1n+31v(_BoXzR z+ZU0Y1$-UCRnDC@?bBYPZyhh|H0!l{a}85r47>n?l&|UF>LPj-*SpCy(T@LyMe5$9 zU93u6&`+n1Kv!l9>ezW)E!k7CMQD)`=p*!D9_!r$1`Twfz`^g4#~_h9(J{QZaD2Hh z{u_TMwqHN7QZfB&{a=jnKC8ut8f6J8_`4x3 zvBGVRGyaz8lH{O4%vV z1*k8-*4>uak+UC^EmiM^u$EK#DuO(}rfFv-&~a}4t-M=7hs_9=)HXOR%rp}Zi)vh{ z#o0{KiG^33a8WcWx11WPY8YdGlYRV3Zf=k)nJistdWdMueKKvdvlsO;j_ta8NmSuNF9l#_CKXn}O}qOLTV{7;T68moTAb2< zoom(2I${%3*eU&!x@ufTp{lwOotz5l)sHrvJ0(yks__whoh#Vf)=S8M1YBi4L)haV z8AvBqDqz1MJN?N}RLe}=t+U9xc@~=rQu@f(w}QmX_g(!`SCXl_c8?$h`R=~*UN2E> zEGQ_3tk7ix6rhi(cSmS=7NMmaTKHVBhUq+~)XgOpLkfjf!P|@gfYZyo|`(15OTOKVVRJ(2bNvkjxt^7v#{N!qA z@9z*YlT4#}3Y3bP^Em}@Lidfs*89^!QgLg_d$A^}ahX zX%vC~$K4d9@f?L(U3-SUT<_k$y7w2;{q{v6fcq;(e;P!>n_6=cSi0^7S+GeoHD0=s z!wkww-v~smj$xJ5!ca_LiJ0|dVOkB+>1PEjPmAKa+5e+CVEFHnS&GQ~+R=Y>7E-VQ z3%%bh&doXq55@NtG%1cNGD-o3nBt$X!XleH4hq?W*4hC3Ey3zvk3$zWD34x$CqGEi z(}v7HUSi}oDJa6@a!%J~Z2zIN5R}8>%=}*t%7JrHywr*^c$mRML)DOAwvWNf@PNef zdbn?rKDIAoK|-q#Fs(JTjC^94Nzvey#u?Adz-YBwlePwou*1hiJ#@2uB%8q;w=W|r zcc4D@Dk<2#<%_6P0iykYq;WoBRDaD|exl)67Wch$8SV3}(Ir2t12!g0EOD=IdJcu* z>eoXe?xO;zfG|W1Fq#{Mnc~bXqt7H$!Fb+W+Hy#iG9@&|ChjbC&i+Q+W-?DMFZ)s3 zrZy%cWu^^BmwNiPtO8>Lz}m2zL+SuKlZf)BcSzgY?8msA0baDgZ;q(4gm~lFUGcQA z$9Y1wA~!u`rZGG;xhecHSYU>{^h|*%VKClvM}7PRuKqrZIfe>^RZQA+l}>%+N)tCC z{v|~oWa@jmz_88Qsc0hC@a#Z89kMjbI1y z^qK-%`lekz*INix?<9b%zgJeeUh9v%9EaKl!G6Z9tq$9jPM=QF)bg$cQM??JhZ=nJ zz6#IsaX}~UKx*asMV)5-CBga3j3GLyr4X7reCXBDn;)k2e{}BmcmtZ`pqV^16}XS* z+Hi8!T!)ua z#n3wBkp+~V!??dE6E=0B{oF~VE!Nbd3eJSNMw6?FHTxfE5fIP#4H-?+e=;($5HHA# zNm-Lp#O=%f!kq1K45Ph>ec{cEE#H~#lX{;}hekT@E+3*Pr$!~b7v2%V1^H$EGf;*& zrd-X=SOE7Pq}+VS^frvI&ejAL7iIu?#9XL0y_J`oxJH%KOlp8okenQ_+5aqzdW|R? z8J2527|c;f6&)*#8fme#{BtjUNl%fjB!!vknnLw%Mbtkr!t;QkgTi3;iFBOR-}R5k zJ&T-g9>bt>p)|E@6EipH_p78oU70@dUkTg;t-RF*B=}F!moVz$&W;IV73tvrtbq>8 z30yhfNcs0?siKCXk(Kc)CesBQFoCOXip?I(q>nZ(Z8!T{M*#*7Ml~V7b(=FTGLG}P?S-iq4%u8 z{(nptjfUgoZzIP7yGVz|Dto)gdkTagj;jw$>Xzb*eS_G8Srp|$Y-Xa$us zo0k=BLX9k-FKJiCZjaQZ73LEG7_a@BEAS+=UvZ$|S}Kr)E@r&m=uWbA@Lb*^9||`t z7=GN*tdISOk}OTb8HjQ+RQQ5zFw#nQ9F%tq@q5uiNlNZ zlf&WdXy2LYIBXfcoXVG-#`99!XYyr$Ahl};Wdwc!!h5VNrGZ9S4T)Hh|4ONh)#M?z zXu3uBGktbyk_f9}@fY9^*z9$H+ZM=ArUHf2xaXo?(AwF7 z&M_Ca%9_$9%ro&sFYJgxdAwLg?}6{Zv7s=mLhJT}l=nG=9}&H~{WQZY=wY6y3**R(l^B4j;H%{uR2<8h8##H8Zq_y!V{FAiYDwOonIW!*ft6*h`8& zFmF$;fG!UaMl?9r!^igjG}Ky6l7stg(&dMwSru&Yg9OGo^8J65Eis6Qero{?VYPrq z0t+2+Z_L%{T;l2Z{v#tx3u+y~z_MiJ(7v)$x6%xISu7VXHB*4LaaY~8Z?LX3)`KCx ztE%LDFa;c@oS9Y=e{zUu`9f^?Ve%B=4J3Bd=OfwSA3#|0lef4pw+h6Nx8v5{+U-6^ zxAb!JF+n_QSz)yfH2JUX39YXml{~?SKaG39kgj+iTFImaRiO3M#jYaX)ucO2G1`DI zLpQ{WaZSBxxN4i_s`xYU>349CRZY5xj0&c~%TTB!db{LN2B_9=CftXF(RW?V5OZKN z3C~`qUf5tdtINE;u4y^34Bn4=qk?^4&Vk`-yE@&$_zdD`nQ>mf`@MM#g_AE2K6Sg; z{=`f9hs^y$Z$_Ebd(e@k&!TvGaQbjzsNutnTK+>rplO3d%oj)ecQp{!2RNdH6?4K? zW-RCt$N!~lm5r8KfLXTKDpkiiTD6?e^tVG0dnDUWK_=T@|CWSGU6j5p8<*!iqi#P z^x>`pJSJ+#*xL9Xo^fP|k~b|ITV?8wa(Q#v4E+gnKt2?T47M*3fM$=qkGYu#f2#W@ zq7Q8&D@ny1GzcblkHq$mWIhkcU?j!j( z5wW>J1Gxc9lH@;E;UCz@v}V8G-L7=TW=;S3$v_1^*a0S}BKLlK@NUxH)eZKcZc7P@ z{}{qOb#bt>b|vMA|CF*rLWeL=DG!K-=o9xB8Wd9Ea1^Z+BF#8GoH$c7>Pq!GA8~(r7ugzU!ul;0SKh4KJKs?k*eO)M4Y4HAHbSH~ zinu&>Fh#kQS@G{QDH7!t9H@McsP%N{NK`!PHx?!8A83q%heo`38QnNLP8k<`4$TPB zL!B|spE+M=jcwM;ehpTOJGQ z!SJI&zCp@NQ-SYDbuO4c^6vBes$P_Bweo^Edp~yc$AYx>+q-%XO0;Qm0}b2G6$}Aa zvzL#9J5q=c+;YQ5=e_ZinT#){rr%>6>Y;B@37`VtcnK6-EkgA^K?Ku6`*X8v%Y|)B zdLl{AIUxAcpAtAr@^W}hw^Lylya@OewR&23VCQzw&Td(jbd2uCYGNs8&M%n}|K37& z1_UsU4|RNScTJTdfVYxBDBJXE2{V%?RNeS+QlE0gT8Z|u+j-;CxG)BUSG*;R&K<${ z$oh$SF-RJ`Nx#j_W`|Mw$$R2*SY7btxEiQ6;kZjp&hq zt6J!yQ>^TfHmQvFl@F|JF$854zAh$$AbR8Z}Q5_!RQf2F(Kin&K>C4_;#d%Uv``bzHw!(YN~h zrNFo#s-+RkULV|a=21-0tGks$bv8cxh+L{(DK*=IL*T*PTl%ScC5$Onl_%T`(UPs< zKeea3Bu#vZ=1C-JVSA-4YYNnLx@uWS(|+%mGL2h(#Z z+w%qL=YH$<8RiFix9Ve zWX?ViP>PmpjDmgH;S0I4Sd|^zr^wTS~NeR0BY`yC!Pj?n)`oomhx&vYV5#i~|T}t{_g(DBjo>>K! zajd}6*Qt%l0Vnb5yuj1r2M`WzPa4;eeWU5C&C7a+v;a6sj#>LO8{V!KkQ-bNENCou z0&FMHh1_*KxfY`AIIXD8OR`z43(vQMM)9$4UiLdQDfQz|8tuKJ%dB=q@3be9<@=A< z*A72ypdmI++8KY)Am&e^zb9>*{pDrLy<+A`e0VgNobLy$7GUcZ=SIP3)rFap%iwdQ zG5ry_bAj5c4p2JWUksz=zkG)qe>uMj&&oAYIGJ{NpH}4Bc)D8yZ}m%5kAr`r z3rYv;Q6exPo9Y{BDqxW_$5xKnd{cE@YR5cQc}We~xbY_xrm!9(33VsczI+biD01vy z(_}dym7aqS1$S^b8XWxg#PZDf_<3D3gCKUsAzD+>@=&D^J4`BqIl}UnB(SF3^Hq)p zN7PeYadSuGMaeEKwrh~+r|SNzE9B$s zBo;Xd+}Fd{1-A!@wEAB!eM?N{AK}w^Ra- zT^lla@KJ>oFS60(%|#N=8XjFkTR74*!O|HTiH-8Sh7LbIiAUgC%d0)L!k7_#foJUfc;0s1|N`~82c>$Mbp=8nl}I!jH!>oN$me+hfyU9Pk>ywl!U9? zZJ=t0-TMEuy?Z>mDP7k^bfQA6BtqzboEDpHSBlkj zpj0T45Xz~-+D3L==}I{*$_$r6Y|3HG_Wr&4+u2s*sq2n#{P3GzMSpErh)ev?PBF;?k_8v41Z?Z> zH~qc;Uz-^@)puuf%a*Cara|JldWWRCHZvxH0e6s(JlqM zmsFR|P}eZL7C#%UxX`2@1!3{WO{#Ap;Y7eC(^cUox0`BM`ls>(?iQsJ${bCO39MIR zbU)5_bUWREnG9?KbQWmh(jmAd`76xQb2Mh!V*x7-lky>s2dc%7=%OYqy7;HR< zC&)YhKQLGzZ~Xs+LD@U}|H{JpzN`N=3~C2Bxc_^QYe}q%`j1amJn-?O08xiDs{Io7 zb!^SU99uWALnYjxJqq38aat$b_S(Akc$i_DpX}PYaG8gvP>VZ(xOCqQi^atv-0rErRKzy95}OK*8Z2*SCmvlX+^l0e4AY z5tk=Q0V0{@Cx>lmlhRe>Z`y9)kExZH;Wmmb3R*lLj-yJL zpE1+n?!leqEISXG9E2L3-SEYE!r?Gkq@9Ko82uTf)STl&A?PWGSIj!Djfrq&^h@aK zQd1+06R6J@I0h0cfp%ws@PMdnEoK*d%StcFm=Yd1h$UdhvHr7TVN`1%z#W>{@6glX zu>nXY8kh5_ZdMtprr~Z3&y&gmPQzGyvKeudW@rxi;XD-w%H&#i03iLjv8)9|9qh5T z;s?;;h@m`be?mcycum(hpb_;99*27-3~1-(AR9 zNr}0LLPm0fUyH|@cxFslyJxqa!gre_t}$iRO%DY%EmvyC!J`X5jkWK!ms zrQJT|$hJIWfs<%LPn6aXI1GN(*g_;Q%3dP1t5Ked)u}5guDwfCQK(xC*TT0YjY2gU z4)eh#Ssh9g4WeS+1-3lI4Zq-taJ?zB>mHC+m7d)}W$PmVok~!^dPf`;;UYKhJb#+> z*`q~T9}2zxfctFzR)b1a|CEEEYw=A{NgR!BhS&HwN0ns_0&USxeKlc;SK*k2(LNhu z+UcKLh*PMErG2as~BKs-z){aI|tmUzYj;cIe`KdaJ1{ z{$mfRjwdKpcFDis)!)~>xqom2LM<8zzlEv42z-Erw8U0u} zLdJz)X)+>B5J9t?F!G7~v3<8jtSK31B!+QpX^UdkQxjhz{{B8#)i(L}%`nxT`uP6z ztp`a*b+f37^lN43+`e6$BmbiFUna@owZPr6mn4XK?6u9Y_spF9*(?W&5%r7Iqq!fs z<;BDE#y+~O!e8T`C{InMA0`Rol;Ca&6=v$7Vc&a8r5oS7j*X20kN0nPu8om)9A0SWdbh^7X17-Ua9OfszvOT6W9>~ z1T)2-KXol0UAu#te`9bnY(Ju&->!>1m-R)MxdN+ZeDxHy%iBxct2iRLUxKin3Uvf! ziXPQios|snB^SP0rWz;Kl`GBNAijhKF;W~2@@0QP5+~DcZT#JTvTwE7>^J(M_rr_L ztGEJP%rq+PIWu8xm#V2nvA2AEMi8F|+h)j)fhTkPl4>=F5CxmEaNJGVZD&@KD%;Wb zoL=7YT|j*9KI*Y0Yt4FX%V<$H^5VK5KDKXw}rDVYm@t|M1m1}LX4RI&dCFuxe zfb<||S#%+6a|(-N1dQ;%rM@;WfaT*oO zLb*u>5-l>$N#YOR2fx%^bWyGDUD`1$nD?dOYES|Li_+BEMlgQ-L4jq_(Ys-VKfRtx zNs!XO1ectnwH_|iH-KM?ir6Nl&D0_*obN;%zi}J6*pb~pM&#Wl`u84NezW1@a>iG! zw3LkUS`=qg2)EM6?N30B$fNsiv6$B+{ie(gJHtugyB-oERl{8W3hRLhYqz` zosb=ZaDxXjxs?b{JD{|PQlUK9z7{kO#`#wjjjPhkK`6aNHyXO5Nt+rfa(!~ihb~RY zRy9ZJxYV&Mn|#y^N$=}!3q8>4?F$Ro|2}=x$o1NEbi&MeJ{~3K0pSl_V8um{{{BGl zWq5A=wEDoGjBF>nOgJW7Im>kU&zN@ZP9KBI%VFkE)4eGgJ^fIxA{ZD33MPJCxswL1 zVb*-wYaWZ=?c`1bEkh&3W8s*|AKMCHE6h$w{zx%o^ycemYe)!LN&ZEugv)f-7_pl5RFdRqjP*0Bm6bN341X9W-v)wMssWTCokB!t7 zHP!A2iekt(QI5sEkWLs{Q6cE!=pW(5PDkn?vAc@2Frbw9Dm$6`=z3YX$|Qf1w=B=O z?6p1_;nFhu2>T0aY&NMS9Y<0%+lfK6b0nIoy6^&3daTo$d9f&4bF;L&b1LWwC9c-n z&7A!FIf;*z1(vIH$;S1I5t$z7|6W{aK?&^hK()m*8^C2AEz5DW8g4YttPXFl=%EPv zNl)qNv)ayJT-YjbtibgU7ztJ>nWTR`rF}1a^{60K$TlScsU|rZT$66Wr2k1N4{;BB zW+9SE1~$|dMSe7nL^@*}o!+SC_J8YGO|7VXG*w};po=UDOCFvZ_b0smQ@x~EE|+rz zRy)*Ag)w_29gfF)X?5*&OAA=2%h+c^V@EGL*6p<`vv;~0I<@wuN#71ZHq65U{%oef zwM(2aXM_V<9f>*rW`M+;$<4hQ#H>6Eu~^Xso4EEDC+QC6X0D-+4E!i})pI~(DDDYe zFCqJpimHQ&MLi;}dekkutrB6iAHBDPE0bq#+-sflZAm5o=z?o?e1 z`Pu#OuXWjdn}6vc{At1PN?uD(&u}1q_JAAUyrTntE_nhMbA|K=lj!t>zju7tZljdj zzlT+rEeB*t#x^0=^;mf}CppnHoV7iNh)~U=zmUDhvezsmy1FRdaXZ8&{1$Z8`J%N8 z_j8nMKow0fN5bj_cHVDl{9SU9zd#yU`lS85)980Iy|O&%oFPN+@Gp0XgS`i0hr2kq zyW1$JHCU8a~|2&_kkDUqciK6+!+AhMhpr7k6#PqLRS_2y)JEsBnvz zGZ2!QlUE#lc1(3NcRo?or@eK) zeo?RI0JUgM)T9?Hm&`14f@om`Z2aGxg5WlE zxwhC09mwzVPfqUE%p0glYu)}VyIFy2xy*WSm7EZs9VjH0mH(Lbyw-FW)^gv^)pv7T z|0wThaAR2Gmq0d);EmBSJb$Q< zx+WIWn$7YlU^~K5RGtUaG?xK1Nmh@yaoTgvF(^#)UKScbDAN7Kbd#C_H+@_AZoc=8 z>N&2lbWb#;!O%`$dFRfZXREZ#5RLxcvIS$p0V*7IDiGTUDd7g<2LNwBvp#-rgHgtW zT`lxC@}dR)-w@tV87jqN*YmcUFt3AH@GoDrWxOf- z1aD*zV4(9suZ~dx7($qMVQ41T!Ry;WQ=|ytwiK$Q(NqsFVB(eKKeo}$4U)p0sF*bt zB+gDu4@sx_JMPiVhvGHulZr;b7qZ%HDhr9$qZF6omx`z>%dSS*8e^rHjRD*}qr9o(UW(PSmaK8lrF88i#Vz*z3Mie26E9X{~=qiO@SY zR>covM6T~+f1bSfw)>km$hy@$lI}Gk>2~Z5bv%JrBBYdD?PSsL-99S; z@>hU0!qQ-bxYEs9OaK`6p1+wHFqk zXI4OGK;513_xstq=iHkHBxlbtm!agK(-3#9MYpYYq+clW9j*H^r9O8%dX*X+K)aH1 z)}a3W7FwQjdE{*{$X_u;%x_HTMF))nNzEwg&JZv}ffiY`d@k za@31!)Nf&TQU{mx!0nkO6(ol+!P#{n;TVIRmEdAa->rPcejCBCrL9_crx_a_3c|8v zgEzyV1THLWQ7+8&Mk0d#dyy;G3SaLjO^eQe460A@t-iFR5lp+)uc9JA?5MoAc8#zv zG4U)yr*cA9%r1Uu=E^>8^ic#pY4tCqqqr+|wY{Mu`-$+%M*Qj8rhWNNA zdYb&py48`&lD~bxLWJUCNzR}G!y9#aqt!*&L*W&D3LmQF9|D;a{cuZ)^#>vcB(`jd z66mbtdh^E8l_qPe&PRfuGyW!zwJ8hSbAQSGWI zrd#Da)A&RHFXxKwF|3kEg>XIgA=p|lxgP2WlT-{%s!Z}ey^+7}IF~nP>~hT}QbiQC zCy#Wr@LD7;w-QlXq|w|j1BH~IBFxZDC^~unG%7J|AKo~!WKsoHl-&~6Zif!iF`35r zF$!bPBAg7=p0*D7o3$O?+gv&mrvekP|DBf4k(#nD=-|5eVPN;0pz)%myfh!zHDT%y z!GC6EW@>0@O0hlW=s>wjhokc#jKhJBhA?{blxtJN_v3>HV)YJ0INU|bVFuQ!XXNq$ zrWz+~>F(BT>yPj3MNSLjvH9y~Ga9Q}$$l`D*`?kp9kWtSJ3z(>fa#N=K>*QxnWMaL z2_V?{rFShMQaW~Fw{Q;#DYgogb2rlS&>Vx+6fb9bR&9lZe~gSvC&#n4CjaKc5=4!@ z&O&wbyvpxXF6~g~GH8quKQ7FWq%QjE6I>9K_VO@ z`UCEPN=;2whGT}9nV>?@^BW?$oME$C;|%qJal6O!TlG`c;|ax~Ogk+Ja~#hOk8t>r z-2)?gP8_q+QrO}@LEMg18ZmbZV*R1Ol}js^;$DGnVI=SY-x04mW-=i7qGZ6Vi*7&n}OhFBWWo=!D%Y^5;)_M8TyB|W7&CER8wq3sY-H8rIhnw z7uk1Xmdasgkal&ozg17c_qEAbzirrUeYcS~mhZN~>5WF^ayq?dSNRZKrhno=MfsJ3Y z)?SsgadMo7HD~D3n}vLFm5vgXiq>Z^;pZ?ys*II~g~vLsQb&kjDQ)>!Yk+i=AWIm8 zBJ_>}H+EmM;!r@6DPQO~1GTlIXDz5GF@L;?GiJl~Xk!>~a8)WGNEZqd=3H1J9dHo% zKq7^EPC#KOk)YiQZvSNo95w91T>0nIb!ma^8tiw~)$69YEbns1!jf`e?6jcyI z%%s&-KGFxu{q3uw(^XZWRhfYEpDjF0sOvi%sCOf`hcp|z{n0%NB}c51*kv4HpO9Vi z((utOfY|C|+$nQ-h`(R+m6&-a3Q>M(C<;Lrm;G_X#T1%uTtKfeJWqI`Z=(8o7$p~x zIwWJ-o(QD22#TK?pE3KfgaymSp*3Da!MERB$Nvesd9mWg`(iR{GDTn)7UL=PTtI3N zOK34eyo{L=Nv|BXo-VzEN>x<+y`q_ZFO1XsWim8+#Z$gfJhfbLk}vf7W$Gi1GJD8j z+m_~$`qca=@9+P1x$=LtPS2%H;N>;4fIqS&{p0;g(S-eTY!&i5a&HIB2Pc@&tImGH z4oZqq0dK|kg3HbbjENm0KbjqzLZN}Oau*+e|GN7x+;8vOs|nSFrkW~*Q~%Retg3lZF{n+P9)V*?Q*Dj?jqy4)6CTANMMmC2k$o@A zd^{7k(iZixy@PQ%BZCT%*S0`WX~Lh4IXXB{P!lAu%EN+yC+gy2O#lUIDB}4|0a%9L z)UnEntKu^Qvzd)jGJ|e`C1iLSy=WRTA@ZbTx z^`pO}(}`7J(zl3uH{QMV;TU#MO%*JbfhreNFKD3=pstq^wAvqZNXxzK*-XxUHQg>q z*+Nk(Lf%69sC)u7mO)$vQM(;F_KjIl>{UYgAE_}9#$WnQ!F%F3!|1YlpWchJE zhQ)h+)hMwJp zDvJ!q7W!I}%Ckz|zJ2QW>vLvBO!U}K3O$X?5fBr<^xh zpE}=Y`*g;(_-l^dq4mV6epIJpxH5l#QbUVh877Ajf_5AXB_0ClJ)sxmNJw!8k~9tm zD;oQonPN!fy~;|Vvz^^qrjmr=sGma~ypkM*2@C;Gvhe!7;Ge^BC| zLV?jdc@$XT0TuPSLf@?v66_Wh7RwqRgzlRI8RrK}_wh<)+N$U$L0sG{~%x@IpqU?F@-tDehvF1mSzFe|a2ocddC=Vu0 zy<~09TWEC;S;2O75l_x3yaB7xMbn3@qyP3YZ$ zNDNQ`Hytrdxd>yKrRlfJ6-*H_z7{4;$M8po8B#7EZj%A#qw`;OGNdvO6OuF~2IPOq z`QHp3i@4Pzh)CSZ74&OywHu+;_DdSpw9EILHv3Q=ug?QX6HDXMZGqgHqr|3rm}29Z zd|_G|l6f;ro8e@N;Zy6NRtGsUT+Tuoc8x@23+<=g z4sUOA7oZ+>Rkp3b_6h6&Q{np;cIJku@;kSuP!)Z&L$|UKhjR8K_7;PwcOVJ{T=~*o&^zd&p&1VH0wuyO+RFDvF*Gu!>mOigr6c8->o)<#{}VH0Du1L4)NdU@+g>kfHfBDpbxTAG!Yg0v#h zO*b=(X&nn2di-o1I(j#B`+a-h5D-rD|n5cc5v4Ef<0#FqZ{LB6z)k*x7~!pVhndw^HM8{&t7DIaa$MiiJP ztKdA}!bc<5vI1!ZeVNk=uTo~otbs#hOQw*y;tI6E7I15e{CBmgY=pC_!@^6WW$sX!(e4E0z9 zuqH1L4{XL%tGl~8c#S}0a7_f|DM8|i;&xuWVO0l*kBmM;fOfB?F!f6#dv9pf5RdN3~f%os}ewS@rIhJz#!1`DG^#jNAnrC zh|Hws7`V_1qk*!2Ul@(qh_a7}bzB$0&`x4#P_(bSu)?VD0r3OSz5yy%Eg!UfuK%cl zFWX@(a6DpzZ=7(FF+}X)|9S&R4^NY-s%eKRVUPAB0*lyt2jsoIpsVC4$Xa~IYx$)h zbbCe5-u34nSe1#@=yzz-sow@FIPO!XxBV~NDR!XsTO8c*P-7>3!}N4E^1gT--o9}* zgF3r)aOzR<@#|MD@3Vg1b#Q;@)-udg^o7jq8EB!#inLX=@~CFO9$UY;8nvh`(=)-O zWFhHjVBG*OpvOt@k{-5MKF#Hgof!BXW0}OvDxEfWx4?sB`lt5ivnAZk{ z_Cz`+Z4?!c-al6-P@~&(ng#I21e2E_My*T8s!!VyIO0D*g(!}2r z*EowxD7a zUtI?&cBlwb@6S}Ot4n3~OtH_5mTh!76q3yz0DJvmT;ni4!anQ!ipj;$27nOcBg0#@ z<#Y0#VvkqX>ZS@eQNF>YzQb}uqwWnAhKa#0Qfyitp1zYukbA_tLLPW@8>u^ zqnaqmhoA{W)`L}hjc}~`w`wew+O0jchMvuNE|&^3W#PjTzP$~Q{;8!H0{ck+^OZ9g zn8ATg#05af4;Y8s5Vs#8m5P<``1~~o=%R-IVqqMR%F;FEGWIbJNChcfQ31^)+SgGp z>{-~Q(6v+iFz)hBn8s*3DXYW)q5d@_=v#v8e;t~1FV$5Mip@UOW(K=dZhB3{)ACNX zdJp*#${X=xfg|a&nMp6SDbrfK_Ec1`PJb5Sj37{q|oGrRqlSikXP437{Ewu73VJAVwc4uWt^@Nb}`>CSkp&geVtK7ml# zCyOve+36E$@wC%qoLsD!U*cHvpJqcnRQS#SC0{yN--KR<)MK3$&3q(M{9I{5YYnA^ zg}+;JckchHp0!H@{bL%eu8vYe$!phkLHrT0$ad3nKo7okW&gbqV7Ja1#NblayLk3y z48R%Bvm=nIHsaQ-(SBErZhLfs^rh$5gsi79C1}TxuJzc#X?GL)Xf?~L6-d}?bcz?+ zL%VTzxa20^>1E{_E-sRPipCX0XT8zFnrXP~^}OVeB{-!Lfy?3X<>}%a3FOQae^f;* z@Q{+g1vqti5LyMjVnnNctcEy0tugIafqN=jLRwbxx?!)Yghh1xb>bt17nqUZ z%cvFD!n8>A$a#Db3BtdI>pHhE;rJ?~Qqtfe%&cL|inHNcM9ZS2+BKI%6h%c9sU#ho z3+bSFPnANdF|c|VAX>&U{v^T3zP1WjRs|eTVX!GUF2R!vLxpFt3HRC#_`xdW6m!rA zC?u7mGIDNY@4IJxUa7dc4u20v&v`m(mY=mTMI%aT9X~pul&2U}4%jGy~tBGtkcwpym&h-8)_; z?(5lpVe%BN(~Gg0lY_Q{y4GzquvDmMZ+9Z}#ac9_cg)?&d7f zFw9Vi@f_(%r{bAsaQC^?SblAIu} zgIbcKhjhfE`R{Eu7%G3v{eBk&y~@JMO~G1OfP%iQ+)VfRz6F42B^;%}S2*I=uVb0@ zp3itkj~><5jJaRJN?sh76v0#cB`grb*D5f@1d2Gjix!oJVH^phpepF-c`O83t5WBI zcgqf|;qLB{Qmo;TjeyFq-_y*$T;)3o~rH-mWyz+ym>i=6H=6AK+ zcPZeG9XtN7SV&vK|Gw4D&F0|6gp)X%!~VxlpFD9MXLI1f@$>()<$t0saW;D?ap1>4 z$L;t(m4Hr8_Wzd>(9Zt<&sfO++13A2321Bk|4;&!UWb>z=y7=H^$CJeoqrXT|c&2cNI-+f8M9Dzjdx#zX~H!xZ}0 z4Yy{diT%wo6RaKT64y4wZ71BEVxLA!-WE2s_)CilR6GNr0pcqAaGv~&IXO0RNRD3p zV%{7%p3l&8O$!^3Tf>5nfB(YVm75EOeiv1fV=m~vC640)fsoK&;VP}X7Iqe~cb9JT zw;w+{7A7lFMGEOhNICNS^|g%Pc=^HYQ29OIEeMIK`!GbxMF+3WmF812;Vs+tY+`o# zE%9jD`0Me8l$gAyDunop@Jn&L<2y=zl8izH>i;A#`1UPQ5&aeO%hQ|PZpl)v>?xu? z+pC~+j}h%;D%)P|#Dv`;3BVlgRqe|vTpw1kGb(jbNLnyxTgXdEUS;MAj2Mlphfnoz zH^RyXAG2v=&r&i=p_03Xd))ggmxbFRRhVt@tQH^{Sa(*#%46h~N&796)r8r0vFOd^ z|AY z!Lt*k4#r`Wpd*bvc|CEuWC%q)lVA=GiYU)p)_e>L?^_c_i5~UVEwGFj5v$Qx(|7kX z$Rk24b4?-r^=>Rz7M(j3X$`k9V>0wmzZ^F=Yz4i+Co4Xerhq^Ie4^Sw^vlO0qQp*_~Z8{GdUGR+QF0SH2+P$4wvj4v1$T37I2s zHo7PzTNljuE*vL;poca0!6+Q?*lpXRpMY!K%>LWi*UU2}O*6vohdZx-eqj$UIZWoM zvE7XJZVcG2F7vPPSV(^oeqAlz5%y6PI}j2sBQYn?vFt2-z^=>EnE{8+_$#ltowXK*s|;ZSBr z)D9+fpm}LM62X@$B(Jii-P{ma%`jER(9F5yBXszoc5{1Ys90Hs)Z8D8mW5pq=k(cz zK_EqhsLd#?EkYh=8D(>%Z%&TPx$TmN%Dv$)I={474+m-?%Oxb{d8#4oonkdwcD7ZS$8pqeZ619%HZ5zpH&T8W_4_yTh)e0UXjKQU?;ye zo@Q;%4Ol?sUXIwec;8=RTh@u!O(UpZ8WkuXoDf!qgmt-!>qINCQq79E<&>KsPIyp^x26ph#2Op3*<9v?KlWANyy%8OcNXE}kd8G%GJQ%&7rO3zyfJmg#F zw1b9>XLq&{%Jg;uBg-T7bPFX-ust_(z>vr2#b4KIAucKul>27_jPTU~aL!QJO%S4) zpJE>_xR}gDu2HDAXrTI3U*mea^ za>AsRA7WdPFwlgR^VMoZ0dt*K8H!z%utAAT+<L(EBTa9Og2 z|CAJ|PK$6Hmt}b85_oVMAc~W|PYAYQJD=~{(`6cNP;q+0kWRH7CQ!pnokoFzSjP$_ znwc#&OrZbBUS80Yg&k|!NVdsQZW4IzhiRgYpi*5!2!y}E{ zRrS5YsT6Rz_49k--A39paYZ@6fxZ12flM&d_^HRy5;uYIoW^Q6bL~Rx9oBxj;&Hnk zHyo#{0fAm<6WOki_~j`^hZO;fD-_$}I-y{N`XZXs1x+G7+G^QH5=(RO*Wt-AshPzv zgP#wScrI-Pwnp%|@Z_}T{4+|NZOOo^L>yDg-NX&9_%hiCZzvfGp%*<@!2cJv#dP1juU74|YO9 zYAvq&jRI4c%9;e{;0kpvjGKF#Rhy4-fCUuX%X0 z;wn`>=RBmg>>)Ia3_^=n9C;RRC|;|NCy$Ye`hqnGM=OAC!|6R9+uuV2qKJ3KsT7yv zwP$#jC+tQOnUdvB#kW7Qn4v@bW1UtLCwK^8|BESB#4BWTCBYmlzDx??B2|x(ZUI*%W-Y7moKn>Nqu#Bb z58VW<>3rRp5aOJC!d%hJ&8b)x*X#jFQj%zUG%PHi`*_J#*Yq459A;kV1Ck>UAbj|f z9b*iZOSje4DC|IgVdlUpz-;QlHrkD*A?&pK+S0^u3U}iwJAY+kLbYR0ZIL=szKZb8 z3|Uy!UE@3LSI@rx`S@R=*E4Hb;zv_mv#UW>NsP~v!&F7FzXJL=u<0>+uhH2hetUw= z{Y3*vjQV$}F!0o8M($4aUj}7MobkBy!ySa;=E$7cilRlrFZU+GBVb2Sd|gyeOkJOC zCa84z>rWtLTgZL_(_3?J>sUhPp189Y5r105*A<02%m(BCJTVKV3XA*C#m{obMjx#2 zee4md1i9FjZ;nw1pHGwSaSo9@?kS&UdUZiVYvHY!)PL1GwqIpvI%Iz$Q`;Lc+ye&J zLagT2N4ctAU&S^UP~g_b7t;>jMVsZn)uJ{cGK$+)uk3=6@m>aMY>Mm%qN0e}cdMtz6NORWyDNLGzOCfdc%3Vh8L(gzD$WK+T2IJ~syBOyYwL#E|^B>}x zC?KmXIT0D(+*WRcLLXbXs;&&FXJFa?iaovr@592YRP=h4gw{z}?}alg`_h8V-ba;+ z<{3eTN6MD)4YOke7RpBgy>4O06G<-Dj4x>K1(5jK8Cc2mfH9Z{m^B@&o;ovE0b?rn6O!UDK&>heZ7}d+Tp8s|cDgrUfSin4dnCG(( zf4BNX4gWy$68hXf<8@)m)^i3Rz^QGIDf+L_SJ&}3)VvS{T1_l^5;wJ%Rr7sB<~LKq z@HhU!?EVKN|7gO)Ds%&@K1SH(jZgQYk&1)a9VthlHO77-o~?QW|6>Vnw{}@qr3w{- zZ_%Hh5mnSNen)sssx!zUMVRCZF}kvjO^e+~D0ThP7jF=?Gx4&Tt>pMurU6gg+wPvZ zOCx4!dvM@Pde@Z$@mCnB%VxTFlfghGx=tPWbFR9qC`#lo@>4c<1MBu535$JYPkG zgN|Rnv9h3Bu&7zhn~6G)bV|onyILclNWozA2fD}lI(h3?d6>+xq*+&A!&Z z^#J=!LRf}G?Q$a&f0OnL8MpbmE}%q=du&|dAD^}h)G<8zVXfo zbj{HF#I&%B2o^5<>ZH!7)N5OddG_6eR}BRkW;<*|+$YMd-eU+8(otCL9iuPsW|uMB$9g1Wmfn&U$>k<=Jr{F6``!inU^{9vrXWdz`+>Yauk1|D^JwGk2mm zV89IROZ)XN*5p4o5YD(qViW4m+X%rd@qjA*F)egcq*>7zx;*GLKt3+|f z8kD?tzUTEe<6~`8k)jId2u{1lf1sukVF5w?R~Yg2drby8C1Fsb7CNZ#EW+QkBk0-T z0bub7m9Kuz8XflCzQ|yiqAv_(qg~XQ)@b&iA|>*Lbg0oOfpWxo-u&xIbEL<&xLu%^ zWq#IRTXaVziv60#52}cfOq_tEeD<*aY4XqGey}2)kjA;{2#13YPD9d^pk_skWN1cU^5PJpW8f{wKUdd;seaizeRqQQ80i>c}@*NbpkO^DA3K&xL?&c6oM z4OIscOU(Q}`P3|2WxoxY!{Sn6ZUwR&&tYG4I4f}efb?d^g&-|7_{*lT77DrtdGoNR z^1~{b9sQc9v_qdE@A9_GFSp2^582G#ceg_5pCUa*Nw-3LaGbYIF)Kc+p51U@(+H&y zYS=1_C8=7!dSZNjL#5iOp%8FZh&7gO4Mok(YV0)jtJS`^Nbh|kl zEPJX|j=XgC*P_eDzD{ZM*~&=OX&JaK!CsF@QpEq)+Bumg5t!4_ipAa)>h>J7)k zgwJZh{ZNsz#wda&>_XWG8!#HKbXM#+UFVfIPTR7?KE3|vs~-3SkmeLhsJ2s|5BqnE zgBdL9{XB_dGEInK%+)wBKkCt~V7ZK3hh3 zMVtvc(Q*j&lZh9KZx|^pSZpv@;H^jh^|$?mUGM-{R%~u?dVSbt?_$OBjT%&UF1-5? zsO0R`@BL-`j6z15pFMnqQB@m*&L9pokRJY3-?I1B6{AUc$TqIBMh9YSbZGd(O{#EZ zzlGs!=V_`G1yxK;I|9FC*%#E zVZpZdAQ#GW+s^c1c2BWV;wc36D(5A%hb}K(RL9`4Un+WnBu?LwS81(QItEqNTW-@m z(7RtOcqpWIgYVB^6~s=9AYs)0-LI6-S~JPMXVLN3^8LHRhK9ei+%;n2Z@(g&B9*Jl zFX5Xx{LmPf&u4z7%>IWH8RA+Qw3dAdDymV4kE&X4)kF0Zuh;AGbHa@Ef1Ha8!#2sv zQ3OA=p@@{H+Zb7b!iW52IG(cCS-AB1FnJA)+cTkuqwM57o;}~)IcM&82a;fQ_@E?M zKCxay-^&R8fVZ|!1^E~ZQ$Ni6K-~IiMZY^S)(-I~_0h+xC1kAN1GbfRptO5HQ5!te zPoRAiL@rnuG^%c|MlJV`&@}ULDZkE)f z@IS#7P>A}M6e+-#&_X5SBZjgFOzalVM_;m-!v{Qo0|kHMq|a|Uo4|~3DR^nZF-+33 zW&;*ssde-OuD}6Xblxy!E$gfvqZ8$Jinm&K6A{)`C6Q)swf$SJ1SIclkOgL2vS`2B zuye9>T1N|@E;ZZPX;RDJiF z^oq8NB^4L+F0$W-oDgJ@l^y$<6$iu|dnw=B@s>9u#SX1qPWJmxkgv=2eX64Bb4NL| z_~c?f`&Gi-+by;RG!0jTz_PZ(!OUb&R1JmY;iy4`oZ>%Vb*qn@Weazl!WX6{g9&s* z`a9Mk-dYP4jCvu*bx3#CiKaJAAXPKGK2w{@9GLT$!AtvySFdqiGkFnI>yt|It^=A|6yLID zU2^EonngUGs_D7(;bci*(<4JFFSAZQK37xn-?zBZv~~hEYWp5&J5o*1H51p8Gd;+u>R7CE8O?B0YJftBw@#^tt* zJ+bGc0lYqxM{nNBlSISSvl;#|-z&>r!D<>!gmryGn) zy?5snyl%nwI6u@yUrhrt35@4whNpKLSpVh?%a<9YvkH{kopGab(Pvtis`2ZixYW;_ zo0nf@^GO5m5)=ivtSQHNV|6V7th5&{(gj^@Au}xxCZ8;N|%4|c-~j^-j;wh>Ot~WIsT6emra&OFf&f^ z!hjofg?lVhqNByAZI5+4#xWqmoW*uh>k?`ze4f*@1 zE~LNY{qqB#cm#&)h`nfWvsdXV;yf5zY%WdG9w}ax_Vm4fPp>N4ZSb9Fe39ctwoJ@n zosV_+1Fyay68nkcn_rjI4N?LKZObl*Ge$7n#1ZEazIL8_TT5~+7MaYg7MoZXeO1D|bz4@Rer%iIVDbtlL3 zG}|nHHSW{;t4;aXs2ROxr=vLht8B|(70ymU?Cc9ye>&HVO^I**rcPThv1}Q0O;)(Q z*VTT+QI)q_)qf`N_8V2;|H#sO_mXV6qEj525g(Sx*DAoJ-1~Coj%W6cJ7LEW=k!w7 zXcwh)m&ZF?NCNxAdt+eRo_z30Y`*!SVAhqY$J8ZnVEW6U|e%rA44wzYyZIW%)*uUzSpKgm^u3CaLs zk+C$^6sUL9ggERA^NW@3<%u{mBq&5NvHuL}eSIP{-F{=^!Hy9!fGiZ{j-)j~0()u_ zCzG=E*1%0M9iAfi2Abv^+6by+`;8_*+5RNERi^Ec467u_Er~Hyw%cT&DR=Lyj zN?9Q)gI9ul#?m?BYBK z*ZI#=II8`mgQK{Fy~hU74_H1S>~Fq5kd38BazN_)t-uHP^z;F|IXv0?w6GhJkO=Ja z`S9I6#js<2bzFQ8$nJb=C7`|6rd%mBMSV=DuyUg<(?12@38W*C5dBC7XO42V}z*hYoo>m=$&TzNGh3WDPApX_^J^u;Qx zwUYquIXk=UmpXg@{#lavSiSz(W)E15n&F35g?t1NJ*5B8N{0Vhw*Z0qot%C|V|fN% zP+0W(nBk9r2>5Pd&LDE6w*fg;EQJNZ1t@-G8ab67dHQ}IHnPt{0grmYbtq;>fSP~; zK-e;0;3wY>`I6cd-S6hdSSS?55a-k3gVI&;TTacL^(Wlq7}&iwNR|PCgwLm@hVc8F z9qTseMThAN^XW@4{N~{M`xw|y==<)c%`6bWFZAR03`m83A0*N`rE|t;6|%|;cX;o@ zwEdTlczxZ<| z=KpKXoQd)Okmf8uhB=ZZ7G~zoM65sVHvdJg%NaOX5ji`${P63-7S2wJCXOO@HuiS5 zCbrH*OhgRg7S_%tjzkP1B2ucV;s)-bCPsF~KV$#*ucCpYjT6xie=kEs_cK_;&f3Ms z)`{pp$NB#!%vt_BVNU;}IiY|<^4tTx5ku^QJnJHV2OKW3R(dAs`0W+e`awQ-YF!Y1 zB58Skk9=l)c_hsAqrmBYeK!Fi0sl|9{b%?8!HS*n|3i)S{~9%BM#KNtbcl(A?FX#? z2R9~Wrk{)ZxllVt6?+4t|3bpm!pKBfLim3Gkn{flsLdd5ZQyM3f8Y~Q{ok8^{yUV~ zwl3DzMF08v1H&L|Vr%AXPQ>+d#Q$bCnxLeE_5axPXaP8Dt#Jn{4jHAD-4yF_2RYj z@MG%mt-InLV%)Hn?s1&{;k$1C(7F?E&`zUXBPiP2gH6JM6s+w}EAi_W8TzlVI5n&? zM&Hd8skib94*L?L1U@$NIJs7-ye(Ho)Uf*)-ei)GaLArat(lf>zr?B4+ctyho3$IpzGW*6r{eI61D`_(B8$ z2?`|S_x$`66E#+KmbKRL7B*CN9RKK1ZgArFnKn7}8DpTL`_R=@(o_Qkt*tI@&aW?T z&q05X1%^l5em$+%?B(hG1BD2OkM{?lAiIQM!VwbjJ3RLyuZyE-XBmH|IeEu#)=#|T zcH#0`R67KHF8gREl2Xjjr1uB^8Sq-}iOqgZ^&Gy@_}z1#kZ(w$e?J&Xs#@q2Qj(Z^ z+OrHI>U=1R!4|z5vNQ!HFq!4Tp1T&Y6A=t)=p<#W4wj-&kW$kz@o*5)(pRTnmCEnfqX-++X z0}AC5LYVz!hj$Ci{voq#(>Z4L^{!Am-R+>oc%>;g$6k&hh@q7=8NBDSqxdP4!tJKu zPvmzK>n0*>hd0$RNgTZOl=m{F}KrUd3d@~q)u(c%zTESbd;$~;<{iC-_sxk4l{G^GcKO0Gd z-ky#MI<~1p*-dRO6RrBf3Lc&$%!ULhl5L%jqVjjquTAzBV!eunW0|o~VCz(J#q992nMEjVl9?#^ZE?WBSA+!K+&kbtu`}#`o zXWZhQz}luCi&m#p_pjMKe$1p=bJ&YwqI|N?iTvZKC2C6S0pEr+&yS;SGa5wW0>SAQ(zWNeK7? zmJ4RPvnKPaXEE8MVIqhYRSK-$7bL9@{ZKLoq=1YI27!3Yg| z3=6kPGbP0DYJ7^ATSaxRu_klZ?+8LCax1xHR0qFp)FiR}*r!0x#AM?;;wR&)>yF|M zt_>4=h6H)69yw=Rnj|+m#tDg$Ep@P@R9;AR4+e;VT#|ZYzkrU~qMFw$<`mvNV6!Q_ zMZcKfP7+9XpTJGZ#Dr&$Pt_jtK$q$-kIaTSmtv@|>1&p_yddb-YWga zfONpG0vaGW1Hl@{Q_XOT8MyPvdgEFYUVl~N3qu(CJscX&0xYU80#+fS`9_Q7J0jAI zB3{v#1Em{;tyje+Dsi3B(N>+9>n^CTNTpchh^&94<3I?_%J2`iov9vn)H%Py3VDTO z8%2d$QnpX4<;bUnK`FDE*JL0)hN3ejI~sexXo_?)3A8yzm!p$U#ZbkHM+-Zk*#tsg z^ZFE0n_k(^@8CWdbb?6+m;Qbjfe!m6D{7W~_QH;oOXFGU(jEqr>-=mLN7Agt!+`d! zL&sRL4KcH5KrGhSYr^{RnsYbA_yGK;Fg{M-w5Dz# zo=`o$DmLbfspSAZcd(pH5z?HEhDtF%%kJ~Y9&v=oIKFrFvp;KZ)^(iG$k3j?s8xQ= z84r^=QHo{`3Hw#Ps~woTHrk#52fk?w9{T!6DtGNgADHKm&QEQk6ig`_>qKD0qGy$% zyn-Q6tJH!M{5-a$QG1+ZQ5#`)DgZhtgaZ9V#2X*sXES1LFqFG?{fe7c${80)(qIEM|W0ZS@n|K8fY+ z_DydT9d4WCFskLohTy&%yZ_D{PJaZ1fGAt=N~Ja3J!ruT60a{(D=*y=>^6#E%q4M&zdw|YTQbYZEP1c-VClkU%wHixc4V}KXgu;sdy?d^9bFY%ih2K-7^OXuDAS18 zngd|o5%yE%raOP>HdV~xP}_1%cXm+N^xFPWx-B&@tFX=5Ik6WAa_RS>Jvo}D2PPuC zD5Y30$C)$@NF7OB)LI%COASXjVY@l6O10FoVWiBQWNFU^AmF>2~}U z=)#l~5y)2LxHl_7e-AwBs7s{&7>G1uMjom1(A!Z{+4rCtCp!V)j))?%Z+}JHTOHr) z@*ZyM?RipLc86%gLbjo2*1*#VuE3IbK72D*NdhVH@HDC5S48C(Y{J)DD<_;XCEJ5# zN7A9c888j%iOq1&%1-F>jqzj2aB|_$`a-9cxZPaSGgE#z6s^<@6P&qaDnUC;yw`v1 z#WU9_)$f(Q-FI`~#*A1AH8KpwVCaa9!IHT;z3t~dy3$mEtt}|J)nvO`rqEA$l>v+1 zEMwU{*6!1bv+VB?z2F0rUX^`+C*VjS$3n_OX-4NX+J;%oO_X#`U9|36XIsaueNkGf zvZcJtN9YO5AN1elOFl%DjKY53>*^bEh7K}lD`gIW(FO=UOj=C_FJ$v@(CS@yr7 zMqyJcTsl^?F#OcxT=w?s4=_uNO4>$W6Lbp6;Jacuzf$4xv_L{j4@#iZ=n&K6u4{?8 zA8st+m$j=}GJ1!B1APAh+2KZ-g4$DnZ0oV~euvg9Bk}26>44fjfiwXlKLm$Yb}T^| zdbWjIz5Z$Msi1aW+vX_O8N{_dXPZ%qX^&T#?6UZxG{SGzE2ONbz$GF3xL*B^I4+!D zh150c$%t^3bVvwvr&li0b%SSq47k5zTO39f3`BHHxTr3^t=T)&3%_XJ`MAF&Cyevu zjYgl-c3WD?Do2oj{a43IH}p?evgdR1kj^}_`+=!VX{|}8knz$LdnB0js1pt9jZB7{ zMmU&wY(TJvIdT5qW95c-TX?hQ(-RC0+jycq#%qdRaj-n}I+HyrW`q(n^gShjD`J0ctzzyRR=3#zlncM&KHy z2l(u4a8F98eZohg%d=UU*-xrK9sjQ1+A}6)&h7Iya<2^pr+7eO zs`0O-c_k<3ro8yCihac<=#sLAXGe56r^PPwcnQs~Yj`OFYer2AmORY=S_GuCK6OB7 zsq~7uzDlJ&?+Yh7KVdb)0EFdYs!|V0Zp<*8lq<}tS7DC4%Qi_UPsDuZ?RYx|xeb1r z-th7~Se_7V1Wpe^9QrjaS~Y5D@5qPVnUF5@;cH2%PfYvQaLmzF^SE8kj!uV14o2d} zfyY~p$xS`ow@#t-XxZEEpfM951gexKJxYq8(1%*VPY{o1IgfTFSunNRui(ikJ*TOl_3D%MKmwkbQL+NJf#1(T=utTVMVO{DzLd=uJ-8eC z(NON(9Q@$N=u1`f_oBjR`U@A$Jm{TgE$hym=X3>d-3*>o`{e`l@pn*njq zKR1FWoD+T|gOk))qYG-R#hgzDAIM=@TEfjrz2cp}7hv%xR>Ve~CH;>^6i1dLjQefq z>HuU%G5|h3Ldsci)A}O#Q`n4u6*#WaWaT@KEUFHE=|Z$fx<1bZpBC0Fa)S(;)f(^9 zXWM+J&WS=8vpa>$zMnfQ>)t{qR#$yM{*?*-n-GS`n^5$Yg^<9o^g->JYQWD(Q`??F zwX|?hXtm@};uV$Hf~T(T(2G|O?S&sNC@y^6e8rC}O~*{V#B3$$K#8YV&R_mo+V+#I zC)#aUvIaeY`#M;Oj5Y?>8d@Vlb~?)|@XTRQ6Bd&^mS3ND-Hiw=5>ao+i}Q5awdBt4 zKr0@>nWryCYW1;EznX(Az+MOLA9Q=N)fX{{t`Vi^osa#G^g>D{bCb6?%^7L$mKyaB? zDFR$+lfRAGs|JthkscRu@OZ(jDRf z`knzE;1Qzx7`0pOR#f+X0STFqQILuE?hnB?D;*V=n=uVBEMhUvsuZ_6@Pe=k>5TW| z@H0~?dH-^D%}T+7@r2DyOcGc{3os%134L zliO$g75PDVBYXk9C%=eiqW!yg6zo*f(VcF2tEZlxSzQudVy zV0f^uj}q!&>Ff{T^uaRTngzD<&1NB>oHXMxaKAMP!9$$IjnAlE!6DI!Q*KwmY{Z>C|0Zo|aMvLSe)T-ugb!+it0 zz6CRh3Y+qy(4Kpp;~lMQ>mtG>^SC2=)@aUrHnEuG>^iS^ptoYKcr0Hfa5*nfq}?UKCWYe z!B{g~Na)e^YzPJBCLHlMhFvS&9tEY~yR0z(YF3DwUp0ML0gb4jzy@3G(Jln}IBJ`5 z`V+>t*e8gW5lG`YzomY~tkKDLj|08E{!5CsRx*YD6cZ1Vnoz5$JDH1qTaB#XzR3|Q zsm;u@zWLT8+Rz>a!qx@K+Jjd3WAXxJB$7qsDrN-~{g2I(`lrE3;k@8F`>mhfd+Rh}g~(Ox86CLvw*j3-b|Jz@wZ@#&Qa#%T_N-VkVbOvZ_iRe=dK+^#{dQ|pfPonkDq~IrHM3140*LgZjFDz#bz@;;d|=hl zs_zXmre>6t^{B{RU>p*dGRd(C*z>K$twHW9ggrE7x>Z@y95x*B_$7*E7^h+>16Q-5 zf=4!V?#!m2T@shZzX?Ut*d;ZZ3+ASkXCPK!@%XFeK4J;B5Hg%pEnj1H%=BAe8&o&V zuy2$r;tUj_nu27zMjQ#pF-5@N)kH!1dd%AnMEIx6q}LALd5&K0)L}7CN~(D#R`6Qu zW86l-pQ|p`Xf{$T=GCw%ZoTHqe034}GI=|@@IIzj@N<~Fk&*or)R(trAK6=h%l09_ z?kwOMGJmYhU;m)WU&0oBc{_M|3r2+E5b400Q~?a;!#~8{DQpjo4-=(LFAB%(jL84r zeM;nQ$(lF2C;Xm``8~8PLn%)um7HFw+n*)Wt(@dz6=;^Rd|Uqw;qm4qCqnS^_D#!1 zRHR+|luS0W-3#Z$#B!dkB{?AdUr$H*%9taueYmk&pq$qf**kjM_)a*dvQSdJTJ4C8 z9Zd1CL;}$z`jGCF7|?9pt>?kL*J(UjEXYV>8|c>PL`e*BQ?`dB$4g!aIR_+S=N4C{ zU^A@AtAi`M>?X|4I>k#B0>3vi+iq*23p+WB;Ak>b!ui!a(3+_NA~S&onuoW@mtLl* z6i_lD(M!5HL2g&UN-NbK-Q$mJr7$qDg(z=b%KtK9H@PXf|`%U2I>-;tq=iz-t2->oe)5F#Uf7gJV+O~I~ zRQG{{zo_SZ%i%e~_1Cd39+2ii+|U&QeXH&wD(q968#7^kY5*m`?gIb)Wo1ei;?$cr z&%8Kh`Q9&?C=c_McpN$2!Bbabsv}C{t?*i_G4jkK*k7iY&+-rYm$d=7>gphIGCNNE zdF^Eyt5x(n7@g}OoU>l#+y;5&WEf6hC@vknV>PTRZY|%eRzFIb!mJ4~ne9`Rv(`yk zgrO?O}()F=dUxF96=hoF6g`7Q|cifZGt0h`jb1}Ytby76?;K-BlysU?p4 zT04U{qcV|eRYJ<@q)(*~yI?|rKeVf-Q;CLQil`{hn<0Y4MNF!eO9&t}t)8<|oq?A- zga(Cdwne`kul}Jy>aHK+Nbn>h!~ytCM!%#rM`nAHr%qu-=K3lq^rKH5j%`$N5f}K^=8qtQ>x~? zJESsKa#s^=QHX#HAWtKJ@rzt|#nykjNH#d`lQSq{iv8&!JFBI-Jg$w%36{g1?H5zW z1>(lAave9!>f#SFg#GqR=K>x*p=5cZ(D_w_;g9MnX}sDPvv{uK--`=S7dGXVG3Nq? z-=tv$&iKI9D@R;g(1zxT05zbPyS}DN-3jt7t`9Vv6H<&cxi;e2_wLe$%UBXj4?S5TRcaZ==N0qFCPz@kmTqDN!SfzGcYlKq+vLRGlDu6dKe8p9`x30vEdKN(C0`QiW*j2T4@`zs`-hy}O>PmM7bogoY$6y;b0Ghfm9 zQJv=pY%RsgLQ4pdj;%F0AA{g(jr2X3YtCM9)HqL2z6pX=0#jGvymCjXHq!rQT35FW zkfRWskReUd0wS@`)5F|418dILo%H+sfCVZL#f^ElF3le39^Tv|iLhx^Kq>Qq5*OCC zQ+t^bXJsy8X?2q2^17EXCv~_sPNO|U!~44)HfGwW)h*OPdKDsz`!--T3x{X!rM;HA z45~OEP-U-YZ#?{I8Lm0Wt~D#4y8yHk-Q+B2FRA!pX0ymfe~;GHJvHT!=*wF4cJO}q zvCZ&!{RYDHlG3h%ztksoZPQiO3ieJe&DsJ={4ETyoWeFfwq&~E#eCz>P+Ur9%&Ww_ z-;^QsPKalfA5zze-;ma*1$A1PUL_q!hfRLsC9S!pJnV_A_rSQW29oMNCR1cYM!JSP zdDR-E66$$n)A{p)Hg0>JK_EwoQp&L~x~hmui%@#zO*AjK^qv~Ba}8XRx|Do^(=n3`_0JxBMj`4vK{oiJMPOIxkJj7 zbjva2ewc8)T`x|W)bi-={@xh2_prr)Ncmj6xqI|@@T$5O@tAR?qLodsQ4t%c#aXvi ziv9aLkB?ibKQ7dm4*&Ex#tVH*kk})iFbQu(GswH9Vkzd&1utYZu=wwVyBpkSrqLg; z>x*;>jdu0UWLgfMuM|ev2Ckp8`9pptr%Me97NB)XJ%PC8ZD$miCy`W4-NJ>gwK189 zNK)HF8APO)nGeg?x`n`YEl!z$_{+SBmOsVR5tGl(z*;yj>cR^xqn0z<62%>I+V%~o zU3VQw>&kLKKU2A>fCMZ{O+d$4)0g=YGqF8i37j^6`uv;$(As_l7rd7vhr3&&mk?n` z!wxf1sbOMK!U>n1GCjS2N{=GNLrl#$eNq2xCW$Ebb!+?Tg63*!m$S}u^l41G|n z?b#)4b!$p>)!kwxS2}}#m6iQLZl0WP`_Zg>7B4}1;x#E#`9Pi&Km`Kh{aCj0U^m}Y z5gL>^;`2~@a)FgDFpmG9H~8n{{?&0S`A9-tg_@ZYP5{CR&WDA<4=wG04j<^P`-(4SbPbe7B6{C;HTz>q49^sn}Q{GsE*u} zCc0-<(+Cz|@#<=wN4(UVyo{pK2EGsbKJEXa5@Q zbwCy^N!loCeDU}~$FI^;?3u+(2i(t+g{$&DPrhW1PU0DHJaMUetTPYyTIi?h3U5O% zFr<tj4;zD)t03>Ua2pox>ZvepkFVcapUAy0a}qO;G68-&u==1at$Yp&Qyv@e& zlF^6P2*mtzY-nI-AerMW^hy&IPn>o=#_{Em*krzMEl@}{aCvWJ4@s1ur+|L#Y+YM+ z0Y@sH-?b$Kh`MzwEN^pOp;26ri_xRVWr(s$l1K$x0OK`iF$NCX_im<7W&U)>LJ5HH z(gMqTB6?%X%+>b*XJ4YEw`%C^MzIaB9K*OXm~q*nGua6r<+5?XWo>}13P)D`(7{Cz zd&O_l>N3v`{v@$+vpSef&lr00AUwue{nMb`g3luO>V`7SsN`3Tp~LH0isYDcvKDZO zuPSe-{u@OZnXtz`33c%!b&}rEFOIQMm^VA64dqyEM!kb%n@W zk;-lJW~3a?8tO^ZLV-5F$!e!SH!Hx7{vg@ImcO?A(bH(^pXLMUx6d<-pzE|6da>Z| znAc`hjl28>oR6p?C7h1V7+7ezCi5^)id48N5)UF+fCud2ah#wWlI@ivB13o>d*4Jy zHg{kNp=zj39yrbejpT)Jt@nF|BgqG4%j1!@k1XGxKwyhdZMU&%($Jemb#o<22Mgz; zpmj90TXsDN1do8cdAy{(ir9u2&d2N$xzWtenuF+ajgxk7DnvT8IN{|JZ&>0y29E}j zCX<;dPLkd+ew!Dfj5|SAgB?)X*)BqK{RZvRQ;YHSz`~~LXY(D_gIgWUyoCj5pF?{L+#GF{h|%TMir6? z5QfJ~Km+_)oHO*vhm~ngu3Wbj=k0?)O@-Ie8e>sbYJI0|pxAt| zynLe1@^m9JNMv{ut)KQeIh8Jnh6fC^^FbUda#%{&iMn`&;}OnPqs81s!x6`-DD>Ya z*k;rFXVkjt*^<_Y!({gX4urJdWhpA_Hy&;v=PzWxxMlk~nh~mKZ%qM2AkCrJW-_jB zt)bioakVUUto>T4-$s^itJGe(p&w`bu^M#y^OFV1=ys|Uwo#Kr2TgJ`-Q`-QyRn&N z*RZjr_q_3f)h%k(5kFF`LBN85R0V{xABet>EK|V}8B7(J`N!8m{+m_lQuhxxh0=@N z(f?iF_e)YTS&0WCvAkXP2yUT)ek3FL$pc{eSzv31z8X)x?wCB#V2W760E`--T2){v=YYqFJeT;t<09oNUGNd)E*cWa@UJmb@Dje zRpD_H_jFkaJyg#}Q#mie$le0gHB#$fMvV2`k~ghcjE6wK#lYOEjOZ{WwraB#l8&Ls z5rWZha#~}vPLC=;b4*ycE%%X(powCWh0%QD^AAc`VUh}>;Dd2zyjmkis}Hz;;Sx{< zd%Wq@NRfVAJekoSIFI@vNi z)=`Cv;sg4L@o^HB7EV}^AM3#W_2{tXc?}BX^T64xDm3{naJ2r;_ryXJdbs*BPz@0V zA|lLZb)&6|bLX>X=4v#ezgGU8$~_ ztC#&Vt|~~M4!6;sle7#*lgGal!@}NiYzDtu_frsQ(xkxb#_~EGgy+KuGk?78!2uvd zc>jJ5n&^6q#|tyTI}hhHF3n1CFMhJf^+fPz0PxwHJ|WgB+cPf*>I~ZIRX}6gDA^|5 zYnwl@0|5}(yxT!}Np4}lh26uo6{&G<5-s*3%yJl6w%AMzkE|6_Z}~vw0b9k&1JJk# zf#93_;J5`$LQ*djd&gNyYZnFp2EPOc!hKYgiGbN9nlvh_3YW%=PUB$ z;#wsM`c);Ryw4)S&!Y0SJ1!KZf>;}wA*ri2T-W-Kg=WXgDjtkeu~DW%n3+#cQp3<- zCB`w5qpUXDd7HnriUAl&RgF2y(OOsQ$=3j|iZ>~T1ycY^#BWKV;6KrhiK43%2{ukc zDw(;WuJCVjuqBtnbbZS^Tjy^Ro1e8(Qu#_ZO2fHSe^Y8+2r|XWKq|MDfosQu0xR#M z)l{!i%-+x~X9>qRp}C6jyNc1i-p1qYCC7u|RWbvXH%DY)r;LTWGOdj3K%C$Gom(NT z&oEX=1gYNa#!FT<5$yg5_k4f=IPJry%%5mkhLq!AJ7%`i{4A>Hxsy<-G7=?Yv8i#E&WTX7bTNbq7+@69Nx>IQg9sZT;*Iyrsz98ei9RFntWjG+=*og4iJsy&; zm6^U4zWObh>ejj-0cvii8DW7-@Yh25TZi&5`a*fm`j=VJ_E;1Zev1d}e&omKZ|Jc_ zk>I9H{t|Mz1YD_&Zf<@?j_JJD(dgH>D&I+crqEtjG*2^}0*?&Sja zcnR}F0G``l`l#~;cS~` zhRR_VfJ+eM35O-}o*G5ftEtmL`7Fm+NF#!`5bvdc-KWB>qAyW?@9^|VeyyR@i>EAS z4e=4sJ~Fao zJE4c&P>73JXt!?nLuYzINRyel;AWKQ(F%0jV45#;B-g#=#_uJyZB6+d4#jVW{p=Kb zSfbE+fi_8b))do(FMrf`Ng9^>i05Jnk+Lx%&Az(s<7xT=`d_ia&lDGv1m7U!(bnkw zB3fV9sNNSC#>}eHi=*Zu9~As9b8B^~yuM<^@IHqW+0iTY{>L(Zo#mW%YH}6l)tySI zbhbSr2{EDXfktg}MjKEHU|C=(L+;z6rQA2Uniy$gH)%|{FMEOKF`vUT1buDW_5@~bS#xHr3st30N4VY@^&`~ zUV{80{%`6$LocOXO4%Z#tmb%Ew_G*-iCvF`dv{?G+rcfS0fAaGC~-^}t+fvHxXW>T za@uoF)!g#IiKVO!$XHZ3Bjo0lh)DM@=Kg0mCp(;8WP_7cwmJi&q{ws=ok8GrlI)H( zlB%qnS?&$u5O_Bj!uN7VP$~O?Yy(Z@0ZjuVIvH)1T2ARUlZ=J5&PFY}XKl>l>R3A1r1ck5FvW;sXLb9LtBkU! zm}&Nk@1YIQ;|TAuV+U`VOl50FW0+~vftAo10|MDj+l1xSaR%PG6~PCo>W57$n1m4%BnqR!}-1QLm&WbEtSAtVlS#_B(uw^1Z#~?W*~2 zxW88zv7E5Q79e9+>R0h*!GlV)2@aQt%Wt*$I)3=Yr<>46PB+;S>D7?BY7ETJE!@k}?-Hy|J+iOr7D2y7j zM(IwNy8sE0Exxm@tbRi0>wjLZ+nM&I7ejL==$e1)s#1{ldH*v5hz(Q&PdZZb2=S{|y*PW&*{`v);ips*4oD?@1~`KezYa{yrMa{sY}7^8kv*T6gb z`@SHaig{85Y5o8f;Xv2dI%jr9t4@zk?ys@cR8K=kxQ+u0Uo(4H04JAjp6R2JCgr`B zqdlui80zL28jB?FQJmn!tbXnS&{GYrNOcYOkRy?vQK4Kh* zhdVlhY)MK#(O}sh*5p9!wAu+Xd^$ud#EYhAMJ)%O!%nx&K@EWzFACVVYh=h7@%^0C zz4*5aPhoOYBL~QH)V2$ooPE^VLqAk69;(5RU;F6R5kz6Py^^YSj0^wQp~dhbjQLvx z*;ydkZYUKUkR=z$R!<8{1Jo9Xv3NYgv?t243f#EI5}L1iB*b;TtB^wT)m=fGsG zq>^8D>QC-BV1pwTknq#$t@8`(8a{_iCpeXc!>W!#kzzCgH#NBa=y)^TS@p<`u+8!&<@(d0$We`d$c)?_nmzxLVB(8CC<6JKdaVRi23Cl{L0sflste?V?!Kw=}wSw)2E`jWqsL~HJ^p(z|z1i4N? z5g8wh3M|kI25sX=qExwv&jXAH@BH|4Ix5emjYVa6c-slDt!wa-1028+f_1pwknRuf zF_G|cm%e%Bj%^Ty0*}2#?yeJ9{%n9%QZcnoL@F$6y)}sr06nA6;?3Ud<|UG zdG`Y9i17;@2I}XDlW=cJ@^$<68|{TIfNB5h>Gu0vNfM{hygM3kIZ(KQcH4~}nTgv6 ztmtJukN4)wneuN6!s0KlpapuZ3A6L9>mi~|*_~p69UdzS_NpJjM(IWdBu?GaDjT<= z52R#Y4unXtW^!{N0t;kRx&pjbjaMym?>7Dk1KJi5QoHGj$yh(>qL@Jfy4=4}X$`T- z=DFyfEHzDc0wuV{r;BIFtrm;Spy9O-_Ssbms0p8D^!M8zpDldH8e-3AvTPT*U#Tax zsB8}oUOipUa-2Fjag(;q61lKG*C|k*!L8ARJiYYgpp<7DbwkXjUhq2N1H5+5GPf+jFv@ z7lMOl7n30j(U!#n%yJZIkZs|y9M6tgDjH6N8J21aq`7GfPVV=Apl(@kMAR^U?hx3j z369uYn1NYk4KtF=sEt0?46t|^BD#UV1-agE0N~x(FLUX(58| zLOJyA$SJxH7+}zsXl*PUn-aQb#Txx%*}>@+{R)Yx-Pvk`F*)Y=HlM^|Ya)Gox`f8Q47iRmkpzCBZ&7vTFAmQnGQbkb zqW^NfYdZrM>CI_^;1)IM3eC&jIV;1&(|Mp3?f$76zivyYj2?P=Of7Y++gAFRGw)3f zP8-a7U8#u6!g)Ne49W51i;Gt&6f-*)dS^}$UXnS^V z4oE|DP4d*AZT&pbpn`ifke7T3p&_5DUN`zu>7Ci5S6s5;uWp6?4R+?%k(^ybM)o4| z!BKbUBT9X%%5O)gF+lSOWVGGjQ8&TS!9}fh6z%q7(4o5`R6uwOuF(7;r>cwjp(aUf zs=jqd@>Mej%-_RlRP zD(#+ToNQesT?Z`ev57dR%?O{9@$W!^)`j6UJd{hgG&o=XBRJpmh4IREX~a~fE|+IU z)+h>vl;s(*quncOwI<)$YY0Fz0ka>L!dehr^P@pE;Qhh1s2D}d@;LnOjayUtQw|KQ za|ojNn}s_sxKUG`_2*&f^wR5b@2!IjTIRj81t-A--n?Q2rfXRnbTgz~*&4z_R9VP9 z7vrihrqm_%jj5LzsH|URU{%GDwjW$YsW$-)HOr=_%dPm-1p7$ik1Sg^&9!!{xehS< zx#GzYReiN)6-4XKdE2zzo>fsi*SxV^qmZ8b8+qZ;CW%%%7z2<7*pcx=u2yDf*sx@hwx_6_!&fc>YiYkU+HW+nkVXXAWWU5lu;&_ydFV3(d^%RW&cfWr>|<0 z%tD8uY~z8iYrcJ(kj(oX8dm$zvvGdT#x|+upgrq+S6$EH&2k(KbN{enhYll=z985Q zy_L1y>wpW}4Vgi9+cH-igxeq=19TDgQFqbovJh3k>*ndDXtpN)EUCJ)ezHj@ZzfV}J?JWJE} z!_!~#2P>=PE@qsiS0Ji8#To~xQhXFwVM9ycn5TnclC*2JPfJDb#-j#r_Q~%8ne|#z z3>BCGTfYq^LWS)8h6A(DKaCOG^O|hltgtmnjR5`HRHqP+H8c8o+KQsqUL&Gk2-ppD zYS|-kn#m%xRbIi((qly_MJYnm*4*0#uE36N|ZaaF~6(A|3^)~s>zCGorP z0bMwZ!NOYW01Pju^`;%*D-vv53MLyvHjN|NNPo_8)tQVNc9zW4-42+2x+h^_omV{?CR}}zTzx&jD7ALqfS+*thd(5^Mh>=YcT&Fo2=SsMCTP5cCH66 zJ<|ah>r5syQso~o2}G}@oKM%5LlCbIwoX}k?6Dno%8>S|d4W-Ho`>jUAZHomN%vGc z=}}uAa?mFNSH;y37bj3pVZ7A9b^E}2Y8oevAKz%z|LI8Ddx!M~z75#rl)RBGWRn)6 z?j&{8jlF@Opj=&%N!3f!ix}FT9>dnCmA)v!)Q}$jWB~ASKHR`agHArFq@Vy^d$_DD9s}H*9<%Q6@se z5(ZpcfEdouVsV^l@KKd^`t0Q6RypHj&YS^?husSS9`sodn+`;bfFV!33i&L_@h@SHi zD0|?}(6of*cs1ANKk9?VM8-Yw_uz`-I|V;}1nB-)EIxe%(wNoTt^WwLhh^5(YXCa^ z8WD0TRc?X4wZevRPz7lWuHO5T7G#0`8L_s-KdK93!d%>eg-r@RzmaA`Jf6+di{C7+Bi%7m)k_hC@0DLOyP7hSRqT&K~Dr^Iw1bCsm>kTLq*$hKfMKX z3QM0LXxPaIP=`jXS&DyF;BYWNz0j?sc1L4 z`(pp=G)V`(VGJ_;Pdp{TtEXJ8Fd8wa-&Zn)aWln8+ z@%H>*$(|_zv2L+)cqr#KVnggsnGl#czo&|;>QET#Et(6qJ4ESkyv(!P&8+1}3G&mh z8RHzhY8>`kqF51fK3jdD;UCm*fcwYcNQlNzm`XXrbXS8dAW&lohltZ$X@G0Xa54Ew zRk+pPnSwkLo}DSDNlaMG@a(#yw_O0A&3psDA<9EjCw*^j50s~s-W;Pq+Cr8KV)Q7~ zszU@?NjGE1E74#CzqEJTKh~taF)W5d)Jyxt87Ne1>KjxbvK+Y-1illEWlzUlNP3$X zbFL@kQHv8rjZ&6+Lf3yeGqAIe-oQ1@_b79A>Yf*g#U#5)gkh!&?sLl>1i>djP$K;u z)+d~JxNfAA_c_ztzaLJJBxnQwBO%h^px0dmP;MDd@b}7qpC9gyExGbfCuJBYR!Wy~ zU-kUWa4VzwhK00u!HbO00q_}V%wPxIiu`SC>|dpUMd&kWNPxCw3~U=Vg2!UpN_IW# zWDO~!Dl_*EH|sMSb54j$FNg8iqB>+$FWRY3l?5w`{BHw>RKoET^0drNBrNf|6n22x zUA{y$oQJYt4h>O0*rmt>c6O>Lg2zIyge_zV+G9JpXmn}I#Ped^7}EqUC}f$&VCgCT zGsXfq%5r?b0PwVT`tkPKk^df5M+UTcDON(N*|YHe-M0MD%UExN;Yf66I2Qhso;(hr zz9xpp`fl|8Ehg!BgThBe<^C%N3>>ZEVDv$+pNk&iZ?N*A$obs#*bJG>Xgg6UH2KIQGCkL$Z5HyCpL z?adIK(P_~h+a5mU4KO9Xh|#;;bKe_k4}tptakE2{#+1ZQ^c7 zOAA1Sjf*JPJsExM9&*$>UtvvaVRmrhz461m|HRF;t6R73;n{DYCU9@WY|CS!nv$9i z&VH-GyeQEi~ja@7f2*Jq|nv9qD8Qls3s8sq!MD*lI)FJD#bXL!Bb z+cO}3=wdDQu+-ceLHce*ZNckN3@fDq!P57yJsupTKC_6-#LO72_Z4!xy^A%8zMOpj zN7(9Gc`?qjM}Y&e)fvL)ZtqvPN`yR6dVS!!fsCr~*=^$3@!a$JG>)3Y6`Ey>^N@hAq&!B9!Ic{1?Q5L#?Yf$3qcHA+bZeH6R5~=h(*DlFfCF=4 z{-N;ild5qhuMW%7>3riHs9YA%RNUnC&FQ<8@Uiu=Sm3Sq+C5nzKgY;{V!35}XM6&S z_y(G@Qk}Vr?7^4azJ{%sM9H6VmWupLw~uS0tMc6X)ml-O2T^zKQwKiT^6fMpJ|x=9 z_y~sMKfWI}vAeH#;9f&rWx4Ko$+%Cb54_1bjmyc>lHiZF8grald!olLwtW_Ad)021 z(Di(uyoH}%Z0n?~8@na5z8V5~H}AZFX4_#ExCwA{fF7;57_>c6S8+}{1F6>5q(5i9 zV#Yl3jnCs<#F~EH$gEyw$?-9RVxFUu^>VrHuDnAsoK=gd8gA9}Uf3@!E+yQ2Ey~;l zC+kc3wts{R_Ol$>aSzN^U5ILL&f<_HJAZgQF8FZyM?4nJxX~7I{C3I?0Xb%_?7b3y z=jG|%r)uTJFYou31x1a2>a@{Nx<_r?=~vaPlxdlDx;argdobZE&{S;_a~b26ZFwqd zX!)4f%EDFiJE+dmuy;h3`1=FZY6lP5f9)%?@cMAwV6NA&sC%SN;>9zKiC1itLLueR zcLkZZSXxdMzy1LKaN_83b@;P>`rg)QSor6^uGriR$W16kxc1IGk z8;HuGrFS+ehG>>QjXKFyBJW1Mms!>{v$jt9eEXHf$#i+MPld`_x-wo`3(^y0DHKPd6O=*f z(CZmRKRYp&&c3Z=TjgTdkyy9cdFGBB)@?HjK`WM{uZA3|l*{g|UI>;t;)dz!+{KWq*=Iw38pQBpu%(FAUJG$=PI_mLWNv&z=@@Lb} zXNnCoqC=uz5$>eOy4&H;yh)$-f5>3fbYV)Coa$njDF(k~a^;m~z5YlD$1nm@VA#$WG`Nkug(dL{nm8o@~x#!1&yza@1!fsM55(=Wcrjsn$Ql9 zckcEL8dczLym3@CaJv5rd(J&UkzaZw%wFMhtcu0XG+(B^Y| zdOGyD7x2Wyz+mBw!l4@#0^6yn9gabhvKL<2DeT+k8k1zL7}tQbShdc4$!KA~Q~@F( zU!L}ON3kDazf*X#`_62zKx8*+?u`E1+SgGpijSYYzg&E~P;1NINMmfO(ARS%1ZW-|>Z#{r&XvPam@A?8t?kUT4eMFy@I9 zD-fl-iT`N)AXU6Fh^|^BqoUzP8e75DnnabknnNECYw7nsjK z8==KYR!qJX)|6Y%KI2b57X39~c_mh9LH=7EzoEnmb*uD4X<8boH?le4(`sm$sZ{1- zdYnk-i8)oA|EQJE>8I5#;-?`zSM=`0MqVALS=Y;yx#3gkZq1)xRGW87@{`k*>TB)C zXN^sl+AGCc`)NOt?_Su90`}ii@g^=#CY$f84*ogR({`9Hm%fO96Zc_&-)`X|Tdm$QWjjQmjl z@$-P}A%L7&lT`2SkzW~oEUhQuzzF*rPOGkR^)e2_+re?!*QSD1WOF<9yboXRlTl8z z8-d;+uF*}PiYgtPF0G#9F?<&s0)Lbr3vco7-tZn^k4S@$m1vh9bh8c@66H+vc`7Nq-9M3kik0vrr634qxZ{u=lwO#pm;EBep+rh- z-aliwx+mCC+m?T>YA|)*?BdpU>53a;a^uI&q{9Q}u50`3>v&UbE9}`;?q_tp8J$Po zPCZu$yI|7i)bwuq*eAqn(797L3)_2DyZmTIT66PY9JFXVCSq~!Y|2`OvT9E;ViWSQ zn%J1hKdq<5KPVoVrp;hi|ewc6uU~R2`p_d8u!5 z*k`tD7yM7<*gou8sNuXqcW>lwOsGBV-MI5TTu_{y_lDt;#dqes>Gj=H_U3eovN^|6 zS(^&dxo2GavYtCT*{r#8%Bvs{_zL?`c;pV0q$ zmh1T&6~&UzU)8hGt@IeFc`Xfyyk~dZTr|!@3I&%q#CW_#f;FJ3q&7k`LSw8=KrOXyQ6hU+q zThnd#!_kg$aFwq$lWSFToqFf$qU}M@^9g;!T7uqpTOpEccUb#EwLutX|vY&DVD2CwL{XzxPDh< zDtF==SCU10vwCrqOAchCR8(ClHr&j7uqf^BoGpiotk|Z;h8MP7K`;5^vi&M!p1{5S z>2!2>hem5#JdBUi)8&d&Rzp{Ze? zhb<~zW@I6*jr7(iDrzQbWZf1kK3iIZzsji~qO@V@+2 ztSM$!xa(|>>3qs#W#E~qP~hw4t|JH6Gx|(?>Y@|d+k0nEpp{MYZ)dZ zWj`S{;FeL>Ize9uXF@e{J$$&Y*!U z1jAwd;fQ>=W!pQM_g%L7KW=zMyWP5_b46S3^GcKCQVpBIqqwK?omHmFr>@QPl&N2l zO?Xqi?0ya~O_P)U#;|b;^N5i6P}20V+9$I1LQ8hI=9574kE!fSul?Y3Tlz2Z3Mzr? zulu|86Qz|}N_Y&-_PK?>OWJwTbdme5y8h)|oku^B6*+H~9|tcszEymg964)*qgN6d zlvL2H+AY1se5pVhAqeyb4##)j?6`w0;vWAx`~6i-X6mQ6tUuO{#2;-=ok zwP1{#68`WsxhOTWalc!HLDl)X<|}Q)o0G?@)}vnW*5tfLF~56zl&t5@acx(rmHA}L z_EhkzZT_cTU;QpSJRRV-aoQAq)U^C6HznlkDTBDvis0+wGxU!<41&L1QOs@ju2nta zW07UV3hQ0kS1onp3FIkz-Szv2dy|}JGQ6e==Mx*c2CBW6a&w*}>655$SD;ECe3SG~ z2F1MGes%TG`jOTT*>ybF?^D7~9ZBucy@&&kc5w0CNGtN$0*1wQ>jo~Xf0rKgnaJteW*t$IYs~6WUMbK_cOahdCM|RH zYO$k&428WzZ^gTzjGBGKBOJd=dP$%~S-q~5jw=R+UlLz7xJm8nYbtrddc!g99QfuQ z%aJcvZe2e+b1;}LWwTC~RrO=q`yTYK#^ME{Otq+(p}SGv~g@%x@fMF#+a>o!lrjj_yP7bkoSLV^@YawkS z#rXdA1K@AT=wgku9*2s3`;>HL!_m(7AcyTfDZ>+tZr}OoBxCd4&Pav_H!ahHrN(4y zpCt_`dDBz78;}pjv!2xzc4sMN^N`@b*6QR{LNDt51*VmH)oYK75I}ZxOw3<%ZambQ$r5z zpMpx9{q*8mO^8(3g;e5IdgRt5qyDE*MO7&eE&cck$bbk>_la$G?{?YdJ`Lyfwk_ev z?6h?=k#3Z@!N9tnvcl&coIjVyO7qRHO`c~ShaA%U*1qP*YyP6<14DF!^uzSyz~RWB zvb0^y*_&#Mb3w+aC59hNV^~2G>OoF6l|R^uf1gr`#$co%D(V1+mi$Db^vSa zot3$;EdRb>%7E(%8(mkm9e2Wq6+*kCp7_ytNo(zroOQ)V@+QaTIP0oAUK+c}x&|E% zpi-6zK7FIj$Dx=nY|+zj*`EzOWM?NGvgEU1!I&ANHp;zadu@)36b-@B$>DQHUsWH< z=C`13)8Fn$fk^A@L}rx~9>?_e2i8K%=9iY~*lAvZmD(xxMq(Ig+dStm`3!xNUYgk> zW|znZ=DK5Gy<7B$CgEH}4h1vF{1E&hg12bt5gPYn7B&wBhbxEI2z@_Grhq)JyMy#4Q zCtQPr)VGK<7Kb^Bxa=ZoQJAg*c~syXE)A>AnI*tZ`r*yW{D&9$(3f>m1M5jEss1b_wA-^6&*`X^eDdnNTwMX(zP9 z=Dqn2QQy7?r;x~O<{U`3wJ)CnS$3O;vU_osf4`D3biq!k)l#frwwsGvxWE1{ffD-zf;U#dGQiMpBPyL*7T@+R8KoZsO~=QhS&|&Ww>3=aW|7FTIaM0?!l;mx09N3Uy=Tw$I^kOZ|B^g|FriOV3H?w$6T2`PB80o_;~_&1pJ4l0 z(D}TyWunCp^-VPHLu^R#4a&JrJ*S!J8zx!)g1l=~j;>8Kf2C04*uth;uzpgw!l&Yx z5}P|h*YaE@W5y%qbDF}YBM#w9ye;bmITzAiKL~V}rp4cLds-Yt_aW3}VB%fYeI4?= zGhm~(*r_WXL|8ad*m15)^z_JwYWvxCCtB8&7c{cTf3$Cw_Az? z-*P|YTTyoJ0sVkT*OmD4=xuSBR<6YJy!cAp1D4-q&(eFx`c_&}XRFLU=36`{iscVm ze3Nqj`}LW|mo}CA>-$+J&pg(Ul=Ro05$QC%rWa>3E1*(cA@bueRJoH>aIYY#;7qY* z8eOC13FOUthP6{XzSJ1QQiqe~R}@<4$zG3g3Qm`SFIWE5ejfNubxXcFu621y7#C2s z`X+XxV^L-`GP6TaRQFa{l;=5#ycF+mdEP7Q`Avu1PHj0e$l@OFAC|J-5jFhTt~oyc z-mcy~P4aPh2A7zTPfk_Fk#GCEHW3XjoMDOFErIX|=>pM*-Ye8vcW!;UbLSaNO;~Td z+}FUZ|4Q2dpwau$zoM}BX1sH_;j?=EN4oT#s*2kuKL8=hL8SIRZr@l1efH7|yO-kI z7+~d{8J!>Wmjk~?o_8E0MA|97{buETnX^G@t0d*@Y99lKoZwZB^X)EO*XsnYeJXkF z%fN92t3{))c({=K{H58MdxKYi?3-SOLa0t5#z0@=C4ZH;mUoS%7U=#{aWSeQQ&vWG zNNf9m*Dl=Uy*$$UedC2h6L+6+^eb%{2V6>c60B5cKq*ljjP=$jUB9?hT!%o!-)z z_FWlac7pu;p;K(;ok2fW5#0Jd66PJvsD|YJ!c7R+0A4iT8Q0BJzjC~`B_y2 zCrQ7anoZL;V|P)0*A_l|uJ`im?oquA2MZxYc5V)R>QM^|Yf@``32o-U)6QOrw=1mq z{<@4;c_mp7JMiVWwOq)m;JnJ6Zbf&#_cBo8FcPbyl149nTv|T+o82NJm&#zZ4`y;i z=l*?;e0K+<(I{?(Es=dc-g8^^R*!wf5b~_wbD%u}Zb2)P=o{37jbIj@7MNBpGeMc1 z3C75oUOv9IHi-TE*(9%t%fy}nbUaGc8Lek}&_MK7rf}^Zf!_bCmR( zdOxV;n2%w!YSrG}dhzJRV_v{q5+7}Pz01I$yqmyPv!5Xag7adXeVn!{8;EbtHd ze;6qt&drka|LHi)gR1&6DHS!ThZYv_VA0kPFo zG&TR|8ASelqNb*;qW#BpO?535EpV#fO#eVu`v(OQ+i546>FG`04|{2S~S z_Fu@_I%>ZL3L?m@XWI`0D^f*3eZ^`+LxuTH1fjL0k9t z%IIkPBH3?j&3|I=LH~k;t7~Y1Iet}Oe;k0=Ak9_O{~*HNxIuEMX#GJ59ZePZpVU#) z`89yw;9CCx2U~+g0Wr0-;UF#kJ^;bhesSZU#QuGvr3+H{4`eNE?O&bzM%MlZGVH$_ zHb_hLzgYxiB^6K>_SWz3VS`6%;8cEN>--b@zah68Nbf(#w?`5Uu&ZCA1rIfTPeM&y z<3G{_{y#<6`VXtAuKSy(wP0F*(Mt{NbC1)1j~y)D{9ADTJ63_K{G!6%xIw|$Tj)QC z0tcc0I8@iw`H%d)m*e^u_J5_F_EgTEc2ZM={Ueb!D7C+?{#krl)f(Y-nFIVE`bXmH zJuRhr?(&tOuu%8QSHK+1dnK&FOBR6%S~0J8Wp8oTM!gcq$Jhzv&8=Ng%cTZjZIs?@PTo>ftKh8i=hwJW5?$>vqc*1pm>D;~h zK!MWz#mT?E10_Hg_8SG{T3y(06p+t!VZTv8zR-pJMgiARcTW`m>>V78F1W^jbq{K4 zUA130dvpHBwAJ@+*;|J{DgHkw_pPqx`BxHdEl@uH%E7G(15X`>;tR0-poh(fqj0vY4O>AuB zdfyJT9+c74+J972`$+jEPj}nNq^Tc+0~G-R-;`aN!^5HpkM1;OO6I-1w9b!}xhb)` zD|SpQZfGd(%<83|nOF!-+KqR{?ZMlbw(gRSR(T>(iMR!@CF(OW${m&yff>=u321O0 z7T04{6xOkBv!!QIF!l6Q5c0IO6%xw#SGInHI)Sw@``CNS0uH<7Tx#7>5;Ap+`*Lv_ z>xo2_IBPY_L%vfp`~q3nyXS1qlX^daNzXOvt7<~SL&C0XF0XNLvvYA~U~ZnaJY{j_ z1F`#%%0cDBxRPhSfj$9#OF!oCYes=Nw)?%hAJlrU+6d?HcZ36Kp4exy-T2$nW=R{zGyl><_pg^~L* zexJxO{RHsrbn;qjasP!@`NaZz+zeXZ-;(`{8~=AK4oZ)zosS2Y=3PSz6u-Y%TwPNG z_HRwlzt`8lOwq5tmqY*D-%grB{!vz_2k`&@{yzo&eH3VAqBHuh1Z|HO_hd?2P3Lbx z+cPl#J3#}j6jrY4YEZN`UIVcf`pz2KH3zhjSYANX5yx;}hBEqt|(`#4F8iu541TAPgeY z!A3z?Wrk+GcAi|7gl5NC>JG33)B=bkp~>J5umg!FuR||zjl0TV#f()+_qQ3c`Te>XrH9$Z@TIAE z`ygpr%L)K2ErCTdhbYuk-li>B`@)ucH*`gD*n`9ElsKffN!Z~d_m(TP=?7)wCPA}X zoe``|7I0NySK_FQz9C{ASa#&`LKUe9ufs*91PY3I$ah)JLU|a7H&;|A@~Ge2^1=Cv zdYhIbj9TNYoKZ^|N=vt`^@Sz7HT>b*5Z8LT~z*0EVzv2Qa+ zPy?2TzRuV_A0vbjJ!oMzvgg}e{lZU}_c-lNbF>vPUY3G%U0i?3=&kO`nb1rc z9*h(J2=_^0p9SK8rI$Yd=QuIf$&^Wa61VuU?S_bJ3<-||29xLJBYb<%jYzOnxFN7B zTBXg9`57&V{*f=XNo$z5X#r?7WtIo9@y20x2S=0ColTm_ek05aw?UD!ZtYd=E;{Dt zr9IeBF!Asa_K7HP(jI8daOuhTqb9pnnetUH8FHy}YI7JpC&sKSKjIB;W3<|-pEabg zeW{uhN1*2ovHKtJ_Gc^=e;Wp9d8bm$6vm1CsK-R%bT?GuEWGYOc0nOv9EWH=1l{X!%w%h~K>cn>ScA}*t>Kg$3>*mB@LJSXR zPn(dy=9Gj(FsVK1e%&$CWBB$ED>9r6`sl1X~6sK~S9Kl^T!{%F-K-4X436W3qBI<_KDdX8i zb?&rKqqv?hvG0?6hzEA?E^Z=7ZI#;q@FP(eCbEuOU+)ckm2j~fy5)-p0Kn-autZp= z8M@vayp^lErWbko5ekS~0*LDEQ%a`52G!1H5aS&}fi4<#i%Xj!;}DnEy?h%$~4!2}l!+-s3>U~y>N>WsTFk0l zh)_k?Z27TmEFBp)mpI#u2Z%xr?0r0HNK*dfXu%K}V`+q?jr%%2rRi~Y#Rc!TBqm>2` z_EC8+%Miue*>o9Gn66dergd^ZgKyLebg0M}I(rC?)$hX0RV#(rCzG-fD$-TomUl%= zMpQVB1Crw=DgAK<#=%a?X=&B_34l8dpIlXH2p~I-o1$_ViF}OS{09=jQxp3b%Br|T zqT5WDOctYw4$;qrz{w)LxXPMfkM#@{g_^AyrwTpBe^N2pL@`3^)QBGJ1IBsm#*FR9 zu=*#9g%X*LnjHFIC+5M!MjbN(3!At+2xGfe7`;&Ltqt%256>#VOjkD91Fy0O&fI#5x*&0HnFs_LP+^+0g}*oI)wU0E5mizctpmgYr} zG+qpm{{S%FA0;B%@1gnFtOqsmW_V}`G%tZc+$}O|Z6zzoxy(Djcz$k-v9^hO)#R4@ zcyTt1NS{ewn!-bEMtLmf5)l$4)P{Fo#+o5e-n0BAhLuEK8kb3Ju4h`^DYWDwkL z4y#C}ru5IoWlgE*%?G#JS%H1fH1*ijjBjiVy5`nSZOA1Gd$e7jH8s4sykJ40zQU*SkMiKj93|j6-q-6Y(pv)VyZa<*`(y1XGHUa z4!|(NRUN8Jx9D%POwtDWjgx`GpsLBXZ3a{qKZ~15qBd)9`&5{; zlLDGjCLXA>OiG*tX1AjV>%c&>!iWh3^>wTO5A_rz`tktllW4-)6>{0q6Y6B5CL<)9 zmh}QM_zE@Ac(d<(`?89KiWFcBcUgssG%!3w6b|adeYqKun)QyuFjgAz*^4F5iHNGYw9oWK&z)v zck3?zTs%+e3v(wbbD=#Yiac!UtSQ0MCctdaa;-~3GM`KdK>F-EHZ=9X_G5 z)tJ=j6-=P&Q&c3b^5ye5z+rZqONS)gzp>HIc7!uh2o!;|8k6NB~<+5*x?5``;uB*U4pFNCRTIYPGV>RDDf5O4CehfdO2W(Ld7dsC5 z+_+STAZzmzm~vgfw}+u0Jxk8L3Skkb>0gl^8h0L|HEmsIBn-mLh1yqHCPfx!=O(eZ zB|H;xBGTw-;CdxME7V64BRWi-jt(W=0P9P1OQJ0%rtz3p>>?bg6F9?TCFpQI*MD{yfb_D{TQBx z$I6FoxBS4?I;~=fJjGOCM!QO8_fTL!(yS|cu8=UT9l(R$%4Z~*kEU^Ht(l7Ngbtno zXuL75G{7Yg2D}2uC$~WwR-{gEf+aGb2sRWXa-3Q}bX`Y!_=awa!e}+Fw-hg-6=mpm@ zSo=HrA{X3sUKPJM1c2{Oj_7sI=zR;71oF}1fHP$+H*TqDGAYIImb&I8X+0!~8hshi;+b zM?@~aQlNmhU4FHhA-Gk57dQYu$1D3KfH=q}cM4fOH_tqYR!*{;gCz_3FFaW4HyjYV-mdMHIpb~`$fiatY@XCC|#cuSN?k^brV1G zlaMHecNQM;`ntjTdeW5qktyAl{?ZcBbhlL&w+>#f9L6n*9KM3t>eJ{0sY{(Zth*;q z=^t7XG+@mSFcY##`Uv-^o-A?F;zUh60oK=Pon9Id7s))p16FX~bw=xI!%IfOmHg7G zTZ|f|Ok6LlJs7Xh7u#&P0%U-5yP=bueGPs7kuRRY6$Icakipm2?zD%BGU&OXjZKU8 z`B7tC3bhpg{H$YcfBEHh$qQEH-YvzTxzO9k3MK(zO7prTmsFIx|#N(9iC* z8rhfd*@II;$2W6xVa}4*F~9Vi*wsK7#nHkh>`A#80F;vfd_5+SNv43n{OLTK@{ns? zlOiNOZ!m5&U`mohfD<1PCp3h zp^MSFx+q;Sla>U!ki6?q-fU-Or7e$g1B!icJ6#rQ@}iUHq5~i55H-Acz2$F@1G_Rb z;3vbA2VpW}S*}Fsn>eD>f-_0z^YR=@XPZ-$Q+nV%I{>)S)`I}o)S;LSqP=MRLs#mk zcq%BBpvtGv@T4Ux8bA%_kMt@Y8q0h<8kk8KjEMt)vq|_o;Mh#qZ?X(F&g|#--3dVY?kQqNt zla+-GN}Djj9@gZt9Ykqd`p!I~3Ev%*QqbdUHi-7%;b#*|c);eHJecepMlw8*BFsrXpdolz)(R-&-B}0iVPy-2^x@)sk5_*Rg~v6~ z(FUDYPqv&)R9-pLVANC3rpc9W{GbYGzJ_YVth+8zrU3HnPQ>z}$PF;%A~djaDGli; z)8%@mFX+^keVSn-0FZGGcpyfbHPKvCzg10atlcYVgQ#10IB7*AR3YF}&R-u#3h(FNK>ZcO18$ zcm+$a9;ooCd4%66&tfqw(!MjaT>DsRA8H1C6_~wjB>dnFp#vw$?JU{8d7V%3M)l?_V|Y53Z_^6&TiSP4nPHvT$Z^0D@B=s@=)1iA31Wf9Wt#w*@(LA^ zvXRI+)N2>li!j7&ZR4?l+boNlz=Cw&ufHTPWff#>@2V3=eD@Bt0d@?d!hP`Sswil`GWhV8SwrCS zG7+QhFTsJH?Od9|NLRNcG2Ztq;}_%0LEYN`jXVjBJWJ3Q^}R3MM_LCxV`*9fbI)er z=HUC9NupAh4_03uQDxq1ayWxYeYw{}6++7b`3M-^+;~{oIG!Z9 z2_S)`8OT;Ob2^9}$jOz4|7- zamOXgkDRfN_U93u(BQN_cGwkY4q9dU$tUJBlzf43s@ai5BgquBLr@cD)0L13Dc^z$ zDis*Wh7vtHF(7%&ppj=F$1WYjB#B_mPedQRb^vdoN!ei%iDG>Jm=l5L)ekpXku1)4 z%QoL*r~Ftm50s&TQS}-GH8q$nlfUG0Cwi8^1VMQ*5tZGHv7Uqw#?CfAel9EO&jj~0 zPCVK;XCC#{eSP_U?oAz?+(5GxE>5`ty%R9 zD7oa3k-+zHw>lldxC=EMT4z*O3tcn<#;Q9O#?RzYr6((6GwS|W{yQI3t#{uAH zYve&Y63!LCUlOii*Sve~b=_^3tOdKzVLwI?73AVvwnmaqupQ?UvGKK1aLq`7tGQwq zSHNLolcLwpuI2MEp9IA%VriCJrYu*Wwy(CYj~rJ|Mb0^rX>#lZV!YGnyP7rpgOm$6 zD&Fy3nuZAtX2IQ;Vt+wMD@}ELXA#fG#|M!qtZ{>v2(#4Wkw4aeI?Q&ItQd%#`kZnx>)j$~GgnaSBn2363EF#oNr);l=a-5c`F( zBY`z3lr=>{EJ@0Zt(#G_Di7F;_Jja5{0?oEH7S7=Gox;z&t(v(1)8X#Gz071D8`Yu zc{@n#G#DR|NEq}q!enf-c&8z0_dshK%9@0v>Z8rn!AR68&jJ_YM;u`eRCOMM01GCi zYZHckEz?jiG)9!r4a1G|fO|lel$~py4EByLf~;5_!hq2f=QC8(odF811pq|jZ{?Z} z6!UfB7S3~wlL2b1?JPSSBos_YBLNux9={6P0jMCU`){t2Vr+}pl^QV;N5*Md85c-^ z6CbC$Ha|L%dR_~OGjRn+e@)VE*Tkmdct}APlIri-ZtCWEzSCU>EB*zPWZ;1?DMdsP z*g%PNWPm~Lx1mA^GbsqX zKb;zv_f%HSWEZwLBlP~4rzsJFYg=Wlr-DQY+0xrsa)d^L5@!eXoL+JTKB1;`Az0OS zKVv9(+B_5tUIVKlaTqBMkf6SXlPRtaLGg0&^dgPlR64apgn0nR0kCUUIfI%Ov%n?)mj-FR^nd*0e; z)R-Z3UOzELb`-!fJ0mH*zW%~*8KE5dal#}#pHIFgy}!oLI8a`^&RG^-SF@Fou?_%8 zZBEdS8R`;~h?qopcJ_DEi&n|VkZ7_bKwFgVy_h``j8LL}Ugw5mdZ)y3v9t{VPS?7! zLyfeT&t+vat3@XiOb&iJuBi#1zA%v-d4VW3>VpH8ogrv5A#>FCBpyC+72A?0S_D~8 zSbawc4Z6t7%Pom)FyjOkqfO9lGn?KzzL_hOVP*U@hw%eZ$|-N6;_5Q0Dh=yB?UUaQ z5jZDG_e5|ONlM8F9l)sb7GPo)!lY=!;*doV`1v8Le^T5M6Y+Q?Io=p+Ac0yUO6kd9 zS;5_!5;A$cGOIZb8%03khPW7kUDwzKE8`l0FmDA~vlIc^^fcQTON4|>TTN0oY4t5* zOSA+YeX*{zr{x4_C)6~bo4QDfr zwnMGSzwtS}HBmH8F789bc3UGCvW!CgP3H9{G@6a`xh`6+g~$4;4s8qNHs3Q>L32~flomi zqv51`GbijI+90IjjsAwT34cSk|diJ6w zTTsEKZfBUSuxe6wyeBUlur3mH8EbJf!HAzp3HmT8D7eMFlHTu!RY7SCdT{;u!{es&{ zwv7@RFl;9?E;CN;S_5_{W>Rat3N(MU;Zx{}au2FYd>^fG-)QxSp=(oZoZ3F{qkfA_ckmIyx$jYVMf?Jm&#r3=sy5f2^}7KjGSAQ9575#7RzXeT5>BO6RCh*F$Kuzg7_c?5rL z@xVa@aBX;3uiq6|Zc7C52`ms1L=(Q(I0f5xy$S;(XfO`y8cA_b9t#<6w-LC|1O%E3 zEdsB_uSp`%60itOJZ)DITEC?M({ID-M~b+0x9)0d@4{$FFi=5>TpP4P0uBDKL2Zb* zKWqzuTt*DSCT$|t2kcV>`gu=GxEGVLYO@JD3}>j7UT*t?3`v zTe1`hguSiGh7H2nHjWwfB*lsG04q}B5GewFRg4w-X-ZZrg$GT5=x8vunIrXgl0>;e zI=k8kDV}u8@LgU*1S*_9knf%YJV2~anIpCQx0d*RR4y&L7Oq3r0= z#^#i&of2c;3Iq=1e`Q8&BvW4{gr6rq&|LqZkS5J@!u` zDX!~FDY*ihxUHVl-suciGG9n^J?)`5yBPk`?P6y;;93X{NfS(Jjtd22lU96uLS^^C z^q2Bn%Iy~xcyDP7z*U0ndy>Y-$R3xk&2!Q@X zlgtkokzBbl8rf?E`h8eWvKQXe>@}3>>S0pi{Upf<1`3X`=3({2O)i9r(&tKv&sKb% zZR`tBd=Q{hPSrBb?^WlL+}T>HyHg}y{Iu7&Kjs`$mTS+AescjP6G;5obpS_ulo#~| z-`!RS6!tv5k0r9ZCh^HoWV&#)=9*k%?S!CaM&MMh@yF*i!S~_DGdwIr%}660q8JRx z*0+oU+gv9r6rXMaZ43?p0Z4#^3<`3?4dk*HiJxi=U>X@LK}}|YKVgUi@Z(g9{oz+= z2IP4868=+kRl7w}u5*AYHKkxhY&!^P)iR<$0tNjiZbU$`7VQTR7Kws@3GF_=bN|x)qxlPgdE7h%ZigOqNiG{E5L3rKnbFmy8 z?;0OIRU=qYWCE4T4lYPZH_=Og9EW9J&~wcgvRGJ{WGi+x#(F2PUiS>)1&wZ+#SLe| zzSkWJ?Ht)u*5qpN3Ep&X3ONILl6iIz6jspJoZ$hV{&Is3YIn$TJA@a(vTsm|!`19) zJywQPyNhy9@tLJ1a)175ZP0wNMs1~yWeUe`vcr4s+X9$c_uQKrfo39*(aHEts(2OJM2{O5M zepKggNk6}Ef=^|dfc5zh#d&A&nK&)$rz8^Gc7u-?NHIPUMf3h4@=6XvK0yDNl9}n>unXyU<{B1c65j3%tmSMixw%MKYl z^OLS?H*qiCId9h%gkb7#S)yQ;d^17Q{gyoBmpqT8Ezw@%zd#z=62zW49d{|mZScD8 zq9zDan*GP**oVr1Nft^0Xv8Iu<9M9$QKLT$)%b1a1X#fBp2}n+K5u|Ow%ztTD^$UZ& zwh8!LmTgQVnu{QlbCyxF5CLvKX={?CYyyz7@Fc=9Vpba)NajUU91A>ynlyNFT(k|K zY;F)vYJ?*mC?f~YP%-4;fm}@+4|S8%I{}IaieS4dwRzDnY?k!E3s;Lh+6)E8$qR!; z~2L64TSPS-JSnK+nYu;d9~rcN+1N15GEPIlz??cP?=i6 z5J1I&!776wgeWgE3R*=3A(DiE6U5po6$hvS3POMaA_$QLC9R?eVi1H7;iW=^1SJd! z$v&I+%YUu2&iQaYoUX2}E`<#Hd7i!ReOD)s zR};5CX_%5L*V3zMs=nfR%FbC1Toz%C6Ur3#=eVJVd482~nNaC0Xqn3BgfdYy4r$fS zw8bp-s%7u1R!t6KAn&8jc zjDzY;4)cU~ts9=Q`*h^Ju}wIz4Iyy){-d610z6}Dk6*}e(`MXFZ&7J_zTRd@zYmry zyK(yVw543=#=oO(BdNJqO*5Kk(tEW_lxHvN0SF8Ip{uX!xabBkn={!TQ^H>g6Y)Ft8+zbr)`}fq_XB zPOCpcGTtEy0MNpmf0iI;OVyt8qh=MHvYPIVxM2M7Q;d}TPnx$1C|-+%`%R!&p=aj3 z&_vy|a~EGI+)pcsTe$QMA5Htb$)1BA_T(!MYET3*>{vw@A#$rhJH7a;gH8y08+N1B zTrFI#uFQJufBt<3lKM0y-pzcat@q>xTT+1R9l_*zooCBHwfAa^?cI^&_^u<{YZy9g z_fs>@a2z)iF6(*yOr)4%F@&hW-IMQ`%EHr1QHB%+LsoVO9FTQX*Q`#|>= zpy!L=9|ilqGMF)UuC5sj0qt{e&imj&1D~vOne2Mu0H*>R;(DpPDyotxLFG%?;#=60 z+6Lw|;abG~AqS&gCOTbZU5|KHltaAik%gCC4*~$xJ2LjVF28HooZ)zT+8eZB)j)ku z8-RH0@IB(~G=Vk#7{f(Zkk;=^rF$EwGCyL<18nklaAk8%ZDtY4i(30;=0!k3JbGlg zs>n7tNmC1q$hB%Cp<4A$Bg$as{L*e{cajz(`}dGGxbJ81w0 zXJfcyPPbXU@uVbWs^@FHP1&C*E*p2_8G%n|w5+ySR~Kwd%qo#@x|zSgdb7U!5kgwQ z13B`y{&-Uz;;&k8f4p_Kf}2ho+y3e;Q51p(Khwg(qIC#=YJ3>Tb4-1Tw&Hw`QYWqj zUZk4fSE+rhHg2z|DYZ3u%}j0L$?5zUIEuDFF%J%@N#0((_(ZeD8~JX&8zkY;I82kh zV2S1V^)js$P2qTV>Fn>KN$_!yzKW=Lhjr6D{}=T^qSHji0FWh&ZGS;3hQ{P)kGO9p zTTNEtW^OwG5t=4!%LasHXVo&{SPoxzfR`LLGz%B4-g7k%&WcaI#K@u<6jg;fR`dA* z(|!u8!nK3e{I3mdsAB%`dz}lsG@sR|u8X%f+v8MMvu3TzxKICrk_ME8@;%?1(sliv z>!;lE)IA}3?+?W$%A#dG)YEX-k_c&Wz zM-Vv2wqOYDNF%5*83w4AcT7_U+H=A<1GL6h*`F;C{0*>NgHM#7mamOA|@S^IBQr!&tX_xnwRRrCC0%q+@~n!Q6oifXh%^G))pXkbORv;oX(7BJg@L_2Bu^HSO&o3f6EbX>(&&pc4UpF^a$sdw4&$5XE zr_dkJCd4hQ^?Ccfj&3maO2us8_xjnI7f@<$4eOekR4tI1hL>4}jLleI$3ic9ce{F{ zK~df;nQ69vT_m}BM-*>B|D*x+mle-w9Kl8pJ@rlY8icDMU6-)aCp&wN%V{B`WeHqQ znfvcNWqv(Cm7qjfdo4M^vHa}yTnQPagFNkgl(oUcv}#I9Ir3ZlEhv^pIF9h#2U7}D zigsH-GjkHC`+l0{R98U;7D!&z^x%Soev{q==q@aUo|%-We?Pw)^w#v8slJz$xE0|= zV1Ne5R{~X-0zg>C;m*pvBB%u-cAe8zEsc<%<)G#U%#@!@@&aIN$b(e_I(a*wdj$$) zeWAWAp3NS4!|Cg)+|MMP!H9Xegu-8iPD(Y~Q}dg8?rDtxixAkK35iSLwa=IVIEMd8 z+n+5iT}h|&jSG)5R<(H-Oe|ua1oEmIjU9S#s~@;;@Uk@vWyhbp5Mlq=)?|li_h?%u zK!39mSRmZ>|JDj2KAfWU(bSF5YxVz0Wb^&rU>&mkh;jDrYiaZs?EHg^TCQt#Z8tg^ zl0X_!q({>Hnw@?N@X=BTbeMR~M0jC&w1-JPyXWU-dk?>{22-}k;t!x<&NSDxsK*ad zs#)jSe~F4We!Z2e&Q<1?%@zqKX5luZl+?RVePcoeeqC8Hq(ALVa30q`?cGc>07_{u zP<{GQT0#4MG`_Mg_p67xVP7UH$26k1J(Cq z*U&S2~;+HaU8hT zxu#mE2i{vykS(**Qh5Sf3T(tBsdpA@2K1|bve z&Abk42#DLlEuG+gx>28Z)U@|IEue+6l+H(m$&<-ROl$oUD62ts^kYV*Z(`T&lgJ}D z4S4kA(h$y#ldKS$0TC3`y6UtG43km%)Ml5#xqL)~t<_h!W4Y%CdTBjwSlXY+3n9_> zL_jGj=1;)&mhs`93-Z1mCi{}UJ06nTTq>9X)!r?tWLk-hM7fYWI9D_jzLcBh$Heb^ zst4xf(s`-s>kf4^2#->xQ5JW`EVoH11=7)= zfb?Wgq_q&6xIHn_Ocm^rJTal3W^0pJ-AU@oalRi-RUYAl zG4O8HJ_~6j!P)ET+a%hHYFk@k$;pKN_<>is5$tN)pOn_NBrA?0eR2^=$QJL0h1Tdo z=O~t0h{IIfNr}_7Q)@ z`?pns`H8NTl@ciX!xfPq_+4*b_R7Cg{>J!P8~&-yh#kX_R5Z~0MI7FDQ2l{RAySCL zMaOHP)^ysN=WcXvB&VPs2$H@{NqgIor1N>d2UZheXSP5y zpTJ8{n1RK@($}CuWv<-mIqiW^-mcn*Ts~$a(%6woJYnp3 z{mSzI21V`g^2M%~`~y(h#Pk;kwnV$+65gQ~l*==%my(hqWdAdUBV;^+&pk+@*^otf z@1KXj%?$pwW1e%$v&;|C$B8C8vr{nStW29Q=2 zM3rVX_JVXMIOmaF+0&O0`~L+=iYHCO6XWf9LGx*U-32eSxdY*9tHnB$5k?NayZp06I1i7|k3xn73R=aNru?^?K_)l3%y4*3p})jMIPH z5uOzMLEx+CKh(^2-xaD2)Eq%*WqsixqI~-O z&c{yOS4O<#oN}@2D0qZnCzA*d-0~P&UL);(%-REWBM)u4T-ENEjkN$QKq3W_@%ru- z{HE@x8lH`izHk=GiOXIAqz`z+yoHpJIdhG-b?77hsJN!rT6thzCKkpV)>C(e$vj!nEHU{y=YoV00g`5|} zN?g-J^=iKk2%hr1woPjuDTaKKZX1qmpMYqDepq&gkFQg|u%@R7bt@GQpqEv7a{dy8 zq5u0-@5>fKY4nNzWd!cS`=l5uJ_h=IXMAbuM<0<{uyAM@7;@&S$*mrN(Krpu?9aR7 z`#q&Un-l89bOQt0h;Upg9UfeGtpkL6TEwn86Wr!3=wejgH66ogTqZgY*@RKbUnAZ2 z32P?e!u(1Tic4wAT8M){qqcE z)ug8^{ul0~cap%&lMroQ3`Z9-S!yC3kM;PnGwV({fYdlPrE#OGrobg%*K)x+O10b2 zd}T?8PuOh)f7=+|hB*+R2M8zs!+q~Czo*-CP|rr}7Ol6A${T~vn6Vp}yH3-yneU(h z^~7O>nvgLqYiwn^hvh{hK4RDdP^vrcs2Eo+$4;2>WPD8N!@l@MaC*(Ab-?^+mqfp(6$P2A^RVpuD%$g^uMhzYMx;APfxx@YVit zu;;HD{#+p!6v(+06>V&r8+31(#zq}RV1hQ`DvEP>V9}Bcs+gM&QlTaz2P)bC;4ba# z-+#zdOKq0=`;@K-EX=pgtMT#8K>dZ*qi#?^@C2z_Q8{c|r1=D%g%%Rbm0&g+e6|xR z{WuAgGaQ8t`)~=OBileu-GXKr$FU6KHiGjEJ+8Q{al<)>l#o9$rgu|Rxy0Y2@f#rS zmPbenC8UvG-X_xr==vRNO!|Ka^b{BAeAB%)=P46CW;j1Ww2L}14pyw+Gq?(-^?)A! zqSiXgSjU`8gh&Zcr>NAPY8Zr2iJ5W=c&*7qIf~s0ACZ|Ww+)b?oNmY7m}@`{%qsxh z>65&whXG$Qea#An2m=8Dz3*^FUDcklWDQS#0gzNT9c~aYKO&Qeu;4pONONrkCdx8N z5gN5d#M9yZo#Ii4h3aJf1DuAw_i7A$VgOKPk&g#Jg*Su9*8DMfi3WzSNj0kalp~HM znTPD$9myXt%@l}Dq;B?Voq2!H zI>C+Xq+-S|uje4jph1&k>`UVk(iIU|oJz@rWXOHZopePZ=EAyVKUq&+q{FIWbHIE@ z$aNbX5aKT?;I>S?2u3-#2?3F!hH1RJrg`~hWG1h_LSXUmx3ysKtczwu{Y{Q)?IO2D zhl#(n5m>0e!txlXZS5RQc=!cJ-_)Lhz}H#>Vm_>+Y{pg{q4#sdR01*PJ8h$f8C8;gYQoG%4jRF%+932RVv@^Gh{c)+)9$gRRnUw62nP9 zOewI2tOp}Jua}|8!y=Y;sA70Dk-(-IQhbgKiUVmj#gD*c@&h!xG;uoS2!7H;htK(N zN;*w#LcY}(EUYy&U(qsa28MWOInNxKbWmU)?|F@kT}wFp!F#pSNcIsL@N!n|sF0|D zWO0jZpQ8RovR_r?e7yGo2;y`{a$U_Tf$KuR7%mDBe-m4qiq7eZHFweogE7^^HQ!I> zlG9y`_CIgcgi}ZIEDI2y-;K{vWI_ScO5kym16QQ^x8{vpmBi4x?y=S3%eafNS@MB^&BP=7p=t|T8E^>);Z(FhSm^-N_*_YsdBC^8jB zJQyrE?JNP)uRByHA+S>OL7@kKPnG51zpme5CZ&D5KBI=Wf43E<(U3y}lD)uG%|NAJ zEv@0kF9Tj<=C^ltmgrMaagQCTTH$6xil8Yr`<5!&?3DSDLgk_N@++yWNwiky)~v6r z2)6q#mOf_de12f9Lh6Vsu|$mH-mPfHRa!E!ej=}4T!LA9v`}0+<uilh3s??wSq{0a(e7L>xwZ`PqD#qt}HMI0YyMAH4u=fRHl% zRI3UVt)V*07HEa2XmeH#Ks4|R^Hls`odwx98XX69y?sH@`D2|1lqJW0np&jr2d|yf zw;fsJTkXjz){VlwJs3x-R5(y;<)VJJ=3V)NICYFt1hc`wi4lHccF8&|6=bx^YUUjlIb)KnApd3~Ps z+ab6EYHfZP5IOwStGx(ICfCdw7U^L1Ikfm~R8nT*ww>6YfNlq<-x{a9)p$i&Q`%j+45TG13Y*xiY>)*xm!+XFp zmQ8I!g(#=95-8@#f7Y3=_;`J!5mU-vZA`=%_t&OTEYb(Su(!Vv>yC$qm)f=g$iHc~ zEkk8#_s7tid?6?w_tMm5^DnHD2PEYO&$>QGGTYs?vexR0yRG5eMn$2{NSTG7dp2dw zD#H~r=p>J?n2Clt&$y9A)1cJGCX6?6RBWCtZVu+XC-fh#O(P@! z4Rl`@RU>d3f#1Mc_E!BDl>|WF`Ee?!$SP_*g`Lv&2nGVDkU2Wnyf(N}b!OB*KW56c z_tnivUNM833}L~Pc?nIa3XxF0XD+@d11d_xCG<=MOHV#0sj3yM7fU{owH{1C*7Jrz zGmup#QKpvTM`r_vxp3CMsmcOrau>{v^`%uzUC+8Ybim7-nJRANgHEPrHRf(BO+w48 zu$o`m2t;ZRi3k92Igg6!ZYAn4(UPi#!xy+sB*2jX>@gSZygQMQ?7pPx=|AR10+ZpO zJMX)v`)B`S#DbbGAVD=1^tCK=e%UsHJ}&spXah)%~#y@oQcXF@xi+HTVr`xz3Z!%*4AlW z>sT=HwKnLcB7QbsS*vETQX;bS{n+o)oe(fyUBi30pCH)w;Sa=%+!7+&{0S;s4-YcM zVuf_chvm6>^sB?1sP$j-yJovDGt&xp3EZLEtMQLu)q8T{U6GAMrNE{zGF@}04zY?p zBIavtz{e5ytqkAoeQ`hez1H+Gxg(KXc`gfpHQS}$()sU4RbH5EFS<6X;RcwjxcFQa zSRo~3##FaH>3inhf6+IZ)pgK!?Q${^P-bYAoI?+N|*v zGI~jM?i@$WjZjk5wq|}<6=A5;RxMQQP}v zk2I~Xxp~w`za&n7BL^nTmr^M~^;7;Up|A#k76EaS+{YseOvr;^3;O( zQ*)m8uM^&OHo%gTu!|X2M)X?BzPz6m^LvR)3H0SHAq`i=x^-6Ft65w&q-il&^8mMl zkPaa^dmmqGS1PSS1?{-HUWz_XwZ2il$g0WD;B8BbgByQ^AWpoU&=9?q9ML)3mh5`A zPa!JOSz530N*5ZQsdL_hZEf&>7WS51;E6=D-0~d90T&Z;d_D0~p2nsh-J%ioQUGf% zS!;*_9Kl2VW=#o=C!F1=I;XMEBkcj_%5d_*KUfQ~Mz5p#W8nZ2(rzwfp@-opDTcZ! zZ$W!g0{i&L181AQOZ(speM6BH;ptpW%wT-~T)jRlB{&qgmQzVmg}P<7bR>3Ue?M2n zn;!_|;b_G`!mbUMvk#&;AM}aX-a}&AK=_%YquW8QoXY`Fwd`W5N7^$iFb=7P-;k4L z57;npysu2zO=g96_nuLH?hRU1Yoma=8vOC4vmx~`5*F+1f{V)K=M2{0Kb&I6sf6Jt zy8L z^NzbEKgH|1t| z$^w7X=+uwO6)s*(xjSu{r^VPWGdV32N_zt05WjQ{@h`d=8?2~#qhhV{_C|oIahDB<0h9m^0i(rAT;C#v}S6b&`%xLa{2yx_TTTMl&D<0l@;WK2sr9? zQlOHgXGVy(r!c}(pK#djYvJ`R1b#rZX0~eL33G`cewyv~4BXx15$(Cjc;qiCjJik^ zP=iu)r-R7DG^!9K4sY8>7ryMIDc;J7nuT3D9gTorH}(&Fr87poR^O6yYOB^ z*eaLbDUDt)o8F)sRDiJOctCuUqxZKte>xYM3x-Zzc^FlP#$ygYZFfndMlX=isr#3c`Momj`hswk7jct~zaYtNjps~^t=azdjkm0*9F?5mXe41L3ZhL&5GW*&ix9J3e6QF4Svbmc zJTAtBfJJ0^z0Gn13~PhV{!M#!?&<~KS5)vYYpz}v>?4$G;;<$(fAjy*!w^l(x3v$9 zg7CR_6HP^;pEmOnY|~a;W@z?md691Xn9M6`IX#Fa``RBO-`mOZoPjrotEG<*%?qRp zPv3+TaU5qcWg^6X{0{V6b$0(_yly=*K5tvB=L~f#IvUIa)sztBK5~yY`2eR280fG_ z`FXRS9v-@puX&%xtM;s`gvA$>4!x(sMS+=8>?|JYAA?CFnSUu9LoeO#%2P{46C+n<$YVzL6BAp`I zD66IBgWx2XvB_#CZcd@Rr&U!!NM!XU)I~%`dPV%*sLfGp!|HcTg%c^1{ktc*{}!~S z%qgV;2Z*fwh%6*lQcoJ-%kHAA6IUnXyO{l~wL;!aJ)4CBaFgg4P zoYSgVgT1);mAZ=m6bzdIs>)P0ibB&=x+s znJZj~$SF`r%FCfP?Ds=Z(FY;i%LDF}k$bRq4^9^tJw0RZ_i2~uFCSqX%|;@fl$f_? z8U2i$i?2+s5TiWOq)OG905x~m&SgXSOi4+JMDa2)^lejIrb16xZ=W$m>223Guetk- z;T~*j3u7DLA8gm4LT;cNh9!6Aqv71&>2vKi*$1nVCX ze4$9ZQw=9}--US)ApaRTiLyMLdIZ+wp02c4nU^Xb5pV&ww$a+`2q*3XZV|VN^Z5Ce z7(O0aXA4`>Vpr8Ss(Q{{fVb^``LIerEx+U`3V`)4oplrRj-j~SV2&|LzOr>BEv4N7 zOhrbax~?@oWBIPvq!?(w)PE5SKd6^=iqRv)8EZ>#l#`QFU(ipWID5RkfGybT?il#& zESxXzX@b1G?xxWX048QG9Gi6d;3@}Q^d434XzbTM zwk!P%yeoO_m_<3Vb!ptt-u9Wb|O1A4?hbjj|s!=M^RP5Gu z5u%qS=`b&frR$&uXyxV?YKwFdzUjsuWyiK&k|trQZ~%cvi6Jh(*mu0*yraPjj+2hhTDjTMY$NR zq%NH;sN1+J&%e28)@;s|h}8uMSCmsb((~JAILq3Mw=V=kc{|joErW`L`{Wbmh#r*n zc{|m4k#d3jua$Lp4P>gNvVr0!rJp!u{XoD7*@k=OKbnANKyBwlK06s+waSC0A;+X`*i4C8ceBMmh0~8SNGAt-7pE$ znvoe6j@#?3+?QnE5I+4)JTKl)>g`U_v>9C(K+m;tRkTG{kO}6AzoJnKp+|2~@Z6S+Ky=N9~rvsNvl{R%HTEJaVOE zC0de%z+iv|~B&ntDI& zBIb8Z)JYR=Inz4PR6WpGvbYWKs^(+B^O;6dL6E?ykfw2MkTL1ZUIR>1C~` zsihGxhTZ@hQdQ>vsJFm%#ALP6v154cRCsj8dX>ZX%ME2(Umm&TE zl;;o`w!^UQ@opuL(95`AFA63q6V0RjSWGhgAu^4$~!;k6wv`vV91{RN?dlVU&m zM}hqzQ+SoPQ`Cz0EYII*b6jx{_UiSyzPeSji8zDx7-EGl($#7#WBxi!I+IOiSa!uZSTOadf~OkOqtstw1-0lXWv~AV0H9dJ`{n3d=-r- zD$@FEMw-{;7};ro-F_rDy)U7IHkz!mM7#j(&~NBt7u$ciljVX>_1d`~>FMgej=~Pg z5JNHQUoBvBPH&00#3I;jW&e|Nc36KoT0}FR{0JdGDda(RKD_Fz>^}DwPLw8_+LV<^S!Y(~+28w^RX4r~>WMJ3u8Vyf0a3sZ`VfJgfD6?uF^nJEnh+}piWf%`kB!F3v7gtf%1D9Yh<~X+X zYYrVVZEI^rXonUunPr%`dO)PU!+w1}jCxXZ28dFPS)QAqAN4HJI=PoSUd zdIma5PA^0{c9mE6T^7cE@>$$XdpZlcHR33)gF(UJ8`qCQU zS(xO$#+t8FBe4G^+FW)Ab`sNvwg%P#d~x60ESmnraPDW_m(N+VlOpSNn%2OaSR%`_ zX|JXucOE+GADSnD>~33c6J^Oca-zAfuihxuRClV*oKVYzZ(>OU^I*DdeyI8zW=K_? z3#R1U%GkB^WXuk8%!R_eBuWJQ3AKU!37j?zWn0sqekb z9%~cx|6q8+DZ_qgw@MVf8q@!Wr}f3>s`@u8Ki@@kwpp^xeZ+4PG}DZ^I&-y0--&4m?kA#KQ!iaCRZTg;z0+)qcNzBwn|2Vf%F!)h))H;kgwX za`nt+^vnym%4uowe_JVj>K0?fiaOE7y7t_?VC86wO#I36_SV0jb4I`C_8*K&D-08@ zMeswn55zp5@kfN^M5sQKP&wHKP-|wvf1@(`9>ec*;h%Mg?zmAm?D6b}GG{Hy)DAni z3TXwY{#mhP#g3Px#1&!fqCTYI0~k&|KG~o3U<7J?O^*wf>ZK(A&4*t>7qQ7Pd$<=( zfEbzHL>{jQ(H=zh;!OKuio0X+S>Af6t@!S;E4z+3V_DZ~+@Vw^{(I$bdj96oBOyXL zBxThBv@-B?9=`kUjPk*o-ht{mYX?Ju+aN-x!;cpa5tk0!Jo@^fF>YyVnST~z}Yf;Bousc<~>3z)M;NDUCQD{TeOkh;mma*k3`pX z4txN+_$+J3mM6_?X+3}))*Dy?yAY&jsp_AtJCqmtrQYqrf`+U#lYEM3e32i*=03@Fi zgorCMroQd~ZBx@xjN5C($lm49|DdN5iS@L|Y!-(mD4@jdHL*?IA}*qYe1DuO{0NBlAuw zN(m20++H@H>B@z$@|<+Za1VehKv?Tf5Uiv~X(}vZoVR{l*)6X6>XCbYq(>*WW==UM zO-@!TD}T27W+epb9b)~PuBu}UG~lVi2c>NgQU$;={n7K^C0}wb!qNq%MN1lGeYwNK z!>aklC!!46LcN`jX=^2C@)4|dKDmvy0j{W(E9EZ5J-TqsK%_{$8?`1eXlz@Ine!p1 z4Q9dkC;Y*|G8 zh<({^>UV}HQFN@cG$NA>>$q`v1!7)wT`m{DDNge%=eNQeEiJGT4MQ2c_lI?!Vl>Oy4j*%Pf^msY9 z1)C_r9=+D+fKMc_5csQ(lj-A5uM}e z>BS>Q#5JESEnnmDkd+hYdheVaGb?$U&W;_S*6{Uuc0Zqs!LHOmu}axJwJ0JPi`u^V z@Tsn6gUG8Ej0od5 zG$&zLm!adiDf<}9EYaof=c=JVf58u_*#uumlriwK{&TNzsB6gMKcoHo6V0gC zSy4Y#lv$khH6~nXf1tlwN2i-e)Fq)vJbca$?V6I^#NUnYBWQVP zA>|x6@RFeg!Fyih4tC!-f z^h;Ou%znmxU5}iJcG}54F~vUNmgq(W?~T{X;&kZrihO6ys)Ip4Tfo;)orL`u@G*$} zuxsgvd(4Flt<}iDbr0b`)Wmg3n-T`-_mJ$e3*CX{RObT+MC=36PM>^s(r;qDmr?Dv zj6Jfr9oQ8Q#D%_?c62YX9MQKQ$zFHx`FmZ{q99_vZ9%-JEI8Z1fa*mr!bY`pf71y$ z+IJ8gB7s=t#sdb{6~6mGhmX&;=V?hs%uzeG-%&f5A~CZ;nPWE+A1t2#16!!W{zyd- zdOPqn%YDNN0-932cYeg)jJ6oSBFPnkxWm}Bl06(j&C)J)a=IHlynF6WMZA%~ z6}B;BF^CUl-go=?X@+k@J-Vm+E4BF76NY{~Uss*A^h*n9w}r*VjQ&RrIEjfg_j0M-=yCbNfepvcOP!S!tU>i3d(>& z6x@K_z|uMNdgnpMOJ!8UgN_%q-1UA<(!5U9DC;4+uRhsal%$!T1rH+LYQ6}+100g) z%L9=`er6y>J|+mGojABR8uHti;8uGY?D;6uWiS( zHTwUf_p4uVXp)x8r`1XIW%FHXe6gIB&BS9^SIF#l7qZzOa~6?v`|{SE+N_^YhcD=P zS^J>#N#CqZ3GNNjIv=svaVImA#sL&nJn=ydHNHn7<;NW?b(FX&g=#5z7>>miPl0R7 z9~#p;m#5?dbM&So8<~ZDmvlQDYl^~<_&uoPA}x^%dN#%M@i3zzxI!|KDdA7X+CD1Y z85p~vc~3pOF64ScmjT_R<^TGA>h>M(c~6+yzMs(T$bk2rSvLD!JAyE*a}wFIJYu6b zmPt>Ve|FZ5^~Xj>%M>)~g2c`2`voc7q=1GIo{XC2)S`@#^hgI~OGD$+TzHe+-io*1 zik-$|7(wiwXR#;YJ>typtkS60VHLQqDh^(^(b({q@0LBt9x}4eLIdB$z#ga}ei?BH z>ygU2jK#uBWk)y1+Lpx_{NgB1rB7-36^F<9HPUwtGOxbfHU3xD%|qR(4C1}(#QXZu zE=Mtpw6zPYTX@Tq1Z)zus*fkx_n3P0#)cwF#hD^4iM!6cDl_v;RR%ny+AQo3=6qjq z8}!Os556CI_qMt@J^sm5krCAOH4&x% z8`E?*y;=P;IdSR3PfF7dlGpob@@}CX{lVO~4fQLP`D@~8I+gi|mF?H~+ouW3$^7Kq zj(zSvCiHq@_j z-!R#{do487t`PO?^)Qv!xaLgKsVa`**ZaA884 zTp0PGj2<6ju=+JG?jE|he-B~c(8A@%-F5-9ej|64@gPYo@;Zsxn?A(dJ7oMlKx#Mwo8A4)WX zR|y?TQBwNiypFuP^v+za`k%7+0GVL2=y${ULG7Bt#eu3{3S$ z^W7Z7L*rcNDfFg|^rpa`{mX-U9s6_AfeW?|`wb3Xh9oqp9T%%3lM`kG z<_F9VvFoT>9lI@$q_n5yxI4@MD zIJF+7qmOKUOnCM>K;~n5(q`U9CD^Q57Iu{1SLRFeAo#B7Z?3EFzP7x~Q@mK%bbc+Q zQn7LLtS^~v`#|r$#j)6%RY?7;$(H`;!_y+0EMBAVT-lOiQ9;JKE9N7?0eNR%&;>3{ zl11!|NT#lhZJ5ol=@a5(yT=Z1v=-_u9k`d@BHh&9{_9RzSYz8PT?s74F;35>!&^RGvM7RhzmVJzs%LC`8OL#AD*}; zwOX4@|AyxJOVkjHRSTymi5uq(Z{zwaGDZE~;jr;g%;-6|pKG)u-k38b+%MrsaEubbX)+j)bP|8<|iQ? z%gRQot%r@>*UqbwRorp)qA|3=R7hh738qd1z#Y7q!$1IQL)2F80(JYW9SeQV9O zh4-xE-ck`(9D4rGRc&~TNO!o8me=q^IMVau607BE*1c-7=UdsHXFqUMwX1-;waSfp zx|^E8GR^x#JtsK2L*6YgHG;-&=6G$@O|9=SD8|22$yae{Lbi~mRG#6fck;+)ju9FA z<~D+lLYB$OXUp4+Er9>22+|Z#lG-?uCLyEm4xM@s3*}*bvIPH3!73 z>`^W}8*vcHPl9k_Zr{@7XnI(Bx=k|$B=@?#y7_|X;DS?$%I?f`&V19tm9;%J_GJ}& z(73Hn|Im7)aixDP6%kmpL@{Wkq1o;RyJg(!n46B;j7-`W5)dSCM$tBtgW=(S?5^5i4b#OwD56CuZzyhd#QQwFAIhpsVSY@ zaIFGZ;5xSikO7H1r!TAhrp*W+!%GG0UJ-BIo(>heZ-ghe49bdVT&3ifl{cQg0OgJM zmS~S@0?Qo>s3v54_!{%R7~EuJ$te`W@FVX%K8q> zc#~*KTDX&6=FuHUQ*v@Q9749?!Bg8=381gfYb@FOU`qP&?>)w5l2w(|}as=37CR&`rb z2v2Uduja)sVqv=*HN!8}PRZ^#JKbV!N>Tk^%)JFro7?&>PASD9Xwi}&1zOy#NPt3V zDNwvcf)>|O9D+lE0!51yDPEl77NococL-kGiUdjW&p!8_v*+$P`!{p$%$fUVh7gjK zeBZm|UGIA3d6I}p*v)RTGb}3z8_ZHfRy}$1G zgTKi#f1Pldfax-U1J6Amj`V&KcS-Sunzkve6`@Ec^q%M->Y9S?$ib$3oMtuIl~6;9 z4!5^8gfhIzVWm^|;yxlfeHEhq2ky{5|BJ8&v!*U13*nWZ(i$WvOy7ScbV|T1$1fe%vROCKmw1=} z)wlgHYZ_5HBeY%H-i0+Rs*2Xvy>jU6C$3}VGzR~jh=K@!$t1WY4wU*bGa5UAxr=I| zAG0i|EKqk&6!f|Yiq4;GH&al@Brt>*DjY%2@0Y~OzM=ma24OC?S|1_u{8+K~nb_U~ zNWCcxz;eS7?sVSQNJInnr+;XTi`L?>Yt5hqB_WGZV+nn20DP`gd3h z#Vt2;O#=M7ESiFXC?-U=r>(Po`Ic5u2xqR+bq&EAda{EC9c@slO@xVqn-JjdIL?v_ zX#e9cY|E{%xWT()Ik+N7yvtE=Gra70rnSf_SnRs?Mo3s{-=~;S0^0@MMc~Ft%!d3* zl8;fxp+~KKZ!LhUPFr1%a~fBoybVHt>rlT!@E}VEv!(qT+|q(uGaYrO5+C=xV}_@KCwb?Pj5Lo@IUc$OoRZIPn3pNrxs*CAB={~D+=%HBDIjU=xbzZ7ul4G?+_#(%j)!Q^2FV65KbF)_Q(rA!43}D;`&?VXO z1Pu5B^lbTH)eRQ?@aP2MSTRxmshuCzSpo@R>8L>Pogk4I97uaX7$gEy4}T8@#FX;f z?Jzo_zzcKeLLVK0JcSr(6p*F#w-_9p)pXp18&2^@1moPU9VK2r_;dCzpx zxI#J$R!@M*6NHC4`P)mPZ)L@9YD+Fxj%-jDtPGg?fmAed$!8KAqVO6+G!ewq4LA>r z;C^b)Tc<`mS7{TBA2v~DK@$j?=9IjZJ!Mdz2x7ZKpN_9ggo6qRgNNX>S2mcGfx+8id@!eLBMcB&AEp$Jt;M#%EP@Hg6qI#Ch(r_fT$J>^ z?ZA$>ZvvdVX9qrtGv~hFeN#&^ofDSR`DPCV_n5e#9OY7$igV;Zqtzi$6soNjemj>% zv_K%5T_z8h)gOnh1yhOmKn`%J5jGWFavh@0IFhSDCY^6cJ68hZ-h@fto$s^|84PP% zHRbHn;*WvTr9~s(L)LeZ>@2~8H5rvF`V^}vtF&-_-9`aEJ8A|1@>U+IB{c|gmhczs$oo)1*eW7!a;K$%?<2b z^YnThL7+LTWr-O`M^>J<-j!n5j!P29h-pv%8_d;rB>eyfA($g3xpT z7!AJZj4HfQ|IL45Q%<90f+ppWSwpSKfISY}G4*YIw;q^U+>)SOvU4J|4Dbxya?H__ z<#)*(0a8PtF~-RX#(=U`s=vZMeu_W)!bks79df?aaUhBQiGy%n8NTVd*57)AiCx}Yd%zFtcdX?p2KMavr$L*i*FEO)Hwi$N?ZZ^RbB zuq)Z|m5OvWA)p3{eg>I%mlGI917kTjqaUWMIt+NefPCoI;WJI;bDsieei0!Ud%)Vh z&~=Z4L<Wn(bXf#$GUu@$D z6?hkVoSq3lQFbyXnTr#k>awm2F<4kgZACwS`mR}%wr+@C7?t#qDM#=)~J(DkiOBY1m0_|j@V*Yvl_w_zAtOo4bjz_8u!{iuh3GNq4$=263pK4{;&bN*Lw zgD(BW#j5icR|X@b`87tjqK~F)(Wn^=&}x-#8JQ^(J>NEC_xf{d!MH+~ZX5r&Us&6Z zM*+PazQI=#mEmVy`53B8BHJ@E)v#LlPZyB0&^Rg4UYzdx>B=-?8f3(a+_|k*uBBTx zxrTfxzkBE|NWBjP9ub!MwL+NClR?qb+pjeh86N!7^u&&sNqU*#D-n79at~Om0^kv@ zds#UD{CK<#T#ieL^qwic=W~0ZL>A_RLyGBN6AbwhA!Q}3)_DfOhN*BY#8l5!nPe7N zlK7{*k9vbIZii2{V;a=Q2HN5Ff%P%U)?cIZ%hTNB6^R z3AaKfrTCmS>3D}CGcB{iU2QD68}w$quxk3lPmC6{&ajox?A<=zYqU3hpxaB+#B3jtS5fp=pSn(PpN*{$e5Il`>MHw2GO7Wz00pv0g)np^;Sj?WYPPrgr4{xIKkt@bpLscz!{rQo$MusGS;zA|N}RPJJUpN4@*A(95vOsVV4zNSG%hd#AjNY*==OM=i#(pbdo= z@mJG|>)t2pJqM}N--rW@3E8O8TOP zHX1e54gY;77V;W<&Aqu6#X66~pnuI;#KxJeexK$d=rSq1bKrxTrmiZ)ZH0xFTu7ov zc+jv75_pAaC=89(C8l8h#(MtI{VbTkb85ycFd^8=CR7Gk`Hi4d7$3MHst;cOcui7G z8y)DMo;3quBKUlt<%;N@G6%e8cXPv65hW;7cA0qz<-;-#Z(gBo4d zmgxgOSGtUvIT8;N4_Jh$O{ugp1m)XvJPyi#AhY_+R$RTXj`*=qFgmhgd_E)?ZS!!! zP(%yfxzC$r{;E?Qj-r#IuBa7T3(}9UjYoPDgPI|6AXh1}&a(#*E9n3@CL5Tr3sdt$ z&v=Ocgwr{U42p@kkg3Bf&hs!#a()w&~hO$Q=N5bbu5?3iztyOk#awpz2xcX>Zqm(~0aTC5{Kv4gL94WI5 ztepYlB6Xl^-&_n(DbR}`oie_Pi)MVj3AIef6bIFVS%dai+r(0V{O#>-l_dpGWi_9Jr3gI;%!=WgHB?O?w!5cJhA?H z|1|$J%r<$A$-%C`BnDw}v61+g|Ixl-qliELVzQ6h6eNjSeYs_iE78;Ew_?Mxc}Fwn zuz$SieOcZex;_hO>zp;%VA!eDzaoiy8h!s*U)ssw1`Sz7=gL9D_b&I&kB;{F{Cj5% z_IWPu)Axz70&gq0?T#=U6KJ%jek^*E8uJW;R6vfSwN2(h^|EcNzobDq3xuz2k{+H-~ zsydPX;-LRes!rsus;M82CRoIE?x9zf}K|Cw$so&XyoPeRVTiOLI36 zpVoUbw|~5%;$Uipc|*HpMo|4l{zKTUa&zv#J=<2zVn|7PI-(eD4$ zb0Ys2dhXBM3gJITUR+G*zc}`PT zZWg>ivRb(eU!3E)ob^1~mo>#ippJqMEFQ)R5f8@YZnJsHkbx24v$p7kGcx~i1b<4E zdQFxwEn6MMS_9weDJ~vk2rTvE0bIkEQ-+GE9{sHQBBF5(g}#IyAa9`W>zZ+!an*d7 zXbo06yNDQ*wt>fr!hp`!*X>`zH=KdLx^C${!{A*+*BI^yrd!z!*^^t@B?^j%BttT|P9ojb{<^(iOO^2&d+K1|NrgkyV5RIu=kHOk@Yd#Q%dXv%USFLa$s& z(N2{Imk5Wt8x(N=&0&kDsS~CT=z+jKb9|4jpfk7N^;Oj1hsy;fCWa%>uhnB;rjFla zo}Zp|ZO}L4R-NJi@ug+Xd;lSUPTX6uRU{JT7Y^N*T)m|~2%RlEHg&?3T)Daw!W9wW z{&{Pyzm!g*j#Bu7_2c||Pf2fOZkXSN``<1zAWTsVC^QKQW5M@!`N7H1wK3rR@E8W) z42`QX1Z*tG7?_O=YY{oBT)4P^!%S}gb5e&i=5V|&BL4N@t8cKfNoOzbIcji?Nd|yn6gnq8F-IA;0V$X~MPfJb_sOKC@!-Czo{F+Q zhww0jDSG1OiWmZzg>ClH8WX#K&W!=gWfHg%EQZ17tyCm!?>~E@Kl6nzfWFkxpB%N~ zWg~on-jA`Zh{Ews31;nsj=Gpm8E=6;cyLhX7DpphH29Q6uT27*Pbu(ga3>(VLR2hU z5ePem!(jE5aAf!~GT3;=e7>XXf{4U|Uwm5{uOcq?_{te_wBQ4ExIAo;HJJ%Eip3-p z0*SdXXAE?o$FJZNyskDkv0k|EoVR~q=+Uf5yk*K8`WZMlg>Y{TW8dl#C8fMC^+$ZoEban=4yV>eN$YHoi zWc|XfZ$)nqvS0AlQ4V4FJlP%PY@9@zNNYfHG#*k`?t`eF6nA?U*bHs)hnX9n;{V30U3eZNaM|3U|qxR4AB3t-prFy30_%xY*GF}LgeGc>|rUlub3x>k>`$cVO%eH6XTz#QSdgP9Fr zG^xa|!h)R(49*~s&2u$wy{pk(5lAc&q7dA*fnNfMY8 z;}rOhx6D6%7Z`WQKTm}i3-rI2koU)R{(jr|i=oJ#lYxi>cgdZdAC7%4UzH_jTfGv2 z)Zfei%A$F@h#Fp)VJ7D^EjN8H2B!k%jZ%=?BS*8Be8)1!I0vHs#=sW&=Yd*O^v}Ng zzpyj^|8$@h`HP+TccTB(%>46gA^M-2nSuglAU-`C3pZ#5D*l=46cHc zy_2h!v#B}8C{wg_w=uWWRF=n#xsBU94NF%ACkJOIM@vUHkT{4>$;RHz(iLRDr(|#H zW~pdt?qu=DhZMB`*HfcEzTl77G)!F`-hm7p-`m@R{&+AV>Pc5P7@U>wjQ#lp+!+k8Dm;tVOnWL zvS`9IlMZ*Br`!Tm)Ko-wv=tMVNe|tjLIyFdVb+ryXcQ#N%GYsDR0@7^m7L1#NC^!r zFJCw8xkGw;$^1(JE27zin_p1$&}OmBN&R=`edP6<)85(Rva+j8QdYd!REf1u6^nByu0SfCO;IZ35MCdMWc;a|{=ABTUrz!CnG zm*eON;nA|(z?v=xzY!m~3I=5uLwEzeCzdm@#D=UKdVPC`f!3XWcecMlsAa`dFS~ba zW=3dKOjwvdz)G+EcYAia=_N`*$zyv}VsN|FY!;)n=0Y1zEY8<-5y&A*;5Y(c9dhmiEN*+hq-(@0Rb?)S}m&z~3}Hq_3;p zUR%$m)$UC@%_4%WeH1rhioP`aN5(7xX`mqHlNCM~D%th+N558a;RK)IP(z~E)xgvu zgP7CiCcI5cL)-B5cx-)~!&1G(&USB?fj-@0c)M9#F01Qy;@W6w#bbw#cAJ4V=V)!4 z=P8Yw5dXexp2Fo=rqcHypO(v$Z!wDk3l|S=S`(%;Djt8IgKyHN!<_Uij6TYIysf_Q zo88sB7TgT4_1C-OshoB9Lm`|5AN*UKTvq7_dH~CLfK$Z+ZYZP_%K&m=yQTM^yJ6cp zR0_ztmoU#vkk=8QgJRI=SHJ7eg5^xTM%mCv-TqbjEEpu3ZAANPUeoDf0#+_bANDv zCfPAO<@pOk+3KJ1(uu-4qMJjEjhfo#X%uY5tt_RyrOW6R_2QO!qc&|O=Slh9n*EWv z7S$^OG}mnY-H9wbx<|_*tu}8SnD64j1z_r;71wiNkBvXP>q@3t&6VmzH7s3MdVddZ z!4CKJ8Vpdz>4U@9wiK}XQ(SG{Ep_vUz9zUs$Vj-_AKv+5jG3!V@If8<&5I)ZyCioV z1nY0zX$K>_2|sQG&VC@PDxGfKWWFOBd_*$*TokcE*RPd8tuvb+k(_PA>fp2XvXeqN zic4)U^7KGq;Ma4#-`^;I82x%-yDC*j>@>jSot|a?fo7Q=xl_^7Lo~YuCP9F)qpLg9 z@9Xv!#1d0Z9cOa2oNSIn((jK?7l$mBHonHZtX6oF841Asx*%Oue z`vO<#jO(cG^xksKTW(gl2w^{+lT@Etg7NUsr|Z8SZX!O0=|QK8MpGuaOP{Z#N`vGs z3ULqtSUumBdC8}?X&{5l?JJsBj*abZTBmtM452M9EsHNRtPGFJ)|Q2yUqstGCTn+d z-+ae`=sXIBZ9Vk4{`gvk?=x1+$J0`FK5oBdmaTe!RD#6|>{8_S#3u3OwRLUmAHK0a z6Np5oWo!Er?~^Q8o}9b2yw(>UTbo$Y?XvIwxWH1t`a5l zu|4G`_r(LA5wLqPFXW+wsQrc}_9W2lzPfBZz*srpt+?wuv!A>M)A1fJ-aP7#UFcW# z*;<+di9Kv5SB_FGw9G#R$TG|A_}OgQ{IpH@i67^M%f)yX=3ITLi=9{K)SlAVr`Y-R zwR!h>f#6uU((&EZqW7Y!{V109a!>5i1rw^{wkKVNva$k=vK0)sovllHBT5|8JfLi-v{R`Q$Yl2}MUb3D?!1Z>UJtbQhDw#KsLkl0Zc*JUL_w4?_gfojl*LX z_NmhNfc!&fFwSx!7EH)9<}0~-G0~ISkw-P9*NaNN;*v};m$N@gs2+dAju|O>SKIHp zd^@yB;kBuVU_L3qCwq@0lc7iLWBNlUT_mpGRp_KLA@ZA?=_lkXaon@HGxB?)B|Q7F zgmxgQ(vHTs680yQ$xOlOyIjA3ewWE}_l%Eh3aXtd2hKI{Z`0Ws9i^pmgR&-Rj!exT z`zU>J*K71rdTJJL(uf`+BHTYA&oG$Vz&%0|aWMvrNc+SK;~y=XJ+@mDG47f^`R2ad zQ!VHc-pNupl^>|qF%wyF&V8dhJJooYUHJ?P_~>;ZzWDVa_IED*>pTe@GWXFBP7=PC##_-|%g9yrN~Zj>J{J8-IIpIDyx1u{JL*PB=Dmob+x( z-5WlX%A5p)cEakC^>(q9hx`i-Gan7sNz$gLO$npyY<4EVJnC_~+tpg-&MfVO=Dpof zt>J!KXAdv%zofOmkLp9KD@iUzyW7>FPTU<^{|gPQ`?+99cN zF%-ab{Qzg*uE_uU=+DoMGs6Y$YNh%j8?N!Mj#S2aAK2ub)XgL6vLCIJx#yY2hE04@ zf@~CRImeBJeR$dPN&vv{;IY&^JVp;G2hk@DczQ|z0Ls# zN0N!`!>FFZA)3HolJ)%jG5uAak|1xe3t{|AiQ$8 zJ`VhF8urd`*bGdO(IgjdNC$MNXkP z?0KUOlaet9XN!XxviidZl5qFK*H$^D{&$xxrki)YaNG|nq3fG@2Q0_5T)Q`1zWP|V zP1ekN9EVyv>RzU=B4!_| zORsQsEyAeeB5u9=UIJJ#=E4(}h+P&y)?RmNOQ*9?DN+(&_1N*t zOUtJ?WLfqD05|Lo{VAm)i0WpJCO&^p9{EaIv2WyY<8*otE_{tG58U`BcaQ9b zdxO&T7D*2oXN*fLN7EfwZFSq@pOzR&?vLQy|I|_=VVZY9U@Q@Ur4>!}V(A5D<^D8~ zMJek0)4%gfjwrWa$VLyai^uz|uYB6K&wX~)XAA>xcy}Q`yv!V)O1i(+v|K&*>e-sli>OdS;2i=Ihwfm_C2<#U78LS>9V2OtiMXdzYY9`thQ8r3bd~m?I_S zY{o%8-!~>V;5Bx?-O2jBE2Bs~C6!$}HZQBM5Gu}_3hTE>QQBspM{0b?5=9*&KAO9v zNV84#n?A9P^trvDB-!KpM0`HzwG){Z!z@N$;cPlX*LFDJ)m$F@X@7M9U~PTvl6kdO z`{G05_QBWGx;SzykMfA01OYAU1F^AV3rzQ*h@yF-gtpP^DLL6bNH+fSm-NmqKWA__ zp=?j8H?|hc4xu$K3F0T4*Db^M3zNAP@tq~*(AUq2w%;kl@<2;{jr4uD$BA^fCRRX} zIbFlvxj8?GbwgXr+rz1P8)&=qx`&(6<-VWtlqGDdTj|b zHXi`^akM!N%;@}O1xd3G)>WZp)?WXD)ma6iQeU9V)?(%NRGSuhN>e}4JJ&Y{E{lZy zCo$vJKPu0udndZd=E*}GRzmt}q5dW7ld2^#}+TT$MsUF>^L+e(k! z+g+%YlrNkFD3OBIG5#P>OIFtRvTOX+g4*EfsFr6%sXznIx=#w`kG0#qeHXXp5`st4VU4hr#3f6OXD7q?K`Zw?U{X5X z-_Bt0kfn&pUPb04yWy^J$N2#J>kcmEsr$I$BBCio>0BWT@viCzuayEC_*Bzrp1J-U zLigs(Em(^_aoVmSv`D4)u+$QIdr#$CR1g8bd3`Cs(|0-%E13=lKk1M_i0EPaE~z_B zF{=Xg_jYoeO4U0ULAm^(lg9A8`((CW% zFCSdLl#Vim5Dvzyt&bq6Ldmj=uV^cHVRKR;ldRqn(bPIRtmvSgLqmpPqQ@PgK;iGV z_OEj*QIT#7p9*2W%mH3e)@qza%XeL|6>gmL+)+*34c&}cK3m@+)ief@YY!NHtGxe) zo7D&Vk;*ycpEuArv!KE*rC?LcQ*NYJ327gS>8b) z1d?M6Q{Rd}55&{Go#gXnbdxOZJ+iwVI?f`bTdhfR@mzly4AA$-hS-oP8c8*N%E*!; zXe4#<{@!nb6%49TQL!M*B~_ycsK@cYs8u;t=uIf~4YhnxdTia#3V#>SO#e}M6Vl>; z{F^>aRK_zD_ff2oJ7>3+`ZU`IO|IrPt>oCVE>eD>F=1PQdF{gLYT<7)s&8{lH*E>rJ zjevX}fpsh84OcD!s0cWboupGI2|;DW&)=scIxm=ka*GktbRJ51Z|PhgAoS zt=o9535-iK4ZQo{2k4Xe;vI^oUS8wJBWWenx-NnPUKi&K1eJ2pvBw_)7ZOIhE<=xt zYp6k$cn@6Cn3{w(sdaLgx=Mttyae&14*ZeQ2MX_>cL{hi^BEe{8F>D(;A`RBk$XIi z{DCxm80pq#-1%nTRDHr8oA`W*vZbEkPTdGuOcDfA-9Sk{tL})sm}#@uGObJz zq3D+-TQNN{=_7^ZH7P(>PkSFmmY8T=5AgyoiPoh_CDYhc;cl*-X&kfaDm(K+gu*Ie zp|Bg=jv&$AKqjj^wuVZ;Dt5@jM_#$gOWiLYc^Z~|6Goh=NVunCSrIXFsW#%g&Ute} zy&Od1oBXW#2a6sEU-hMRNkWD43mCS>=;@kXmFZ*EcA-5QT5y0+B-V(lK?EId>^vxn z7cj5;{`hBB^s{^9U+*j7=iz*0#Si#FD?~-wXjy>}qtVw+hIBIFixMJEU~WOP;>ujWB9qwY{ zRO0t83n)^Zr{PiQRTSIwU$I;Q2ud^IMbfRCbn^ zZj71vC-^CKmh`vIJESeAVp1yw(WA#J_ z#qJOykcz?9gpt0DAaOFd=3XUpc#6xB4lMlg5YkvSO|R=2>Q1;Py^m5RN>@Fp6)lYS zHjJO7J@S3*a7aIKPbd(#D~C*-I%)3KQ0KkPPkHR2KK+&k)`c%MZ^`0n4=SZCw)7qb z{AQ1uGRyuv0^oQZHXfy6>Rt8fZlr{kn_^2S_j5pbRcuq`c*APMdP^~k+~mUzE1b1M zicg$TJ;LQaW}ybOQ1)%OR5>@~RD69{Cr24Xct%@mDphJQ#!_w4XYECo8%K?_-+gsw z=7Dva-Yz|Y8auE@XkM6pgUEhPH+YJMPu)Up3^Ojif1b>in`5eem1| zhaq1JKdzOO+gGr6F$3#-rs@HF3F1Hj)sXBgNgVMlqpXD`q zzkeDR8_1-ZJh692l36U}Rzunx^R-mw-$)0P#>tkV&ricu>z` zO)5K9;?vEf&eg}IT-xB`8cG-8bviz0>nvQwV#;m67~MPILp{(_hFXh))Sl z(tL#XE7hUfKwq+}n1j`$jV*7RD7BYzck|2@hsM(!A4I`4S9vIPmAwj`Ib@OXdo1b~)A#QZCtUGq&#MACOb_xAUWW zY-4FkxDh5d9i3Z?J2}nhpOLhbrb8J`mOR__Q;z=QfRnABId4bH>kt3vZdZ1j9rDr3{IY1< zB~VPI{fCR4x?@OLeZR{G#oSPCD{zEJ?xIGK@s89dy|P02n_F6iz7vbLuq0f6eFAo& zmY^B3_MDN1WGug`L13fUVd96kVP`JM;W1&;Y!Fcqb2Y4x&Di^z$}>6}wEjvd>WN8r z>nixX3%W>NIoWVORL>Xq^cD}2`vaj{#g^|E*ma?!JsGJzG`%RAC9T)&6zRsJ34YEuKla%-kXbDB%vp*M zn`G?!PJ&!YmlIh-|^S zb!gKRb>a>51w6YR?COmHUSfzc6~0={3%cH`9gs4flQfs;=n5rPUOX4QxzA;6@eSWt zf7eHG3QuuFmeN!6jnB7|gOM|-p7Cxy&KH7d+Qi8<`SR{jxMXB3wQrBQK0+i@v5$l! zK5BkgQOKo!_C5B_j_G^7EgU*)CEFL{{fcg-3WKREXEJmv(&^`9=}xa00)`h) z3ztY*BxFaVykDPJg-Fy3?wPAT#yIwgZH2!{m}R_QA72U};km9Z-m76YXP3O3*Mb<^ zKN*;&z7sLRImDAK0!liukTdkn$mGCzuuB7-*cyFXiv+1K2HamcgDDea%0+PmhF7 zI1q`I7z_q*6^k-zFc-CFj1y#B0sB_^;Z?7D@GxDC+LX#Gn^yoy1XutE@7gCCg1jU2 zgA7VnU10RNrCwwD-r6BuL9Gfv&FfM+bIds&%Y*dGG`FO+&acFh=Xx7oFYS~wiA%Lz z6&bNvN(1b;Xzhl>^#lxgPo_nuPt?lWT}$g{iscM^$~@JCtk znC^G7N=eq`W9KK5MJ8G21e1oPp@0b?qe8mHYj3dw?e}sv%2!(U(k&c_{b*HalQXlUMrMb_U^3w4Eb#ymzLJqLS{a~&!+1(GW)%yO8izB z4Q)&cfpLzMatVNNE&hXQQFtB|O_R?tP2HrynmLHPD+X z-{gGHt-E;DTF?Vs4|(ZX)2EB@Zv7T>2; z5}zZBXPC4XQ3cVCf-2-a!6sf(+H?*U4FJj`?W(0jW+m^jDgoW_H=iGI8;8BJT|Ft* zA=5F==I)M{)G2)mel}lehl}{QK!o*3rQoh9X>|On?!;17YGjT=zo*R)#+JTW>Ve&N zA4O!EV^vmOj^DAo%iw<4KF04h`gHFGToBofxPJHh=kv&yo2JhCzM(f}8GwfcF0U4O zzE~pUO2m3+WIA?4ggKBuA{w=^=()`!yd!xT5aXK4D^=!uMAFXNKKjZ$ zR+6t|ZFl*Ln=Ne-W<$bcM~Ez4d}nGUMwL#AGBQ-b(hIKiKHTL@aw|e@P3P9jhKRgni{K-midUGROv;jH6ftOyKK0MY)bs^SL4@>OV zzi#>6&YHA1JqJHotL8l1lW)l{k{A#f$ggCz^gwFMY+g5SChc)inF56qCK`@|IjS$# zA~nB~x3IA@de^#`Lem^=2wk0qzD2pHjhOyyFfS&3qf`7#y;kH~t~Xyk>l7EuXHff{ z9{VMe6XwW?&n4oT3ZDrm(!2S4QVNw=*&ATpN|%L{v;{Aq1_QWCCgG7M%1yNsj2o5oXnZZqt{A z^%QL+3FevfSvIE-n}1n?e!wz)jj*vd(pTCJ7+xFbVtBLFuZU}U*_ih5yOSWieMEvY zMcWj=-J(`UT4D4}it5i=-Pn#wdX{63^jpiL#jew`gh=(q*W}r~hgk%1mRVJ9RrK65 z$L=~r{__DlJ-)nyH(Df{0np!g)M6t4Y5M;ByYDr5 z;zF`ifK#dUUxtDfmpP7z2T%H5Jz>?IiDz(fL@`s6jZzZ_K%=#uOH-8Jbh-9rt&ElIg;>Y}`GSfFZ*E zQ%TCDR7sw|z1X~$XRUuil64dQ4z}}%7*ohf4}g!M#x!zkAY)Ope@z+*l=~}p#!Wsa&np2%;%9y(_hE0kU-}NT z`sU(H6l5Wv?ZCdpAl+ySQr;m_`@U8ee0($#iZ2@QE`d8#TY2VIpJ(-1-R5|yzYjH+ zue()P4gGcVLmr$4>O_z2)6-)8la|+>l;7ML1%+i_ncu4ws~(9QK>($28=?q&>UQtO zlNOE2na?Rc&=F8lB0vuK9JWSUBlV1!C$A%!1dm29c-Rz~gH9YCUe;rlCp7Ja*B=WrU#J1D)n>XwjGTM!wO(3GUC`A&1$t*zl@ zu(}|&@HVV6p#X38z2CY4PbU$hMlLU&YP$Q)?>0pWI1j4#N{V1K?rFf73PAhw8?Dv) z^xw6W8JShK?Qze4*;P>KK`4}@X|nzGq-fq~I4v)vJk?$F-ulv7@Y*oxiS$*gZKf}B zhU;?B(Tz*}iiYfgia)X4N~(zao0AyV$EBI!CeLaBaxZvjiC1K%m`R>ST^^mVVEKn* z-Q^-J8*a3a#ZHqL8n~39c+L}3DJl!Ls}8dfF3_qkO~_!JYz))c&JTJt&q=OVK^Y(; zlThuSNr;B z3*N7(s^@GXe95T~c*ZM7g=whx?hi^{ak2Ne&wM+*shF^m@bl%Ox0692Z>pxylKCD zc=PF%oyFL>x*etf()>4D?&i$75-)k{)YPk*u;1GR6_aOHyRnZ|GTaNbG-&Iy(dXXS zHHm|OeD=B9aJ@Pq%2-jqJmY7jcgPJh^sBzs85hM1X*rO)IWtL|QGL^rXpJ<}>-Bs; zuseFpcDv2HHj&@Y$8{vqCiLP~tD64H$)0~Na*@=bhbPt`LiOZ*j9J!feY5Rj1-+h6 zR@0AU+G#xpzFR#md(imqskP|(F6Z>c%Ykj9(hCt(q}F2kn-NNojV#5*&l%oxo*$>u zo8fBPZ~P+ePwT(BF{|gZ#TC$YfW0wPimjTQw|(=zT}6%NaFC5$r{!`xt6G%l(=w~v zNlwct*Mh09(u;aZYH&KI8vVeDiOUDlWxjXAkK|miR?VN_SA0X#l)8piC&Qr9TZ=ER18o^qzi$LG-$Z?_ zJD~sVUWjsAo=kh`n{PdQq2#+LyejQ0^n3`aj#YB$MLpX<`-^p6u*RvuW^hDJ@s1_? z)4lxaYnEd4Cyk@8=2@e(u>j(Y61t&jUBljzpDELDB_gA_(vruTPb##zzd*peCSz&j zGn|elFBxyriS#|k@|ouKfHrXZ4cN>O(|JZXfH5}d#qQQj_*BU9gL71YltS9gHeo+e zSWEHLu$!5<_j{pgZ(!1nK|QZkcqD(eY5gJfPh(1mhgs0OoSlVU$IxNw`a>^|N7oL& zUSqRWsC$=g5fz(T&~uHoO!rub_qo2oE<12InBIeyeEFEhwv#z3KV_adaNS|>DL-PU zZs3<)srh9OLxL~!F9QAX6#<`fU3HGw5sX)aKAFL(GHdTCuig+{&~q}CTSAg%-S1;R zy^vw645yI;>g;od#}zhg0ccR@u*-X{;&Ki;ny3M+AJ#(36|{6d3&T=o>3LGg?9qTh z{P!C=J|}4}sBazB_uRmBDI}LQut)i61holVh83Yb?*t`k6V$=fu4PS&y0%(_DPtWJ z<2<5$l@C^O-?Gr%m09VuO7~|CkYYRiz-BCYCpmHbm)G!e2R^vIygIMSEO8*xvgcM@ zSMWA8s;}+Sg;;Xb?-<%}>R$7You4=O;$;z4XJ)5DDs|yH#_h74@aS6hO&PptRIShvu!&_(q+Dy`xMfM59B1Eq%7QXd;CexRUo?}GbcQOv5IIR5-X z`OT6-y)JZt>wYeE*b@!|L6wS+bm%k1%%HA6!rb=6i+^pe5>OeH5<1u* z1O-I|D=0{aB1#7ZK`9$Z2#hF19YqBNEP$W{l%fbkijo-{f)E5HfQ*U}15$zs$@;J8 z=*;uH&pFq5Kb-%kmk(Y$apzw5UhD3At>0}q^`uX>1>BmcuT`DMj@oof6vbVXPU@ZR zIQ9G00d|tBW?uMNo@ZZVZQ|9%D-EmHAD_Q`Y9h@kbKuhU6$i4eUimGc0RJ#^kyO6> z{=6&O0c|lOSrd6(l~$o+NeEI{<&{*0w~vN+x^mRfcFej`_dMqY+PlcipHx6SZr9_{-}DJ1dTM z@G4xe8s#ygUCJhgl$u`-_tl@i@$TI|esjd;vg?l{8I8ru3@c#~nS;}ymFKc@wa<8V zvVCI5oAAy9tA|c4nbyc#`aBsY`S@Z+#_JvTj)zZo&6cT6_4R2?u-VU!@2Rl4g>3!iTTc0r{ny++M(S~Kfe>YXnr)5LCUyuLo zX=G6G`bxw#<+(=&U1nPFHiUoIGvfZfJBc#C-^$n^Uv-Y!a^?4(uKTtrmY2AgWG{9i zY2@d1kIdNYT>en6a_Sc1zvyhHUD5{=#|+*T)qp$p{wLTg2UdDgn zO;T#68@9H`8DHFE{q{~+ee)ajYN^Z}^@RfCmagxMeXUNY7-<&-CvL|o3_AKAsWps8; z^?qFa6OU+1m8SH8M=K94rw=8k`OSZGchQy1bFb`>?YbW_F1_bGSKre-x%okYZSjIZ z&W6snwNk&prsDAer;PYJmyT1?r8IxF#XZw}SxHzPx$x?n+iLmm_NK zE<0?fUbv^~dRJJ1LUugQPy(-Af+d@ar}FYpI0FWbHnl_E@amQ^)S-y9OAk z-U(IOjI3}`%u(vfJZh=dvh05Lq>CVgQFqeVS9UbRW8rqa%NhmI_g+11p%+9BAG=*iTVVUp2`Zlzvt4>TKHz`9&w2>IU}|FIDn7 zy!^|elb^@Wsq`Lg(2d-%TqTupZJqFlLTG()(7UnJ#x2*9`rf-rgpJ;gsmj=*@o;4? z`99zsQx%%KbONPcDt;y>8#!gzFsOz>$>t=1Pvm_4^d9ljF*#1*T zf6@7JXUMxQ8Ckt|>$a)SH+@?=pBgWU#g_yqlU?-^JK{)>&%E9|uCTFiVa11S^XZ=- z`z`b;|H2z!Q%(rKe&&wjj=j7@-ur5}M(Qr-__OiL1p~`+_d7k4iz!Pv61g3>(`Q_F zCh_27ALXPuE3<|u^@yH3B=dz4?S}Dt9&N42ICaAitNK_ZwZeq2%UIUUwz~4Jq)@Gr zs8E0qU%ei>Cc&FPnr^5Fx6W=K-?!-|!B+UHL6#e_f+;AS>AXC@bK~LaJ#*_bTyy0r zL!(LiW>TM$l;y@VT3Z|&u#!@fpD({`KhRx~ulHhsdOp|eh7IAw=QkMhn^tRoIYb>yq zw{I&-R}}5a?9UiB;1-TQ-u(ymde6gaJs;KwJbkj@SobQL`DYs!mCTODlCIg9?y=$6 zri;-JsG1=W<`rA1&DpbM^PFu;9~4d_8e4OYPEJ@+_YHqg&7ZrvTOxj`_u<^Q{VyM% z_Ln_#FVo?76z>yu$AxFin0>OB!Y;k+-Uk2s(bFKtPVyf^W8+T6!!R!gG+gRDhu}Tw`&Ev%-?pcS97mc<<0B14&EEj^6!>eeSRN& z^UPvm<(N%cXLx4exx?Zfw(debYm` z#!zwEJb-Rk)+rgj?+U~IWw@X_#< zx_gMMg4GVU_v!VT9(nt_7wLvSo_tYO^0I$>%*2-2K;xHO<)N#q547;Yoq3zd=9%6O z$Jgx)m0G#-X7rMy&;0(3cHf@eQ7%ml3hEp0-NS@4>vP@;|Hp z!+H2`=efk^;VrD}tSx??&$AUDrx$+>;Q)Rf{Su#(-zxt%icGY;y*19v!4`+J6r%^= zFgEs9W|lY{&f@#Wec|%(T%-8(noFeo7Wx0_?Lj|o$C*J|@DKBUUK~2`b8PYd|6}Zb z-u@4MzViZ+S^i=LlC-t76JvjvSvbIRbnuL#nT4f|wF3?VXyIHUZ0+HLh?$0?eCO@kgqT@>Cue72 z_l?}QLB5UslQ0DC_{p-RjlI1kTwuuQj|*!nC==fa+lg28`#j@*5VoK}jf#PI_v$n&D&rQOMAC#@(+&cVC8?yg%7A${W+S~st+Yol*Z{iL( z3kP@(*GxRUR$}57;x8=3Y+E_}cyKZ2);6|3#L2=6_ha7e;Sb<){G1Yq>+qBIU*aH^ z3kPelNSj&Mh_Aj0h?N;U?Du0%?5%%H3Y3b!zPGpd*C~M~e}7W8`auw)mWc^MLHSAf z=luT5DngFMdL~{(o9{yNlVx};_9tO$oSnTG$;ZsvQcUshi*GXh4~~B>osEO_53#Xu z_{RHB!Vt&lCt*vmu80}^_ls|G_m`;s3&)mFqQz4SS+=pV`C%6R(v^Seq4jrZ5cB-k z7}ijEENs6^-hU!(?O^|tXFFRn+kYyH7=h>~*S6oL6>@D0CC?rnIfjZ1X7v1pYf^i170_Ww>k>VuE&J!4vDvUqk$t^I>OY0R{j25<}^5UmV1w z?SFFrlWB_|(j}hipGL~k+Wy-lh&9pfyA}Akh+>GEpIrZUs`xK*_qTXhTA11WC3x@w z#Fu}Q{uf33Z?xRv=aY-sw!}G@*?iY|TU+}dG9tEmR{ui%pQ`xZF>Y`6ZC1YtAI9RR zX4~2SZL8s;{)?7d{8JhKHhBNZ^8F|Iv-;bTK=J!m%0IO6Ke<}5|NQ1^?JfTX7_$}o z%D+6V78XC8!s1{)5!Rg75x^;oP_g=OFeW-~SJ) zi-V;YBH`PeP{JK7#fSdCeS$*iVD*ysleHFu=+^_ z8YqWv_;lay6vM7Le1mKI{!z@0^-pfZ_*@PULgxpoVt%ZDQW9&4!#4n^@4ppu^bHZ| z`$sWL-%x_Se-!id4gBHzM=?`2KS_zh9cZe>1BvJQpVKWK{U0m+KY&=Wv<&)j^54eZ z`scxaYaBfH??E~o3P<{D;Qyad0R9a(B0gV$yake|`Q~<>cBu834$@0fz$}v2d?EEx zmV3Ut*KWT}ew)|t#S+dsJc4hhApnW@sD8nCmdv1lRy)*T1 zJQ91X_41kf=kyBh?VVmg!=BKco6~mIPVVbVcK@<>@*E8q)YA1qK}*$xcJ5SHFAOvFx}3U> zw!`g3$0-kc+^Ib`yxK~mhnb3Lve>*lWO!tOS}yIktvj}{I$pvE(WDS0J1MTMNq6B{>g@Nj?5e6rkZndu68>CKRc;P6oHhff!+kN)v8xa+`^ z{F;Lkr>{RgNB6lG()G^Nw=SGrb(mGu>YA;;DtUP1hI=mEukncR(NkY#gEh%trd~~I zm=lxcroKLAMY55(Iq5GNMv_)W=z!^5Ob2n3iOCa_PZ-3)@+^6w?Cr|s+PCE#P`8cM zSxS*^3yIlN`{ao34o^dDZ0UzqdrgOSuEV93o|Y%`VopRCyrR1at&|F$S% zY5czvaj3O_VOv<)Ku7um+rrWs+K2Ck=$oL&9Eycd75@jQ1w`2U3)I4#K-jQ-`+8FR zPgsk8Kv_WB<&H6hH$ydv4UagC`99WviHl+@#_jkAUgpxmwKAAM2%Gc&{{G(#{BO;` ze}N(MO%}yEWou#gQ_<|i4(9(((V##40U~4hQ_U=(#(jhJ``=J97%BeO&_j0r1|+kz z^lqAx*QE^=enhn=G@W;03;%O}#BaY7Ke^@K)e5_Ri=qA}p7rN!K+L`$=)C`{7U#d4 zi+=+zl+u7QCUQ(uD4mxg`yXttIGz5s`WAl!Y1{pXF8}8MjxYxLArXJi!T+W_{C89E zEv)^!w)9^pgPr&`@#_B#sATtlA6CI=@2>~9v~c*_=fJYf|E4;8AM3yLId=aRQ!OnF zlO_cCDlU@@2=2v?iVEaOP3o|XI$-f9SQXmRoJUoFsWZQ7oV;cTNnDZ0ZYtnRi{)nO zzHsVpj&fzKx^_Ff!6*3(>Om(*Fl5KN2805@nHKdfVN=(tYx>y0hJBR>Tk+CWO=^s( zY1aDLFshqLsHk3{x@PLI1sL)|01%LXJE&+HV0>C<%LYS~m(D{9!)Vi+hZIP^iSS`| z$ZVjpM54czWb;;*DKl=UPcdN#%r$Ad4S@01Tc8ccLB;{&b9rS)DBaJM=8Ga zSJpb|U({FIWw58zqTc8*IIxiv?|N-3^3D!`p(Y`fF$T(J?i*a!=Kz7?3Z;QN^Ve1; zv1j+HW$eXn6Rr|zI-6i;R1QWPU~09zoF)RuD~1w2w$PYrC-n&pCs5N(Y0c@xx<*}Z zVV==zqn6J8{?U?j*4XH(1UYjdqAgm(fMDp;45oLZIV(mmNA%g{&3wCj^ znKp370mq*qkPlw(#VDpdk-9VA_MUWQhce=U%u$^pl+)?{_%MauyS;&5D^01_XHw5g z^sb0Dm~&-nrR9eZFzQ4&)@OWyv-UnZX-85R)u|qYe(2q$NaKJ>l7n>RW4(iM8@?z6 zIOhP+&J~4eypq!vhL@GoxSyR);ia1^S)!r}$1QWctF3TM3HuIvT=5q!a26_TpUv!n zzYo(*9i(f=iLNQ5>Y0J4c>Wg!(%G$6pwdaCy7q=Bf`Rz%)ulSMOABHD#MM~Qn_?)a zrLZeSPeK1y8S;)8K_6(?-esmW?`qaI5>0VWSz>hiTo7Q)O3GQgGy?1xetfbx1CazylsjsO{!OApWRF-K=N!lyP zwv%r9I!z20%lYdsNaBK$ba_V=NQnC(X$UFhUw6#joDY(ufPkwEA?FbT&K?q-4vN5= zkGX;NV1QAN)Rs97>OR#cBn#Q0!sMOr&lHn+a&A)M5Tx5b3T@&-(+=t43P_NQM( zV@l@%qIkN!b~3}BWB1`ze%~?~dSv>ml4yafd1X?vDAKlx#|GV!UA%!h5GU+UW=}IF z6Z!>gHi)>|57@$!F^-~XHmKR-jS$}uH|ji*0{3-PcXB34q0^s%$Xe6c@H1<$Ye&wo zq2cU^dg~shzP4wv)0bGLX=;`QbJ5hQw{ujtkhSM@F1BK<&P%?eI#~_96_BTIYt3_; z>%D8HH{>g1Aw*tD{(%Bc9r^#UQWMVZ?rGOxYG7>$D+2e_hh;3I<$1c2r%slKld zT<7z3cpq#;P3K7_i(%U+TGGcxS7mx6F;B8J4ZUyK170?x3`<+p3sky38TSQLg|@lC zl@BO(K&R|XOzRC@iC!kz4CTNi+u3!*y5RlE8GN4a6+PH`B~O5ci?bddhE@(89kG-o zfDdcTr*vA7HwklV9JzLy36Vqf-T)*sL^ZEu?)0!*d4MOF*$2R9{f;>t>`$j209z>H z!>lEzcyktg06fiNbnff{=E-o%O z|KYVpG;7$zzzUUn8x>FrZEQ~roAx^jn!41C#;774V`d6d zf}omW(S_`)ywo%e*kyP}_zb~?SRpdDVv>5SUD!8e&6d?&$(TSxVFr4%w^KC<_RE?W zjdX!XbkRjUEQ@uFF+>Gv`uZ(>{7*Kb1~P>)XP3hoqbIjR32nT;vri!$i*U}POp;2G zwvh9_3-x9iAC^qC;nPU*R7x~ci+TsHzMbNHw?K*f(2qVNDu-lBu%~sJ7S&_-$x*&& z&FdMwaFgn^z){$pR6=7)tZK3436jfRp;P0RHbx?%Y;%pNM!;7%>I(YHiKAZ|9qDxs z%RbioBErkja3Nlm0|@>}HRvhefZYhf5p_oewM4IPiD0<1H-;Gtr^mc|j{{-jQb#@z z)BrU0QC06rio(>}a*t$un0EfUKJAHv)@u#d;=^QfFky;=)T>_$)6>uc2eD@#9n!*( zy4?ch3^+W<+K4%;9KGTwI_1R{Y3&i4x1z|&P=2fFV?<~!Yc_t8ay4z(n0!D~cMEI) z47z)d+V)TVR;{zQM}f$`BUog^1D#4Dwy22%#X#YehK@kQjAO=?i^zQT9yS0+&T?9P zLV-ZFs)B%7nWl6Fe7v}~rqR4@cc_5aDy3J2ACTBQcr+ zjp?(shATyz4#_%5wt5mhEM+o35*91mL#OPzZO>w1PYvmIqh6s?60K-Yazp`^lF9;K za9aV_w_BMMih#t$;rv*@Il}b8ZyUAEUV#9%s1d0`zn`(8PvtwdL;obw=d(C~y(@(& z1rQPX!R`ZkJ8D2T(ky#3J%JU)09{Ag7T0z*B16fIbXF#9MP{q4nI@&R^0dgjOBL8I zS4FES4CL>*84OK*GgDh~T{b@(PCq7fur-s$9AE}guMSzgp*?2!nH8qC%Fzy-fHHyH zLxpc^@!77wsK!-?dX9iuo~BJx!{#Qw>BbDCCg((Uj_Jx3zM*K~o-gRYAiRp(S~9WI z0%6itpS>C#k;Pyxfe*!F4*I)Qr*e*O#(%132$4nvwg{m*6OwtNCJzx5{E2)6a9_?f z*d-xUcFnb>wuDfgfX=OKA%>2VY-aA>NNEq3{@^;;yh)lWU$<9<@yT3y7z`V(a%$14 z+-om3yduZ6Uv}0UUR=Z??uKWL({pB##B^VmvcpHUR9Cg z!y4>O$Abp5n^JehM3G9MyK~!*N2fe@6wrlmU95&=`1XdF$it)$81~JjT+b{U9K6boQSoiEA<=sbQTh~u&apbpTX^4a1w5oBZ)XWEJ{yvzXMNz?^e zDS6XCbPIvG1taGm#az@!uvM#NymeyC)bOW$hDDkr^P%fmPozW>A~nC@cMmc%sXJd=FpD16whY#I~I6h+zzMALb6 zFlwzQ3})I4oc0Ag{)n!Y^rvZ+5Tq%>A{2oB@ghZ;kp+xfJyU?gqeD%B0ZDjJb7HUg z#raC-J(63?n1PrJ=+v<8BoNx@n3$s@<;jIzn#Nm*pH|7q<_L~Glbp#FeL)R^)?T8Z zM{RD9QF6jGme$jPu^Ed5>S|z?OJ&SVG3Hzd+X5ONrLP%VYIq6s`gT1ftEZpj0M%&q z(%mHGoN4MspbG!KNZ}>h(iHYipc*hqo?UVjXlrGl(vPU?rcR4gNGO8ja}w=kOi!~U zCf-QMS5iraMk&@4d(N?A{X?|TJ~ZwihD{G#xINqU2JoC=fNOnFOl-i0Y86|}m64p5 zYa?3KEbg405V2oMP6yCxrX!XzY2E&7QcvF*D6g=BlcB zYPQd}?A4gf?3O7qI)eRt{C7^{ecWalG?{R2@H~cT z;DAo)0Q6DX%QWqX8#g5Jj3HlqnZ0Laj><8Yg|AbG+M(V!1G_Iu3&@DV%Z!ArGL%HB zlSRqsswojIG64`pU$nCug;1JV&*bE2p-&kl{i3NvhTv0s(`}TBhJZCC2c}6~fVOFk zi=J!uM}{Z=mLm({kBwLa-ArwMX$~0V)xgK?=!1mVr)x_C700J%LDQ^Yaz2jCE@A;u ztJfLL6S!Q_f`*`$p!o?304R|a0NPxn8zd2jWmg!IVTZWic7BzSdz=BrqFCcyZ*w49 zWIq>N>bvHo?S+?O?59U8QCCTd5mxioad<#mQK2Iwz?2Qo#tC#e+ai7g(n9!wpE-Xk7Mlm65}z$`2P($!HH2k=Ifo0pxNRr3|1s#37>Mv6a)wivX7BUpuAV=Rv0$98O}|CCE<55Rn1KO_JTWcqd5=RGdEm@w+Of^r560qrb)t(qS$ zP^YwuA)?>%g=rnT7Fzu}!13MS>)sF~5!4)yJ3Nm8_9SZ~G+T*6@Ki6S1{^CK&}YEb z3>|^d0yF)=Q)sC;(QQR!wz}{7^sAO+1h~FSJv@9jd z>G#RmY^&70B2inS;} z`6KGh7e4v9icPPaHZ4+0S$dTQG=_^_Mb6@3z7ox8Fg|Fhy<;)nEsBi2U@3DKpTj$Z zv)-{9!=E!c)@#G`VJ6B>pe_?I+J$MyGXo_^`BZ4J(Y>O&dsX1>=4`cT1!ZQSOmcPY zJLaDU9f!zxJI}h+8`k!~@G4Zqz_a7V*7tW{EUfLe?SQFXg zNN4pC>9z{3s6HYhn;Zx&s|%A~5vr}t^4 z81|sYgLTj;5r%c)=Ho4jd)I1i?uM~+X4bH2xK}&PS}|qLwN-XEz}#`xBExjLL{%lE zxS37EPBZnD+Z5+lwHss7qUyji@Pm1AI-56CyzA-z?-K{D%9mr2$X;O|1LYuQf+@eIv*vdFFpVS>c{Xf8nE5>g#a67LewS~{!wa+^yc?!3=1bpXGMsZF+`{jL(NY5+}kHdE!R^Z`temS^cKu5lIij1mE3 zygLr3VY|Q)y6pqQGL%EwIY*#PFUFr^>Pxf1Ak?0~`R&F^}zcpV~Ws>3g5{zrCz1g4eLyUfdXh8 z__UxdCvGp_8^g*=;#bfjrz6*AYskHlbP5r#(vDcbb(KhFV`)* N~Xpe3vc)WwLL z?s`O)xNs=pbuCH;&mG0lPDQ9$Ie-0!P<97G`y&c3!`)cJ8S*CbYwu3ON5M_8ujVOF zC#!z^P-+<;D!*KC&a_DN4;_Uu`a686dDNoH3n# zy{@pfV{`8g*};wW%R4(`!gQ?QyH+|8DItn(ooN zty2TekIum6K2YuYz-OoN0f4Z<^b{EkMyJD{+dUs`)KkJCSh(AOrZoX6D^x_v` z4*hs09ro;Guz?E6T3fF;{X}qrQm;2N5O1k&rnwOu^x51IOy``4MF{WbuQkD(^ zQbYxGBZbpEyakB%b>lp(Jq-KE)TvJ;ll(^vG~=Y7b~S9H^tk8lKhk89&KvmU}) z2IsoR)YO0u5jK*w)^Rb}g-&^r^ZpADmczo_0iryOr6~?HK0MFhG)-@?Zsiq!NaZqO z4M01Vru}(OdIbZBX0#L!U`u&m#L7yDp~Rg7Bke`hnz6xFQ|4ZhrRIf8D0YQYuhaw& z@Mo?w*ou+d6Inaj41h>8WX;W9b0p?mw=dRzl{-Nph7SFt{K&^0Gi&)t=72j5V(tdi z!y30QP!2C_LN9Ml->K=}m8TIpqMon%g&L%X3esJ?66U}WMZy`DPfg}fac%VnoA)vv z#;U5k(L|d~``V**V`;IvmO+E5~tU7E+*w}Yo;+J04 zJn6~3MMo=>9Hm*pWFs!uvtv~bkqc!8&`Z?w*QFK388Ddo3T7-{AGmx|=HId!eVF37 ztY=_vC0%|VXgMz%dm8=Bk!JCs!*W7}B#FHxWhoIoNcGoNgONO~J545S9MFSHedXB2 z2QW`(VEH}_X^OvS>p4}O=~KB`ua*G!vB9hl$VViq$~+XZgl3i36yCrL)_?5+&#ppp z{LXg*F!jNDHPtDo9@a#jnS&4S<9Ft4cZ>8E6|f^Q@xgdiPPKYJ;)}>-c)r$>bn0r+ zn$HI9bj%1-HE>CvQX?|eRB$v$Na2FG*J;0y4K?L&ApHn`r^;qp=qyRnQ~W9kT0kBV zTPh@Qh1;py=#xjIh_>2}j5$QW{VIWZOA%mc%FN!^25deXhd$_EAXY{oWz23>G-aT7 z9H}QZ4Gl~uE#dC$6i&fts!S5yK?^@Mh@_A0L4=f+8UYXxg&?QRZm6)qnUr2pR;6yb zRR6aJ{%@{V)F-S~Y%ui+_&w*7`4FYSWnH$BNJu>ss($r}{XHC(DA4{zW zf^A2j<$M>FF3L;lZ@W-#*wgaQ49y;5h$1S3P5Wb5Cbe}Kw(p+u3WGJ79cQi%GIJXDNkdno%K3Q(_32r^I;kivnYS*IxFvL|{Kfqce50F=l3s=CyDy5n zKl;+RwAFSn@VOubgF+ZLxvBzwlD_52vDd3+Jvbu5MmYJV;5uUt8+C_r=$UG5tNFcm z2~jQAX8q6ZaSKEl;afccD9L~N@e-%aZZlxms{!CiW1g4Kvc&S*3f^gH0KLIcO+nOM4V$&E0fYijv@F2!N&SR_M0SV8bAgZ z24it~Ijhb4-S@6CB-S7UvVu25(_i$)+vo7!UgS_Dbc_esw6?_tusp(|kB$nd8gIu| zxmRD3HLkwBQOBJ$8$V8pza|hYdS=8P3s3N8gfdvDFi%)c*_^h(J7e$pB1H;Sfs{t5 z)yOFk8L;0fi0X2B-$m?9%a?8m77rAmY$VZxNX)4(KPcmM|K9ayWY<#d7{` zsc6+Qlq{}g6E1BmfzUv$W?FB8hi=BNTRaKJX5@M?fy#<&WCO|D zU`fYb6$mH>CGKGQw#;4APh@YEkWZ;&FpT1XT=tohm^WQ9I*~x&p}$O8R}FKe3nm#i zTCurW;uv)t$m-{=ejW+HyKbgQL+q`Gu(#SbPYD`SqNZ6#QR6OY@|Y~-gK=1@VYRH? zwxoqn+LeoiZu_(0+sEAi6qf@!C)V#hGDPHvv}ec)CBnkrz7^B(C5Wqt4R%zV0Yk{}+7?nc0v$N$ zMWAnh?@qvMc29RNZU-rC@@NyLgY06xOD=X)Qd!5Hbv+!JQb9n!yL;yFYQJ^tMxUfx z9GK65Cn$4UO^<8Ml=Phidl)Et(k~S@)%S_*0AxEdl5yvpDs|G6V7WL>y|RVmk@e`( z%rEvH*GUqUB-6@V0ICfzZJQ;8$+}~^uBMd} z?(s>Yn|;h8N4Wu(^iEj3j)E%7nDbi;eRb1I>KTsRm^VwnbDs^yPBpA(JS26zQ-H@L z4n%H%q1N+KFE)s|rrmDJ7Vd;gF`LF8s3Wqei?Z5$@abO_l~jjm4+e8`cXRpgm^>CL zcVix=JNOtSf;~~a(6z~~`mzm}E|L+Jh`3XS?aIJRTHPdW3X^ZGz^>{Ucag_Um!f1_ws_4EeXrjl6%~*~IK%cxW-QUDn-EO9T z&Ze5RXXri$)26c84HJ%vZgU|}FSj_g>}?^^tYmI$dl5Wd`fPq=@l{d@pTV?)tDCof zLbCOqqWune{Au{gw~@A5JwG*5m4s^&X$P0EXfF|_zUBpVLk5*hoFzRJs!X_;1e%!L z)-*tozsR@J)N6btNS13fvxw2O0)aUZ8>7z$c*>ZD* zWjbJ-p-38=z{%9h+6u0>DCtS}^?9_c@5iHBWYe`xspQ{n4Iy8*g70jr&WV6I=A!dq)qH7HB8H{W6D=a^X0M znu;~}7`CbRUJETMCsYtByp~&g%5G0m4V@nMdW|WO zbR$VLJh71qHyEOvs_Z;g+w^vb@=%gvt9b6V4AsZ6hl7bX$;M}@LQjG2wZSGvU;DD? zZMj@rmQgkCVv?v&fpiG%fI349jd__`Xf|wUWUKHfK(n&D@R~CEx?bUD7-GUV8HZ%j zs+qQHy11I4xORb*msaXU*^q3N5W;3l8FDsZH#3knBCngq%j}|-zj&Jr<27uts4*>2 zS^XJjOvx&M(SczfRFy7pl}nEpqQsN^A%={kbVk%mvi&e2{N2N9aDNnk{c6^nNotbp zFFjMNX*`VTVZBgJUjrsWs7$8{@<1I>gO{AMOdpe3$YTIe7%&Yr&@{!qO%4M|^ zNjs48zS;$Pm1oOx=xs|RdKSdw3ixdVsTNKYxVv*U6u@9! z+pnn2#Z6x-XS0#5LDs^)wgiMmV(P1o+zpgbVTRgIyJ*(l4K`<&#N%le=Li@#Z1J%5 zuqOUEpUN0fHtB)OqXU7mKD^4^(@Wpgyja10sp>oZSBm!75-IC9OEB@vpk>+ZfF8&D zesaNiIhz@>#u*gY=eJSCm1(>C7@C@WA@zK?hUC^Uq_Fz1A|a|k7|XOCbZS}McTv_y zZU&~xvVq)idq64j-a`Zxb#jdNC7fZ$<5FkWtn`HMN>0_Qc>1egUN1fzLBLoU+(xBV zkJ!9U9qbW}!gnc%NB} n*gsJSe4uVX@_s04-KZL-(1yS|n*iw|%=n8EkA~+Vv>u z@#qR*4y%RU#fgOL%tu(h{h(RO*|qCv)IeF|O`Aq@0bn!rWt1mhT^@3f_#E9F7+{)4 zXEh>V%G#j{t;`X!LA`R`lif)V?%F_-oWp2Q4R z#=kN4LE0%r>3htY;P2g8-QH6i7V#DVzZci40IE;^8YB@sV-s$ujUpy+$A_+QUk^k) zJs!Xo5Dma*x-jlenh(h|4u-W|d-lA2CX*S8R_UFtna$Rg#-5T2?viKU$Dz#=xa^%w z>z2&|ulxfNn$td_&aqHfkJ#Wt4;`(@Vj}LCwk3Ek((b%_HMz_y!u3~HB%D?H?_;!)mPLsCMA-U zkD}{T%b?_y?Nx7Oh9t!D%HZxAcN6IDVRUd$QlL)uh!82K-y)^mLl-e|gQd_08O`8> zr7WKjY$?jp_NpiaG(&YG}@YaxS_~ck202sOWRQsDZh}KM>%@0RS|Vf zI}{VYrG>Ue1Ow@W7NM>Pzy8|7(3Y^plj+@V`g&i`If2SjaO8+tvOoR`($!R6cv;PF`e$heXj4u8Cb6C zf=$?Js>$fw{f-bU+0Cx-Y?C(WK7P(sY@lovrfX_u7*vg!Mz>X^;gKK@Ukm^*IEfwC z)4H>}=H;#`?0c&uzs?Ylt*#E6w#VRBDrbyF3^ys{(*R+omSgve8} z=sbPQA7E1`x>&Rn)7rRXjwA_&ST&r0$1!=;xtzG7l2OLK>H8c}81c2^(G>q2UZgOK z4Yb<`ge;YGia8)o8Iv=XwjhPhNQRahZzi{9nrZrr^*Y%2{tyYqbJv~>B*I!VMg$ao|HZi!6@bz z0%lP=w#@#U=j_o|4XFHVO);)~^r(R>IV#z*vY6m87pljJWMLXdEQju6;v+M%?!%1^ zQAW;2()Xz<#Fx}Jv;$G)aRW9@tVz-;3XU#gI4=#SY&5^v&qg72=w8Zdb(kQBz>Q7! zyRw`$&4<=9l4yS<*}yQR4Q^)(o#1+;XNuCM;(gY0l$4aT6ws1t zK$2-{@ptObZr{OY001hwws$bsG&?Qx?%fGd2b+)LCHi z!0jmozH{!NbtdP<=4pIbKS`Pxs3M9U-3MR|kH~9?&QXqlDcJfNjjZB z#~1P{@R}CSl17$p@Fa=|HF}n`Y-nZkASoxvSA!+Vwx16{M^O z(=v^lQKuwZ6BeL96EN0z>B<}sDnt-I5RpA)O{i`W!t$Kxk2Qr1AyVd-mfD}S-U3D+ zx_GHHZ4nt;q9BtaauPnK8^DbZz<*1PVp0hQ5wwE0C(`aZE5C1jLS$jzy0VuLLOUKGa@z3ylV zvNP!M5Uw#stLx#V7Cw!D{gBwdbS zV`|{mK_{BgvF(fFTr}?*O0Bn=PZCXk_zhb6n)&2@X29a5`SHei;UCPoqB;NsFdvId z@}5@Yg05Mv>JAnl+b+2HFql0=?nTCO3iG;k*Bi8jzH3eZJkel!Eb6>xp7c-?EFOK) zx|2Se)oo8wvbMd?)GXD}-AG_wWsZ>7WF(DgnM|8fe4qGg)5g&6lOu z*>7yX^^%02g|*%JhJVh$WI6PTGLjJ~f@$Tas<9)p&afJIT*X(lPy3kes=J@tFxy4L z3Vb#x67p$6-F2^dzO zm8lHPOeL+0a|BH3%5li;`dVxG@S9a)^bwh4cQSqEB>o}-R0Q-_*TNxTyAR@F>_AVWBcf;pjyirI)8 zc)|?GPgvRSzJ*3S$IfQ#G=oI za;X~BZP)JLNapn(eUwPMYXK`UFMf?2U4yR^&LJX(sQMvY^tQ2LNW>BqkaVl)VhoE;FHDZozjG0chYEAxMvmT`jL86js_Zt> zidH;Db9>)*%@A7cY4@>&V{c(OVu{`m*;-|_onHFmL1+^{xU9Fny3w&4wz}VgR9I^! zlM#ljMP)N)Fb}_5`iOXwOSq+CyHpTSXc}e}P>X(nHsCltn?Iy>)ASar@^l=pNFm~0 z<-X)v`$$#QgSbu3ngoKoqIvaI%FF^zn3?lk*DMs2?{+NNq0(2{Ow$(bfZ1<`?5XZFlFh<+7G|!mseEB{dR`yIB&>1^b^WtF2t!F9otAwE< zUWF^yyIe1Rmt;HX&;p6oYBIEdn7PD*+WJuj&HEIx^Pv4XMOuPAt++2UGYda+Ls!x+ zoy_Z_ncRd)+a;#8Lu4%QGjW{Jz{H0~nc(oPTj8d3+G zl^C6L-2X??*T*xx{{NrC+-zebcXPMV{S9(o5o?_&x7~=6W~B~BI3;(n7~^!q&gq;| zDx^?iblgQOo3e9GokUE;8a@t&A!9b%^}G81_HRAjz1yzqb-iBCyX%V}xlM#9p{dn5-8ZeMV4ulEpmuS&EHj96`c_#L#*@hG zz2f9C&t4KhDx+=3Q?(%)e%zh^wB;F%qP|>qPXOf1QC4GF6bLoBv9~3zK{z4YYv94k zq;-UAiRxL(mIJgFBn_;nefi@-ZyNRbL0J-8b|$lCv9O!+RHgmdT2~uhB8igd?SgZQ zcHm%{2^OrL6yJZ(ub`Oc@)aD}a82K~MU#)XU-!Kj))^{~ z(C=&!RdJ3#4NOtqk<2(c>4`yoK2S_YL5bkk?ekcKW_i|(AJQ~}nkrbrHOt;-DrgoK zI9v)>ZJIV6Xp?rKdCZRJ{X$K>78}{|V+jR$y$&Z2ZRoyv*hAOLdIeR^q%gJKye_~M zQFLOSELF29V*J0Ugz}E*%)Cvi$aWRm6L98(fJzz8|F939%!?6})0DT?xkOeQvYmAA zn-6m}F|L|(8H*b|=A1{6VZpn7DOr~PWc;Mmb@7`71Smv$65)ui7}L>~MZO<5wav24 zQQj`h(GogW1kQwqnd2x-cPKJFXsnV>jL8KPTa&Xa_&^~Ly0h_=)qR>9JBrp1_zAQq z?5{k#Jdf8x^NkQ>fQ$fU(P9k6wR2x=%uxtJ*$odkG~uKiYB+P0e9dH)A%^j&V(|}f z-|Eh>Lc$9ZX^M-#oVTS%+-1|mmIH+vyLTD*uc*C96_0ZEd-dU1b9@Q@(fbpySx&k< zlL*dz{(9u`VB!~7JP=78X%#ZLl9dk*=Kz+@ecD_gxm*rlrhLN^?VH>V_ zKM%_dUJXuAW-HOe5!4<4&g7aKHTU-~$3blwQrY_Sg3ED>(~h6|-AXe1eKz%zLF6$< ze0zGdVb*y$?EH=ABn%Sz!kEFodl?T{kt_>P&q33EMh_cGWKU!xd+2INEY{HU)J{le z_djCq!=%+WJmuH#5K40yl}f|8HZ)|=toPcV=>hOmLv3gL)0sVwJL^XxWhI@Kq(f;b zi=`}8(D1Lm!-h#Q{q@Ie)K*Y=PlQ*D0<+=ZlDGpR^<9$D)z>x+)rK8s$52Fg*!@0c zbP20!N_P-4BRT2}HVzZ+P|Wa%hU;naQ4Tnr>9>v^37=lf1U#s09#%UWI&hAkUEs7w~k3Rnx2$5Djy? zhu3k_^AZCdn`>;o0a>PAcz{{8dSP}U0E%($whI(!C$b=^;;n2oHFU87p~(fCZ!b56 z$Sg*i#NLykZabPU=_&J6Ma)>1<=ViLlyaTu94M!+x5IIB!3hPJI|}*+Y5F3y+!jg-g)dQPO8F3^PzcdCy)mT1R=1Cmv`*94QY3I zrzY(sdB+@RFbYmtstUc#mP-L}u}P}tk38C7;=1=41u>kg zQV*{(hE~vfiIYr6#H=OfXX7;%lhu5vA3%R$oZ}KJDG=4XkLkV(Rke2L>c@7Z=y>z- z_N1_T2JWjFI@;nZ+2+6Fd*J!i(sEskJxAAUZ*LFX_^S>sj2?Kz*w15b^Ut{WaFcu` z%2GXt%`>9SYEQ})=FYAOc=A469x*O)1sP$7`XJfoKHR+Pe}m`o)WpWQLJvAB^JdT~ zs3R=r#<=Sms4`l?>eegHM7CU^2$GP+P^oFGfnWdoJ9`p_JCrj_Z2fgSGiKAVxX^d@ zAD$v)bA>s{C}waaA^HLHyafoH5hz;s0GZBQQJ^R%WwI5RE7>)CFkBaNCq{s0r}F zy;FUkmD@t4&|c_|uc0Zclz*-H6}B4>60-{NDPnk6)~U_DPb5o!JdvkcKr!Qz5xGCz zDrw|fSYMo@8tu75Zn$lp0AV68j zCtT@!a&J1In!?w<$zti}^?P5xj1w{*YjtUV>aSb`WFuccq@k1rx~nS^`A|`hUAtqv zqbKmyaia=Ye6Cp3Fg>qJL%CYD)S|=bm4sjW{R!k(8E3PKo@dUIoHO^^;~58=a(n2i z_G^x6(bd$reu|n3q9ecjG#sfQBosViC48Rl-p}gn(S*3N^Nvq?FN{y6Lim93v+?bS z4o__1`$ofh7bJUpp8V|c!>8rR-^HoFp=0@r9Mn7M7CDbX~s#_VD*%#U2$qZL(kuNIdsMjB_JK8nADoZPGq!3svfP z=G$SZR^IFIlrO5I5nbq_!MPYuXihGCqPn)$9bKD1uc`%9 zUA)RpM%k@h-R~8QPs)e<5(`4p@H2GEofl4bd>{dvl5CfkzB|UuHgHpjFL1F>#+*FA z8ATuQsNqF62LK2s!4EW$RAkKX+iD&=umr=a7J)q{ig#pq*7b39%)kG+uN`7a!$erg zZii5)jlHa54$2FXRB+_xqt^py+*%`-L$jfF8Fz&t8$jXkaTm`FN~BZ>YNFO{!p6Vp&tAN>IHo1^3SXPB zc+V@^ocL4j4!Tu-ZcnhX{mPNV$!Zgx^_bnOjU;Q0twdA?#Vhjl|H;%o!$H8<)+1ve zD?_V56Y|*D%jI0WMzm)Lb6fUmHuQ9^1bXQUH{(z9zR-imQ0*o21yEZWeZ0pW!Pb|I zL0#F26y{z8F>$u%h4DqvP&-SBw z1O?)5EL?xbx7bMdwE0qqcgss)2`JQ-x84ba+WOqcWvz@qwyQ6tLB9 zWr<_ii>=EFN-|pew#VG@8KB5k*etygfRqAF?3JB9M)-o_&d4|l;92X`-BM+LI&rn= zZCf)T$GRltlELuaJ$XGQ0R=3unv)s~JAN{lahE8wzmPPHJlYX@AsQ~DHHF8!E1>{N zwVp7Cu( zK8=I|$os&udORPsk=?qZ3E$4F*T*}9fbo-Sg#>c5Pm^Um!><)Mnitb=03rAF@yK(jQ%>>K4t;2a5G59tZC zWI7uv+#s^IwiZ^QJa;}|lL0g#W617=A%)~vDpdgO1}|f&fCdpbvTQnqaqnm2%fnN{ zH~*^lloit{mvB(w=%{Myh(B|iwE1WCU);sudwpF%PdDfEwBZEg;#_8a-mQz75FvV& zeJUeuU52prI0j1ZT2^uB)b2^erPd9T8)kwA`^DrWf7L~aG_a-w@$BB}k1`pP;_^~}nakK?#iW9TH{G>LvM-2PWL}&>Q1jR+qA(=?g$gL@u z@1X37dZ$*i*nn$q*yq`>{}p)^Djx=*-ZKZ(OY?hYVva&AQ1*Pkr)iRi5>Jn>`IKRK z^Th_)2`$-YEilUDX@5K8*-68H)|yNMt%7p)m>~q!%)G)?;)O0FMkJ^0mkGX^@Yk)? zi0j>mjv*piDBB2~5_~@lEg1eM6)In%pd5ImcKg=kn%k91tDCPd@*wsL^!J~p#rNT3 z9fW6ON0+rVY3IcLjIR|l!Y5%IByWc*gRLYMdbf~x_PY>&DR=06>Guw?cup%_LkL0I zBd*3p!N>P#LCIAcv9jae^~jR_w6b-ty|thSR`yCDO}w~baRO15K+O7BaPEcL6r88* zR6H+mE;mxk%GZL4fRNHPcGJ7AnAp$@RN93wt-Y3{nPr6UIfYMzd${W+hA_Ss@O$4d z2(@Uaw64xG>5CIEUA0U%RX|u!dEY4fi@m*7MqHxMM4LrKbP?;~0>JHgaGTWfb-Kf&hTXboP{hHQ~Ns;68f&Z2pqPfWL2XVL2~&QBSd? zZ)f~N9bBo)N_V+T3B=#@+O>S`&}OUdeY|*1Rwk=aaY-&m$GCTeOj>0vn7C|&UWP9W zk7T8WBP~@!p7qmDtWYR=o`*lGe`oe;W;V)gBaZo*g8$hlE>oS5qpJ6A&DYhOOU?lN z)eH;THpc{Js^g_wOxK+DhY*u@9w>(5C_+5)8jS`(f3fAt3YdU2kr8;e}ZIoB>NjD_lx1I8p z=jC#>nx<1b7!=M#;4byUk+$8GCn%f!+orKdbhdF#VV|~k4n*~=_#g6!P}q14Po?mfT)PxqbZ7mfV1L)vBswglf{+L)sR?ClWeC z&oNN4&(h_c>bJ1g^0T9>da|G^jKP5${e`E6Z0U`DUze`S@Td0ax4A+_Q!@m)4D>IO{K?YM9QD50M)@Sbw=m!TY_4(s$1|3eTaN7NIKL)0H?P`6b1x1V zy?Z825Yx%k?!j4_?HIgmiHQ#mIqGqM>30*Rz*YYMGu z5=ghx&z2RHlSkz=unf{t{3kDDq8pXWXnKcj*06aq(~8&H2l-YF65h}>qd3Za2*$xc z%t-<5)$I2Z?qhaqBU*-_#poTw!!TP>F9D0KYLhgk&3Tqm%mze6;p*0146~f7EHfrg z3$$3kg$6~!qQ)tjtg~XeO09Nf4_G>HP|74Sm6ZW$tgrVh4T3>}5fje(VWhJ=QRKx1Ls5yTh?G#r{{ zd==!HW4T&Ur*|5j6)clwVPj1@Owm?rn3~ZUQ|mImdW7qE8Ncx8rywvj^d>oK18}ll zP|c%58S3n(M#AuPMh(uK-d~?T9i(vm=GI_DEW<>&1c@Sq`%o|fVDGI9ge=SLHVUDG zd2}ARr<~Odm0xaN z3D-?2PndtHZDT7^%Vls)va?>I$}I)5U8K^#mz1rT$QQc2sNLX+L>d!7toL5BT3p@G zpx4?s;mkod9T-fjpUAnSZH#c;=uw(`7cgBt)%f*;UyBM+8S*r58vouJ9Z)G>QhrKv z_Ui!)>dk}VrLBeDbq%bxg^|`E=rTNUaI)>;Q$_CSyqF$s`T}kR4EKOekw2f@nx@PV zG>XNGgYQ4w4*)=uuF5c4PxxT_Utt2>)?i@9Nw>ZJ!0ToTRh}-W5#fWUH&gu|n{*M` zVPKr=6Ypc)U__qQ8(Y8CN=+QPx!)&@SCA`O${lcMg^&njp+ z!w(kJs|yWlTg;Sj#9Ki%YCr?sHm=swFZ)RvCnL*omrZJhYC4%GJ`ftyo4%_Ks7r#> zC7t1qoNy%UGN{=wx99@t2=Zrjdi2}uem}Ef;T&zTkQ@*Sf$jpBS|VJH2xZY^2@A&f z(mG+5u}Via;Yqk%4ur0>i6_@(j2!Tovk5=-9leEic4K@&5gd{ei3%3ThYr8x1Dt7_ zlxCOB;kLUGcM)lhz~luoEDjz~-$~9w|3Xma!F|Jmk{Gz3V9~OWfVUhDac?FRLrQ*6 zz?X))FCZ+$zR(GS6p-cr=_Z_ls6I_5QCu-zobkSyi$8dxz<>(6{05v1UKKl((0B%h zQMo&A$~!XHtEozDI9hB{lPK>{LH{*c7MR}9u#eQn2Ig({@i|f$hA;w6v_bw0YC|fZ zWhPGGqRxQmBCD;A-50%+0Vci!%Ofs4bK^rMn)sGwFFPybW7Z(o3CBUMMmWPZ+LgS- zbOy?|?4?IeY*4>)nFQ{W0`?WuP-6~HFswvZK+Kf{8sQTOAQ!W`IOxN`NsHthxE zOBD?9L$#%o)43RYvYrST8#G$DpCw9NxdQwJ& z%`?h0UUOr^T1)A`s0Q6|5kNwQ#^>iiHOmPxpkX>MwpVewXt4!9sTXMS31^ZVqyE1p z&+d^iqLc7AX>#5|wxD^gH#`qcJ$2dow8r!o)X-*Lx46_;l?fT z1xg)_jpJ)SF$$SIH!>^ccvO!~(14f-A91E+UIJe1$`Q-b@4IGJmQUC`LjRyN3g(0M zDjF{gC#CC@4FRd!VGfP#f};)&AcxZDp^HB5F6!wWpIZ>{qWOwRxnV`o_okQ61X` ztX0qdkne=#Ed8ohV~e3z;DvU^en|oCw`DU*>pl9XW$AaoDfw*WFea}r*N8TcEi3zB zDOR3t1Wg9a&p%|iqrIYYK)zNKFfiP2#LmwL(sEFs2JzM;f56jkhy7SgJDS*8JR;9A zrF|{JhyEz`w?!q#S>~_)q}J~Z+rGc2=_KaglLb*1vOFsSN8{MPX8eX?LlHqIQDv@C za@^uGLc+YT`^Iap+%8V`s)d5qv9VQ{#a8xx%9w>oTm=Xxw={rUrB_IaZMwT8JpB(WC; zb}9?IsgHEG+c>uohWd%HXLGyLVfUrzGx`&w4ynFCeZr(U|iYwddY{ zzj%=yzAjSRY$i(^4ubu=P*-DBo&L`QN>qX+HlHj5(v!q0HNQJ>;3yfQaGQJhZ9PYD z|9V=mp3!A6i;xVCnMp)fHf7(Tycf1};_~!lzF|VH#vWxOA68)?nujjt)!-Q0&&kR` zrNOMZpy4+65n4pJ8h^;1;=ELuqDTvo(Bv1Bn^8LvMhx^e;vR&irV7{E6sFj5bF>XS z=JAl%aMdpwL{2?r&p%IR(*OW{({{suwWNym2e@qyf~2;@?M54r5xR36H58tCmJFFs zvRuTMRNlOiaXsvVIk9X@f5=nRzXch8{XlV^Q{(^9ewP9opJ=o1=fuIijq zusrS47=#zI$o8=oP zFa@ImWz1vo(0iF@<)q8O>Tvq0$V{HYrA>@mmmiya@|cS#_MXdMXY~PL`1L!Dq;i(U zfQXn=$l-wIc42hb%(KoFG)9*f7ROAY7`IftTWfgup~V8S4R)JaO{6I6fe4bSOFc64 zaCS}Z);$p$%3}gDFX11&Kr+qhiszI3S*xGWKlCk_;2FguR;`!jYPxEVUQLS09^i*? zQU^gjjFKbVQ7>8B%-d_Bo$ABz`0471r47=cBID~{vFqC7P9Q3?Yr>dr=^k_M)InsH zV{T8*|JRoOxz@6aO6V-1%}HwMK)%|4IS)gfixYlU3p%2nm5D3x(+FR4Hg~c|OOF$u z$yK$=M=A@wcK?g^{coTt5$Y9w?KAtVvh2eM!u+K@TWwX}*YgsJ7$mZkf@NkC#xAm_4d1Z$=HRO z+_=K5ej>ftS4nE4(>1w-N4$96=8?l?Q1>HQ}%*6Fo7>9xMfNc#0^-zgomjq=tN z<8hDb)}!V4x!alZ=R2U^-5o58#~fze`uP6YGIY@Lwdf7FfeyVANb&u2HB+ZY6e&0@ zxMLxWU9Gv!Oo{2~ecxbSG$;ZL!?NybLw!)4-&P6*^MD50dzEs<>f%g+A)A8=vPYBz zen8lg;kS78;u$Xk{2r>4US(vW0BTfSYzzh6u?LlypKNkO#x(<)rg~1eCNdw81u~IH zAp@H5c{7m${8D4SMEt=a8Kb5DhPBH{Xpsj445-rKO}beM*m6Pi{A-eE&uY4u)QY$5DET?(=U)Z;3gwOCTaD5UQIerTLSz=mxM8=FLZ6o|NB^x0-lyE>S7rv zU6e!N>z#< zx`xYTx9N&CzDpO)k`soqjSNiK;$*AXAM7Am%ro)O(sA*}Kqi;tL?lLmfWF21A_W$z^ zII0V+qIaV(w!{IHzP3?`xuN8};FMmylFwPDLbc;P@Ip<%>iCgMJ~gNNGuq&eZ=~;s zfaYB}Wfi+QH5>&qU`(1J{K7c4cC$*mXJDi1)4LfJ!3>6BEfNf_ym_|r@*9mszJ!aa z1i!4EZJo9eur#)|su7!q4LRlG#+=gYkH6@ZBo>1{-WeG7fjdh0Z9S$Z6BkD;xIz`k zPy4B+i$n>6$-2fuK~Q?Wg~Yl&O`-`>S5z<`O=*+iFe%|!mr>Q^rPglZWD7B-^22!> z4q85Oc&%(a(_l?q+3UGpIE3y|lKj>|(C+^}FzG3q4Js8j>BL99G?@BB+Q#O$6c4abua`DSOAz6`N*B)U&?+vH44AP2p4W)e z^c}@uncQ9d+f5oAPFXXcTEsS}V?!b6gGnLtQ{BhW)vu}V|Ga=QaK)Dt2XqC928Q@g zs3IrN#^Dey&`+`Gz&Gg7lm#9_b3yTTpF zgl=J6ek?8`s>6kY>1v9MYk$lQq)a;9$_9tN0%gBIvT-lyMJ&%zJ`E5*)0jF>8^yP$$^NS#A_&Ben$*wY@nk)&?BwF-ii2MXlB8b^<`K6 zX$F|6f_+^|9dO0fHrG49UJS&4HBkU7TnF(D(~iL8O}WoxtxL^;jejVlZOr8;3;rEr zwP9}tCGKxmAFrrAzA17_q9hl9)KwGhKf{7WeZ970I@pc_(Hfu@nP>q958-M!k5MML zlVl}&lV}Q8sF8IN$b&~dIai`-1zIm_xZinSdn`l}xXgb{vl#j*V;U(X1qcUrO}n)% z7lAourBIW|E_UKShU=*0U=zQbRIqxlo!Uc|Az3Y+bG;0$h!@6wu)z9w8JYh0X0lU} z?WAXm>^S#Yk!Evek3v=1T6PbrPt}J17yt-^DVW=KWgikqJq9)FV@_p!jbCGjCfzch z-pt%-KsusIi#JRZi-1yeKLpOokxS1E=k9^P&~)7|wl7q#Y3QElkvyKTLH2w8GQ>Rm z?D4*rDmY9(?EP`2zo=-hM`>FY{DR__z1jfVr}W7*w-q&m$8aMd9z=-ZVtut>aa+)fig>^DgCpBn4inLhauw0in$;58mA&~U$$iG~Hy^&(ue4rc^X0%EPzYv^7!0q>yNLY&6{m zy;o`Jj=Ze!a`AX$t5nXhm0~4CL4QFpo#PAF60(f^-o!n3V#wZSy(OKY9pg;z)n|?> zbC%eX(k=*!MsvzQR1W{S-r6Fq68kGVrhgqr>3jpLF&c--kC)8qXNkhS9GjwT9_{Dugi8`7iN`q!_~AA_8GlB@dJ0lfxNIywH4|2z!?Kcc zH0t$fTj(EeQD5q7>PGE3qRt3&S4PJYep9{k-R_TjP=3Zzs!)HHRsp!aPe2k;o6A|wC^h)aj+Qg z;6xaIb(Wp<{e({-zVFnG5IXMLPOl(5QMD`1_&PB4*x{>J;xlZ--gZX_m^n`sl})vD z@gjF2%1<#unAT(Z7Fd}45XMp3RO0G%HQbJ-_}hNK-T#)te_>*4W&6q6=qued_}KJ* zD21XaWa$s@)=u8H;nPIP_jBMewfWI^fcRf^@{{awcnWTsY{SGnrcdC9r(d_(JW`V! z?{0Zy)-_E(`xNbhKd7qTM2~<6S&-Bp7TS7&0I+uz7CD>mg)Z}O7)ztJfY{y`K=*YQ zv?&6}(#NBI4r8|eYd_0ssMwlb;-u@jN3q)fjCcO4$Fx4tCAl~|?g3CNl}K#>=*g8O z@MX!ndjx5%DKO7s*9+s5@awz<=KY=@IbKf%ve9te524Abe;(W7bdzV^$ZjcKoETqW zBz;uFv1I#$p@q$%rg@1CU#uJmsul$@ts>#>_sc9|@X0Sf&b@Ich)F%f7aQ07LX`z6 zTNJr72V|@Xr)#^BG*O{up<%BtV}}Z|u0akZk%tTQq|gp8ncb>LkQd%&(^@ZCV5t!Y zwo$V5DBFs=`87LpZmjU^! zp|AE6GY#~h`E@gGDI<+hB|v{NuE{5qiIiZ~y&t~yL-2wNZmr-$#^iN$x1V1;QK z5pi#huIt#eXv_X)+bfu(|7${E!jzlGI_f{;s)?i=7XqG-JT^TW}wcpy^B#eH#qGN1)2XA%-DE%+p;2tnriBIp`! z8p$<9vrAe}#gih9x%w_5gBkaDT_E${O`DK zD|!NxqCo6G@V+Sq?F)i4a+@_)fae zVI%;4$!dO%)j+3C_ z))hOH4rH1_KcfbH4|CC`luVsaeq8Ixf#xgyzAOc6!jsJxtSTu1A(9>$P;@??+^tBp z*2>rsZbn3@uvy2ue>N~7c#=dXY5i0K{mWVQ2J|caev{50unjXUfbhtaUQuYkjKL4M z5JA&TTIZ?*i|r4{y?RneHUgm={4!cYcc0$V)Efy0YgDJIoly-#kQVdphh|XcyrkIC zPn57&OA2cG!-sWrT5hXyHNK?r{V!0s3>8}QCNdxgD?&dT+R$lB`yUR3X?#G&^ShQ5 zmuKP%4)UU3Sf6B=?@<1saF$1P(E4@*f5}{HC8*{P#pO6z-`7(uQb0b;5iHYa!w(;n z7+-}pa7DJT&amdwkt~Z#n~i3EHp-=hoaRee0bC`Y{H7yP`7qk&f99psx=KY_f?pL4 z=m>M_*!(fr#b~PP#1&lc-P?;{S{jjj0_@wLJS*ntpb5MnLN=PeqH((YzJ57lerO|K zE;htcBNYP{?S+Vc%r^C(=0lBM)*jBl0GNZKx?FtME@!-;9kR?UJ9P$s#L|+G)sB)ZR0ZXeAw}H8$|MbuI z;K{)XFN@&^%QU9j>jUSEuhvi&OP#Eh6NT3y!5+TzNJ8J8?xPp8Cb*Nn4Zq{#{$O5k zchX~SdQIniMl8jiD0bpGkmofO^OG|)#ZdsoCP}P>i4peu@u5A6*db)FD?L>MI>#Pj zx;Z$O$X-=$jQV@Iez6|Z*Rwc}-?isYrfA-Y-^Foycg!%ABlY@^)5?r}{s}!1Ow2My ztm^SB1QO|`w(glL=Q+V!FR&p<2$D{Vls3K2?*|Lt_ujFIkDrqzZI6>2BjKGj+p{=K zS9|K0103Hcw1K64Y(-jQU?ccN{mQpIHdlwr$7Tmgc-xT2DvYKwhkZB+d0TFe8{ zy4y`*P`3yaL6cwbM-wJPH(a_C>@}E_E4D?YCk2u_XeEu6EMd!3U)6yKF&ye8-ZU^v z{BrBQgiQ*5PdD1<&S+LU?r?uw8|kck`bgH_meK2tDC?u`)&NE7_bz(EmVrEK+Xq5v z!PHTzxQ3NpqD8oKj@=2^3NBP&KT%xC{G^d5eYeIq&Jy?LDa?a$Y<(VE5wU@|PiYu? zXv?NK!LoOP;Km?iWEC!Md-6L^CCJ-E0w83M&Vq?7hV+Db&11W-4DX+fe<)bLUE}5@ zBZApH=F@!#rtsmp_D6%kwzsRh-L{PS6qeElDfi*|7VPIv-h~-|RKv&#cgv*kP z99zFEQW}P)Z@FTKPyI^wp?hMe99%UPZ}$T;4|hP@lJGR{m_~$EQPv*Pt9|(~BqE6z zv4iqNvI@n1PtgT;5ledTXnlH_;bPnTB}Msgw}`4p!FOL26mtf+{s-Q|-Fh4o1$o-% zBUZDJX*EBO%Z-SLh~tp8R=2e9l|#zAh)#U+E){MhZ%3y1bT82*cdt8zz>DE%JuuaL z$`JbO#rT&)8Oh(th{s4VJ7SkMLVQ(HnefQDf@+~w&0 zpnCFmzR2X09^u)tU}(lultz9JW1qf%lturQDlZP=$je-}htrH(z{$sY$J&72YX`3# zx<|<>Ja{QiM-4`C0$EecMkU6U zTIn~Um$i;Bp+L8l3PxV4RXXk)Xj{akpq(SFm9G6ebvi?1o5-rDMK~r&?*4BCA`7CF z#MUeERP5XA;1DEL3h`Xmj0A;9v7%qetPdXxh_nySM3MNz^spr0nGFeB;-xA&3`^P=2R z>)$hq%Ne?7+<>I-JQ*a{oGrspROtj(mYs@OV#2WSy#J@FjvOKZjWzun+Kbe&LsW|W~}8+e_> zp=7OF=a5~m4+_(F{qNTwh#1v%!pAVp*#9Zo+HFPUbxYrRM^C}HN=hSda zO@@lLsf%NMLh1sJN%H0GDD1y^W|0Hm(U*>*iM*Sk?EgQXrU+EV2z$)#KEuNSW@Em} zyd|B5ahj3|{FnZ+!9uIShd;5a^_w25@oYCoGCylI6lXkxBp8`(NEaL~PF#><(nm8XZaQVFLgK|^z@ zsu@i6^X56dM9dPs;+3sbvOGI7wTX8ERegjWfR=Dg$C&Kr_R0eS{yY^LFnW-Z>-Dz=*7a> zThX#P-|SyA9uiwK(J^}fAEsbFfDg^aus^;VVs$4j8b}14LV0hHXZaQ`-mY25@o}tc zqBct8YM8eR>!1m=K8CYR_)E9ix-f}DB{F>7ZEi5?sa5r0| z02UuC4};U}8KS)SVVZH3YiY!Plj4aV=ea0dO16n6xCK;9>V0+V|$cidla;>VepntBlB11@3)N@!M=ar z!dFk!k4{W3l%z9HnFv6_0H9uCH~iKcNwFz;k|Imm6rJysrY=t7Ou_{{;!(L{C1%JTgF6Yu!X9AJOc6vJ@`|YJ)IU`%G#6s3m zW7X6^wRjoD_;Ql@5vp5Dvku&M5cjdQ170y3sFBH;~LYQGAwIBYqL#d)Jm+A`O1EGFBc zQ2iStY2rV{f9MbHI{42KwnfW+{BL3G#6q=Ea>C*&UKT1enPpzW#Dq5)csaxo%jnaL zhmY+#4`a)5L;WGS6w0+_g-jqQFBdPy-P;S(P3+d>?0Jgzj0fl-Sis-3Zj?!giTVb)+XqXuN`RvNh?$(ge?xkMS>#e?v7h}e7_4xBzmr2ejpkT2C z0s#jG1We5Y!B_&mY?$2}J31JAZE0q+?L971!QuwSY>*2o`O4h^65qZhUHo~IV7iuo zGp$R@9dY3(fZ0g}4Ypq*5uiVJLBOhycRZrl!;VvsbP8 zQW%5{Rv8dK<2k-WdttE(u7;6ymc!efL=Lx(U2Q0;@O5|xg7d8E$$-XE6wlqa!^K%z z*&5PGwHWqjCGdxw^izS;_DDs32>;{je2tNY&noTJ(-{RdB=7vS4p7H~p`7W-9ANb< z&XUdw6yliF5M1c`;Xe|&mT$E_tJucFi9f*d5h2Ur4GcU5)eRnV_cZp9*?_y!Jj7MQ zN3YGngt6h_4~`(ho{z07qC$2jcef~AI~w)6irEeI;5s`&AKde^EC|;9$&Bg&wAGye zEMhP;q z-}ZTnHb+uF?fKnPf(P^0-<+`uLFQU#7V{BFxx)MJf@cywYfs2C9{}G4HFKCe9r9Gg zHt=emYN>SYX2xTu7g`U_^3i9SX(2pUHQu>k&S>W35HC@yh{pU2y{U{{zH0S9!p+1i zq7^;~s|qJLRhYyQ^iboR@IH*4gNH0Lc*H(Cb7F5heD1*2Tcz*ZD731oEMfKx!b2fc z;jhMPE(3W;h=idv0E>lD;&-53V^2#in!nSTIH!z&Bfi1zCJ11GPJ~mk#nc~ts zCA%VQoyRKK>3oSuw#X)4*{Ty#`ru{T>PceF&0F~MY6c{m_o_PRMBTIbi3-&CX}B(c zjKznaZ^_NKDq(oV$(~hg0Z!%oBUeXN!rN#|xOHd}eqF20p;om&0Kk( zOsSZpmBS}Lz5q9RIrj2BG@>9RWgt8D_}ncWX&*myD>$w1Om5YnIP~uAIEw9vS121A z;J>7Zg(PN8b{X9#UcYV~J&V#Snxs|V8AE4O?D5$eSyh#AGZq5r_&?#76O0MV$TA`p zQv&)r@ZF8oqPn6Lb(mTmZf}8&%q%gRjFppF@)js9Ga$mry8`BfGYVnO)lLdRw^qO5 zk7tdn)Byl@@d*(ceSDx6tm>s`+#{{ zYffqA`nZ!0Q%hM;_ z9Q|$;XY|n{O0%`}p;7j(77mCz&Do-qmo<0W)7yv#N|%}~M)O=I-F9n!Y}a+s&9eia zGHR^gYVKppdT9g1$VjA&we&}2__l_9ZKO6*K26#+8t6aK??|ZG$Bx4=K#T(M-}y}8O2-oT3XupS!tG_w$$36QKX%va&+)KD8)RRd%cNI9DnnH z)mHx!g27)g;W$g9n{KZ71!0f1fu(fX5eRd0CCX1Rle< zHNoA8as{stKvZU6H5JC-a95#%rw7`C{)A0^Jr;<^b%3niR&P<(3zk1>!)m&T@KhDv zdZfY)earqH3Lz&{tUx)(KeVaB1!K=ZAfJh5{2q*-W>k#0FB119WPcwO8;TU2`*jc% z)-QC<%^%eiL8p2nbIGGC#2zTh%NQhsD4wg~VHEN5DLxzYO4Afi{=6!6OLKE`fCyUP z!!}7(dTbk4b&$X`cRzjK3Dz$lPl1MSZite9#q|8zk^;?j(d(hD%T^Eh^*g3wE5W=q z+XZtH!gnH`Gfdk;H_dTRF%luH1hX~sXP!V)7#sLEz5k^P@LSa_s*AaW;AOe2}E8q8D$p3-RcMvkUK4aoX^#fbE%(Fa%WxY+;&e&6pTGPFBA>fYcec|3&lU5}+^;Aep%6M~sgSNG1^kRFh4o^eDG42L$i)`K z&Q__5hM-llt0N}bo%d5wiPo*vu6>-_V|%jUg|J7y(bzP0|O71-Br9;1DE0aCZo9 zf#AX2-Q5NY4grEokl+^F-5~^bLKxiLnR|HO-MqVdcfaTEAN$xnzm-$T_0QM?&5P?Xdef2AF zCaL;Z3pj`$$U44&Ndmul#xS5kp0EQXEEtFA#rt_ZeFt!A1y(SS+cLj+zu7IQQ%D75 z^$NHXWDkr18}Woze?{hq2ACd@RS}>b1UTCQ_7GkG+ZqqtQDJjYVaAV4wK}@Mi2~pT z9x_?i6w8SS#(f1CkisPGghY~0wj@9cP5S6MdVf-{vvYYF5@vH4A<`?Cb0I6E%Pn9!Fle z0}PaRLXZPL0KF@82!Zs_`%UiZvut)g4hO8ov_TF8g*)_P*}4X-yBHDBNt?Qnd}koL zS^W@*-uQwm2*f>b&Nk4vdWQg6B?-NkTy(-X`3YEssbwG$$k&FS-hUk}-{8%~g&Ytq)+KhN82EYghc!B}0 zY(RD$+n4>D&|z=eZ`#hn?~ZmKUl0@sKLTL%B(3Im7Z0Dj1GbE15gBUgevB>fEaTg$ zJ=g>0O3+#?Q*R?GkhlQ98a0}NLU@Fb3Ft6@nftH_xYa?r&sQG=kD6pB&YN{6j%u7j zy0~<-8L>|h0{AIKqj4d7Z6%P$+gnJ*UE2Ms->n?TGmHQ}wBoVtsR!u|;1MeUfo*K^ z>!R%;#j$KK^~l1<%8tmfLJ-wuzX!jgdp{sK#q7iGQ-INBby>h8q~i7t2v6|&&U;Ql zj1O?>1*v5{|0M(v15h=<+ug%$Ec>(dhfoVH3*FE8eiz@MfDO;RJ)rAlq!!$gK1jU` z5C%3icb7{%+vJJo?pkAAX~W@2HVuv3i1eR2x(CfX{P-H?9;m;B2yNzv){OzV-DpZ)4Lfv zyG;eFK7J4sBfXlkeW6~hyfn+q#B-3Rvh`eJh_&{L>D~_JVH#>kI^vzCfeQq;*X{Pv zmc{eo5__~CAr0_`I)%?C)i&3=x-)8+okj?Xwb^M3I+AZ8yDA0(JX$%T{Tg8F2jXHv zR*NA2Arp#)+~Vt$=H56kUOB~`T+~N zib)j`g=Dpfnwr{HJ)j%4wSYQZEKSOXLJgxkEf5S4B}at4OZ2f#Kt)B&_f(MebOxD(ruK2l+z;!F( zcTcZSj2m#=#hkC6%#L(s;{&4`Qt=MteHvbO2m#*C!z~67K$HxG!5aw5#_7ybhylQ7 zBQ)qG50JL7Ek?Nj_LoayV!rJVFS26D;};}EnV0ZwA;MEqc%)Ng0s2>f>j-T!Q3@)m z7GS@icB-+E?gEg~3YvW)9>;9G*J$zZ%;Umys2HOmWZibt*8(mNogw6IKd0!8F`k(N zfi>$NMVi5cZJ2b^$?3n?eD5I9-0H^9g=@M{F?pGfhG5Ogiy=r>6=#JkJ*N8O$RtTI zAxfV0n}|Fi9@n(TJn*nH)_6c{j4q5$5lkK!bmBJbg?a#2!TN|^7meQi?mEBVUn!L-w_od!OL2bNhSdb;_c{b#aHiOHE8?Y0HZMA=4)O} zpukNqtiuoNQv!CvJ48z!*j{(V_B+5Y>w*@pd_b@$wmWqa$hg;F3?SbVV<)N!)I{Zb z{D3LS{jK>thMFjWG2W}@J95AnAVodqAc4No7q9L7yO&%~P zP#`KZU@;Hd1-PsCh<(U*p+pJ-P#ae(S$H{Yj`%;MjKl^6GRAJf9HhW3g?h}3I>MV0 zI@kp_*hMRdtyrA7V@?xvPT?8LRm6&+gc>M!hy$=>)rIy1A`X!ZK*fDakcv=kAFdd< z_fVn3@fL&Z!kDBKw4M2<&*+;uOA-XRabDv!4pqIq>{Ivxz?`OFwXXwQPsZKDA~?~+ z)?iExx)R_J1F~r?^H^cUV<#fT#6A$i-~gu^Oee%oYB9#DY)PlP^_DOtqBbQqq!9X4 z?JM#%jAISHjchvARa8QhJU7;u-O-q^hZRljNn*W%)SVq*la5_qc)$!e;mn0W4T+!v z6I6n9F|D2=*#mWACU|xT&s$b6j@pQ0e+;k^@URjxu%aEn7qS8xmp;ekOoX3Evw{0$ zA6K{b%IU}Ggr}#c{kTPes05iY+c7}+=M!Nzw2*=0*Nn6s6Wjz6u!)h6pr&R!0NUUWKnD3Ei1sZb#E>g_tfYovj@}lWMMVCe7m`8hk1vzlHQWoJK z*Yn}k%5U{i%~wFq#I=tboLbqnv*HG}Q1>jlpH%}Ai8Rln(F2e$_Dp$It75>;FE2J{ zN(n1Arz=Vp|Hd4V5+uz$#w#-?lO~fvnL!Ea%3eSsw1JD{%FQ5)I#N*3LS&yrWDbb^ z5dbeL0XJaX0f5s35Hx5ed}x3H4{~5R+a95w5_IXNOQ40ucsp@GwWfSG!P6wk1pu{H zy(}p4J%zhvtPue;DS19K9EU`w>o@-k5#GyYzx>A{tG>_dxK1K`Y|p9K0j1zt6R32H z*kl(?d=-(e1X_U7$@7Vn1z;_pIslnKXM@;FLq45MSxELTlsDKY%9mpL5TUy3egcfj z3&4BncqV%`C1_HRv`GuSm2Ni^*Ez63YPqOMc%+OK?QnprS^kd(KK_}B_Y4S(h}&ze zB&P~bAT@{CrK~Udp;D)q6IFod*#$THj6HFFXufYPMEABbQT~}WzDz+NRTgr5N~knE zftH0$b{zrWW{L(cDoHkA21X5u6ak>+5r~C~Add3)yKuI)LN0 z1B>%4V8idg>#iJN@z_FTM6fpPmb)gJvB~qR`}p`eh*8ntnE?RL$mtXf{MjI#Zy0ab zP!?W*FHJp7h`N@~2`H~4r0^%>G~u&_+>Qf_+Vb|~uv}_eZ8IU<` z%WR>7d7N3xKY~d zNm?OCdhILq)7ixnUu8fp>QamPsc0x7fExt`1^0TiNb|VCYvw=@;%kFv=*Z)YD8gmW zjMQi~iVfr833C0LW%Lm(UO|aUlOR|IcpozaPHYA$r6Lt2izSTE$)M5MJi9G+2XJb} z?&SS=ME0EqmkGR04fG|!6H(M0Q8osgl>sw&7r-tj8Y7xT7A*6G)bi#PFwOw4Xzn~z zh9KOoP!vRZ;hgMJ1C0qI>2rJHGAq5u5>zHsz$+99Zsn!@q{jGKVD~fqJK13Sa-{Ls zwuM5RD8TR!q|eg3nn2N%bq9zUpppZCl}3@^kUCnjzE~qEmb|_w5E|tl{gVxiPRT)S zq}x4=+YOI^6h*eYrt0L6L>U?)%;Sn(00SbT!VOZqx%$m{FBrQPDlZ?)vF#Rr)`f&% zb`g;2T)yZlwE!Fx8)hng0oEICwl=etE+u_L2ON|zvWcy+le43Vfz4CN&d?H>hl`7h zne3^=#>T_R_19(Yzg_0%XHxO7Hz8xvP%yMKF>)qjQg$(P{^N?Yje!~P!8-#R;9c5= z!-kAW-NM+}oQ#c=j7iGG!pz*6jGguABcPtVfs+-Pv!e@8^{s`olcI^EsGW_yovn$j zGZ_mRlZ1t}vxy@alc=b)ii(7RyO@cQoiR}N&%cTWjy6tY04uU&3_xX3J8KskTc-A$$YI_#)E(H=qJwq$RR*zpY_4=l#AtH9MNM%>R6w1c(K%F|atsGqLi zUPChc;O?Iz9NM$Hi`6ph{>;9O?NYzn zHSm@;3wgMEfGp}hgtV`Pd`7b$T$sCjL5lk3W#xCG<}QqzN?U!FqwMc+M_@VMIj&36 zqdO!Z?ltK#B%XBr?naR*8FU#|%z`jrUV;%jB#03^;R9^BL56)09u>kgt~oDpLKN0k z-uMkiT=jr1y@(0m3rI#qhCyqqjH6=r%!@ri2)E1+u;&;@-SBvAi$3+;J`W(B+PSM$ zG-KAUpl30_1|6K=e&A8Xl8{gB&u~A?;g4gt+v8-~AtKf@fxqy$%ENYhSkp;_!>iHG zJ5SMwV<)`5L84&NRvb)Ej9;Yt-8VQ<146FcK#r5=ljCiq82WefjChQ8SeVy>qS7a$ z1U@&mB5pUr-3#@7R-n0?&}~6V+EcWb(QZGEXYRN0UxhHc4LXQ!{#-SW&=v%dP~w*$ z0QY$ba0W?SKFenRIp79v$T>s(DhO6NzJ=$PVo}2YfeF}11^qq+;`^lpVHA;NPI2`y z`AXs)eMHz76aqGhM40p*>lcp@1xYbNhwSw*j2$`P)=tRII!{jVNk!HWx=*?8HWux= z>ksOW_66D>LRP!=3}@{d41p9-rOX+bG+_qiKq)tz7`T#@>szV{D0H#I)I5i%|$2ce?v_Uv@A0T@oMM!M6~s z#T?HN44AmRS~KLq@B<8J3|)*iK#T@zU0-nwOmC3*`VEFL@wMM;e4lHhZZNx5Xtx$O z$|^?XDe$TK0mA>+@kYr zZVNaQK0IFAVZ?wy6fKQdmzXSIJOvOKB?}|gyhZ2;3KRO@O#pwlmsptDc>bFI{(EzY zh57eb_}3)B_CFj5|IbO_UyP;yH2eMC=J{*p=lSnV9u}5=Bl-Vd(;v^j*gXGX`un5W zKTm%=|Gmw_!uprwe>FNMFhl+CrvCq{*?Ioe?#UL`TXkGt1ia;D&k4f1z|(06Pa)m^ zc*Xm;Y-8;Lz#oIF<9qvdPHe~{gZIC;lK!Ckq%97%-;W5-e{UwSu>DpS@L>GSIR0Ng zA^`3GXe#jhi=70AqaWyx2<888#)v zr#GFy7E=JK;h*dz_w)lF6m7YkyX!^yk9nyYZ$M(7YpX0je12zwJy>9vE1#1;F;NGO zcSIhPkPu@Wrqt24dY@;EMfaIgEN)ne<-N+JO#zS77({?=lwE#u^vmTH$~%q z*UDMj_Xm%atrn(}WOt-nt?$Vkumhd#cHlN>e|O{pSQFLhfMNnscyxU3{XO#Ti#Mnj z&Mp`G)Cz=VRql_~dOBN7wz;6`^C5-*&J z=-x)Fh0CiF33-j%{>_zQSa@F!^UA|*7SCJwKI&D4^z&};j*#Y9Wh&3`g2Bpt7BSu% zXU992c}F*l@3uc%vCnfO{vvwLLcB`u2tSt9-3AvXf9CaOqMc$p$ zIO!7D>z;;(BZm)xTfpGiI5c*gM2X0wd<)yJEj^M}U^fvuoQPwit@4YR6n(w?*7KOV zVpxfnczbG}l(9!jov;`0-?L#WlzQljXIBIgQDz3h3k$DDDz?79i6)mAi)U4b+4ohS zj#znaZYJ#z;+CtYwEqSna9gnzhw7SMl!^Czaf}@%9t~DJN(4JhGr4nSJ(a-Qb`CAn z^c9O9f@6zPHWN=nCLHA#e;m}$$xCg*bLI|{y|DHLY@aoh_NmP3h&huP1CYN_SH^W> zIdreV`lRW$CU}#vsT`^Jg%O@|wEnc}8{Q_DKrXt~$*%!dF2E3e#M>D*q`_i)6-euP z79z{OP4@wBSoj3GC~hP{B2`;95=D`0@H~LkoVPs6B4U7M+u!?GW0KIQPns-gzf(iT z`ygL0jU417mcU)vm``FKOH@xOD@`Hn`Kzo!@}1?ra5xtg3qFm}bs6*P-JV?R-8V8{ zl)ZL}po-p)By`pV)`t^F%SuK@=IqKEd1!wEu>S-;((g3h^y*I73&TvtpRvp>^!%id zPOg{;%GkjYv!RM$6@R3j~S@>0KJrQgw{gIl*YBj{f3gf(A8mS;p zB8&dnflK-73uPDa$p|xHSLS-+e8N|QWR!lgoas~yR`D$iR=U-jua!0OY=Xk%C{MJU z-%hCHA%7WJ>vd2n(8%-2PpNrjA4`%dr98+g!aCiU_xOp5f^|dw1)L1IaRXeyRxOM7 z4@9()p6aCdy~7ro$#mAAttSCyrb8k`l5SfWukYwX><` zJw}4d3bBeQoM8~1dvIMhuNrv-^iFPXoU>KB{y=@%Hp%_?gurOljd*C6vIX9XE0VLG}jfe&{YL4H(f#v3f+CgkGBkjZvCjFB20eWymFuA{aeaL#G(aK8+*bkjzeNhyL{VKS1@*} z#bTfk?q32i3>ygy(s}GvI(-dr%%GpM>JTP64bmfj&{VMrc%|B>i3giQrFR z!fcROFJ=8Zh0-0$vp|?5gkw7WEc%cB#9pkWvj#?e-I?*pRrYg^70cfa%$I88Y8`3} zxXNR^*E(GIZOk}Fo}+{3L-uK^ykUckv`YE+`-h`)TyoY&Lq;itvvgH#Pm;OpE>Bj9uDrauaOGRnx*QSeWFbL2fT8Gb2WGoNfE-Oz8oohaW zHG;F1gNi=z0-yFM%^7ytm~qAIBlNqkW`EbVLwIMhrpdpE;nc7@-QU}eW!BNm(O4L; zLrkY8T1Q8I6vie!_gb_bqqu59rW7BcBE* z^(KaS)&_9de5L&39{*I9TFGZWbL{=9Nj%P1PmJ8%((7{PLi$;OEc5fVues&hUlcsm0ntu! zB)X`Ovbu_4H> zJ7hE9gxJ2^daP^eN+hEC;u7^;Z8?zi7*wd_b&V%!;RQOq_Q3Ti_%d%cz;boA)zT1v zPEH7y=>C4ttVK~fmuPL~z#m_N?YY})+bzY-0a!ke3@R=nb5dW0;R`K;T)%=D{*doQ zYYU1@MaMfDuXw5ywW6nA!c>Mwy60bly4Dn9g7hz>x?R}aQ8_)!u2Zm?Lej4`%k!&@ z$kf!AI$@)YXh7K^`^1ES(;jExFH>TdO-r0sa}pP`u{@x(7(&Y~tBraO6kg*ar7;h# zJl7tsWJ(46JM&Zu23fg}@2>eJROjBhoQl8qIpgNUMMPmeoF-3hn;v7M^YG)iTA8A` zua&u$6@()c5JrFm%b;(@LaKWQ_J#u`(QF)|_z>$e`=UWdDcAJ3O^A)ew#TL!P&D7+ z&TzkCqJ1*D8$g}N&E1uY;voZgzPS1bWyjfhuY-Kh&V8afHL%*zFPBV zS4O9o3=^NKC(wPPJ7q}?H57~-$&MF16&!z&DD1TxZDq~=i?iXyICl~*>WtGAl65D? z@N^@QWjDiI6}{P|9pWC<5E1C5dqgT(FKmwXkJ)1Z_5_yY2>WrckHDlIq@X$l4u2QO zA7*a~T?4h6!NRdVXS~$krvX|8)jPm+sKd56WITpNa0d zPO@Jpp45{Mxa|(}2Dcm}*;!Yeb*Wo#MYf43(0VkH7vNzN*3Q%x*pju6m3qF$DI36! z98$K|)RJ6T`=L)7s?GDFJtr0pP2tNFetHT3PK!X)M6LDcqq?d)^l>0{eCm;BiSI|ZD(E4^R-f9U-Q%IC3EArAh1{u@VhQmnz zJCIZ>L03viOIX%E(h2=GfuUEgvdiHuF}DIA%IN<32iu+saaIeWv(@V_mn3c9$n9QQ zWRK)a$J9Zc5q>v?U=U`-PgMk;trkBa4 z*Yu0Nh?l!^3oF~%srrXD@`C2+8@k|#^m?UvSd3R3Ts{qwWl5>#<$z-D_I8LTA3N+LCb_<2?uD#x@xW+d-k#I9%OgQ-+X1N z&Hj<(T8X#zQLHA#HCh>s@-pT;aH=o<+a4(u*+!@$4HrF^tV|Gf?_=A1)ZDhBxQ-0g zU`-%SaEgj2ts>i$bbCduG8>DLYTUlMfj~k=UN`_ zNQxBkJ>XB1S79s5UUfJJKPMedUB58|9BLr-MMznSMw*S<=5uR8?-$Y2;@exD@ubLdHl#(apfLv8+9ip{f$nNZI9=!5TkoIFKHW#f{Y8} zt?;*#)tI(xtY&(*U@-rq<)Y(R3NFcyoOXCw<4P?P!JNpt%8!n>nV#=uz(TP$FgbIJ zbHg5DM?BeZ&th=A4BBwR4J57XO%ob*#{6AjocmpaNsVvN%Jk>H#9Q(!O8KPD>iD$0 zaZuubuDme1wkqTa?vE(u_@N?jBS~Cg==%@Edk1M`sZN% z&XobD#aYgW$2g4xorUQ4AF&tilQlZyvGej7@fQsrtiL}}^O1<0LQBsJ1i-?5*W|0+ zIP~#|jlUf$Bx#&If0P=O&v7T@-&o8o3URAIhghwlf^EFZ2Ad!GB;gZx1}m;vRZ$Ok zVB-_;cFp>8m`_KlDrj@JgsTxk<)Tea-x(S>x#`#_VXlACQiF#ZB=bved4Rq14oDWo z5C;3~ATPtvSP8_+erU>?f|?O#;*`k7mSQ6pZCl#lk~AGXeD`ukPJ`_H z_EP@^T=uAO!l%5p@9lbNh2N2=J1XsK#_LA*eza1VUc4W=;;YvUPvc>J zquuwX+_#aI9`X-z3uH{E$vg0UeU`_Em>~vz^;$v%;eDhNtdDn@n(}a0 zQB=D%Q z4@b<>?&I;I2pZ&5PMStl5lj=%7R z&l1^9kn?^!vu+*tG2*g2gt~l{#x1ta|5?HFl~a)a0O> z7o4)c(_Bpp@;HxGexA6y(rgJ<@ULh5OrkxXHo9vUjh)STf;TvQdkEu@9~!ptwIr!@ zQ0NpJ!|yE=D16f3MlD&MYF!|M_^Xrdujdo*V^DCm!LH%Vyo}v}fuuBkkTdgDri#Jt zS*I1b6A$l~S$dh;G6ymIxA|3AjTvo4G}6vah9masf||UhMhs}h_7yre>{8qEYjERL z?hu@M6JD&?oFsQleirr!qO;!>q^ZwcsCn&@ zVL7wEYN_XrOR%YZa%U^_?Nm`G?ib$Bpv#Q78;~+CDa(YFiV1#{{8Lo`@7aW9#5T{3 zsg?8)r-^zlbe{|2xmkJ*$ZR z3HL+o{%^r+L@>u&Z0>K$jRN&gewCm*Lq0qA2XD-cm2AV|v!tE(N^QvdD?Omq)>Saz zWS`buRyHuny`Yl;GY)3+4oFB3u@8h7E)0j%M|OD;)O>ESe%Jh?8tK@U1$tbfAFf|u zkG=(A$wOVeEo4OAwf?SEE6^;M?sc%x^ynJ$c}LfbrJ0a~lW(zytO?<;KnP{iSIUt} zBpKJc2p(PCePfQ2g_Up5!sm3~vbn)Gv6LpM2u+L^O&!4J>I`{ga^tP4w+$R8wO=hi zm;)gkWvlf+SW0v8eJV4BvtbwQlCX2v_}C`YG0Uc9^`>+XZ^{xu=37hKgvPQ%AZBAQ z)b^($6$AJvxxAV!JWW`i7~87~NdBsi3k9^VLui6-YTK`&lQ&b~&C77TlWphEnZKe( zQ4$mjO&307I@isp!f1=xeU)dF=9Pph6HNR%&br=)tN60t2A6Ms=G|>x#hc^z`msdD zuObtAWg4WPiymoHj2s{a#g$VcOLLG9f4jpO=Rlhn|n+M~2uV_G8WuUCg7U+`q||0}aJJlJ#0hbVo3qQT6;2%$@CXhpM(WsEflIKQ3mfn zOc=(Kb;^RP@5~ay-x&{=H*5%Elmgy>7sJc?&7gyaQSNgW?+oSTaN8}N)}#%lE*Y#X zn>$2dhR7|^)YUT?IpI>hHMD!B>lm|fBUViG1Y{e9f%+5T*bWT5QYUZMv1z;3pi`cB z`Ip272?xB{@H&CmP!m@;i(bNd)U+E(zKzT60N~!tf#jaPKZm7e;nce&49bK$2F1m& z-S;FAO8sRNor9JSDd*NZ&4r4T@z$Z(XgT()i1craP>qk%QAj;%WQH?iChz-HnvJ%# zU{tX5eSZb^{Fpxc1cF8Sxs0#rR@l6usaZD~^!&0;U{5;;NA0X^*q3j5I#^9l3hGRm zK(XdX)|bZ`Ej=|@X1j7hwp^t)#5U`wy;HgWxhq(nPHWF@J2A)P#kghteLb6=wJ$A= zyyN^jgVN|?7#DI6Au?a;LtDH$dTWh$uoG6XJTFmP*=Cb*ZGH>S1hSh^wKSqU?d{m+ z*e{2O+9??Vz1|b+wUrCMGSBlURy$t2p*liZ9Nt$$Of?;<{(>Sp` zHV#76*V>q2ymGo7`Ddk!8nr7wz&vLtS=y6*o2@&nIk{F$RlAbj=L|z>9k8HvSG68% z1UJz3VNfr^4n5sa|G=2cGf1vR%$WOQnQ*pGoAvM(PaD0#!B%(~#7BFD=OkLA9k#wu zQhQhjOJ}oXg1egi&K#-!SWYkncl9>qp|YG(K5f5Fmic@Tfpr&|kXRr?Js1(!BI>=JHj|1zuITjgTKS?X&_sRLRQD71D^7}X^QkX56ruE+1eIN zQ%`5X)?1`(@fX{AcfUe);&w^Lj9@;Jd?TjiaM;TJw5Q}%XvDcaXGuE2VkAa&|0#ZP z>lod1-K))a{1bw_>a%Wf-IpKe>EvQ)`;;ie&Jq<@f9R<62{5!BdJ39s>{cP6Sjbjt zE4k@i(=5Y!yk(HmTUkCy0wak1dU?UIq^s&vAN&5QbbN81gEDVIDnrPjcY=22t)(^Y zbq-6F!;NERX1wZ`B!=1MCPZ-8$JYy%Y+`%SV9(DjM_`>c{zZ6t{@IPdi0PdQo;1?i zo##IXce-9QYq=mKOBXs+ZN;T@sT^5#fr0%!`GyRoqA?~jcE^_0x^h9R=}A8JM!g?p zFPMTqD4oWQC7}A$h`IIdV8ap>E3VeG|6CAH(Pd|A(7dDYOo8Ge{?2=-!?NrQ7KbUN z7B>IY)$l$ciB#G}-9>)bW(2E1o5o*{%GX6IH#hB@+kgU<)sOn#NDZS6lW(RdHV)ak zXE2Z4(x|@SLB`)p4z9a4D{7p&auDc&uGm*klkxAt^j=-> z66sZcrL#8&=WegR{X9G)xHR&EM4D}cVBUn9qhYZD`pIiXt?k5Xu2GNkh=( z-t}Gu56zGCGz)<%2uH(ADJE>b&XZMuaR2Cy!wcWVlAqu#nX-D1yV-GE1F}KtR||%P4-QC0C4qtIraG+C?%H{d zA}5PvQf4~1RB`+$XWjC{GoC+0Y|cEl1SfCOIbLT3C8_Q(AiT1uTnIv=o}U}DKWEiL zDJS{k+T%W~>l=D%aoH7|4il`TGkkP#3=d$+^CJv^4^oGcc1Uy#54W=I4k;c<7te~M4o$VL?E z(oG_E#puDYALH}fQd57Awv3>9s2oqGp=ZE*SR=-Qh207y#jA8E$v$bC&NAuSw~ID* z3dkOOgw}qK)p0SQoUoiH*;fQKF1j%oH!G{2nv{uWD@PY0NapX*VOXwuN;=NI;qNnc zwFuLGVyBqf+9rb;k-Xl^HhQ6!$aQGj;h11zR4Yf(l2kkG5)oBvqS3)Pf5Ze!tfCqg z(Z?sb`QEl5w}o)rlkc-EqZ7O#pM^V>?_%ZM;L?}3+U$vI#TDh=-!$VsT;=iebTXga zLUA6I3wvcHBG(3CbYM#Rmg^>fq@MYf@@u)Rt-ldDtrcfLrFBnW^mJk4<0~&1(Dba| z;rvn-&@GVzqp4?~BHHU3azUoK2VDffixxf*ad~UfLSvm)o}M9LSM0HyV`YyM>p2-6 zw3xm~qTCKxF|ES7ZO3Mmqme7oPEe9NoKwq+tLZ6|8MZGsL2fgeYrSPl2s>(2>z-fN zJ_=L`X{KzREB90q*xhA-Nyj*7EW$C>gGmqTnZ%qh+`72g+j#@?#&Kg4Wu~EL)rlxp z&F!U#qfcGry%CA%rp?jq9j#yA>=ZK;45%Gv zNqyaW820?;cOwu;W{;DHt6C1e_3oT#ZXwzfvVf;j@59nh=F1G9!Z%ZKXZNd|<=w2d^2wWM zh`ANaF1x= zCRh$9vZZ)w4#@>!4=L@Gs+S9kkV#U=e+QUZM)AV=_xVc zih0+kmLwYf+_oYO4hUJ;%B)mq<8bB#-HzGOl{``v)~%63BPwHge(9(%+PwWhKjGJf zxuX8G=4CP~(7dE* z6QZ55v6W_86uEP29DpFdK4MVr=;vlN2aeYk^3_9?N@()8GJ1T5x0V;se-JH3QsBYb|eCNHSYP{({1V3#F_l`_;(&O zBP+;Jthz2V_l;fhYVJ|e+n&g!`}uG--e>XCA#Vpq=+43mWqRt~1lR`8rl>k1ePgR= zSsqodU>SSOWHrbbPm@&#w0~`Gk4dbzGalWoCpDtgamf6O(9nmG_3qf-qfL0@#nrZU z70z)>EWDn1jdQ3iIbIu1L$||&j~I-Tx7j?a$}wxh${6n)3+3$x_ywt+!5I0 ztfeR4WBq~^PH4(xv^fJWK;8&D9=&9ZdEb>Yoh^8;Y z!xSFI#NKyR=X~YUo7kFi@+plLvH@-tAdi1^?a&XA-u*d24=?|iHhHlY%-cI@5C&h{ znmy$n9iF*gS|RssW%|vK-&8V6K-4*-4oe(VqH~*!LEt(`H1X|_4fZXL#gvru?n`(9 z#kX^zC`&HNd+pwKwqdz8=N}meIA&~MHoC7JKfg*^r(Ra56wx#La+6H+u%o-}mwLOt zAbw?23ZL9k+pCn$CEw3~m+u#oWSlfbjT028{$WP~zu)6iZyUHiy5Wotj_iZQrwbfc zRKmj}U6$t-0~ujf(d1A*QWQt33&;Y&LP(XkF!UuvTd@dNAuMo0*t#8Uv4+HUwk`zF z_*VF;UhIg+2VymAus15NtsB#zJnoXcNj&lnRst6jxk=0D6~fWe6Z%u~BOS7^{XLLr zN%H-fZeL)n|5)HaM_x3TUeMv_owN$SR9(^GNLzE$9 zQ~3J48ctBl7B~{SAn&jbSp!WDp#YY8zTaHE2D zl?TsF!t)n6wXPk>67`!ng-@ybV*#!f_c~beMYAXJQZCTYD)cJ2Bz)KXzf9(kf(4Gp zdiSm+G$*T??+k=WVuxBATsz@KAAQOnLRRi2D|O)J8MUTtCvJlX`EK5)l`?mKvSwI8 zFW1>g4hh&V^wn+HjIQ{xFUP-g9py>C)cdG(s3(+UN=(^7a0gE2dDbVD7$UDn2b`_J zmn8G2Jd6{2f7%oWcgcpGo?t$_vcNS#w4zyv#hx5~PFTBITM#njsJNKWY@_@r>{})x z`aobQ|DucD<(k%U`m8s$@TJT;s-hhY`%$@7_xd`2ySMkGQdmJ6idwX9{OvjXtR<0;|;3`UI5k^#o7q)!9eC@ICf(86C!IaVk zwo({Z_;u*U#I?R#v)EjRmK|8HFN(REv>KYeQT24BLizOEHHx=gmsH+22AMY_G~9OY zm0!E0#WRi>>Au8O58(V+$edIv|1u~ z%$?lGpMeig6v&rY`<~}gaJ4+Y8Wm?lTh4AtaKe~(eA6!S;rn2|a-LL#`j}6{ywVoJ zZk*7zY7RJVwCMV@8%~kuZMS<(GaMpp+iZE_2VX7iLn4)0jB4k+V--`SrLu~3=y`D5 zSb%bfF4NqdmrfTKk@DK$AR+z-uan1(-6cbqaYlzj+6q*rtTplQe z`|a7q`d5j8i%Ej!)0Z?Q9(V}P^{G7ePh-ruwdtZ2g17`2{QUHm%sk_6?zliUJVMdp zt9@rIMvZ1y88n8k5ST5`95Pu_i@q5S5yNC#>St6LwHGzD=VRz9HQzxS?Rilj8l%{a zKwDW6bLg4dl?j|<`RwW&$;`j2uo~}-*rcndyU+N5i(C|lDn>BJ9!=GQ`v9a?v*Kh| z=ffVI`f51ml;Cl9Rje%WO@Zdr9ehDp)ArSJa-#6!*>j>J9f_5pdvKxevz*?@Um{Xy zez4xJ7OrF8<4SD&QqLYTJXZI|@I+dlu|pj4O6>@CmH>VINpRtT--Kw=WZ;Rs^+lPE zKB36Ejj4yZjLtN!#Y5y(!VK!^z%P>|!2zRDETWsrrfA2dH&~KF*?^x-=5)xH*pG5x zdf$l1`eJoTVH*feTjpCCXPM*Hpa6&dIHlST_rxVg`hsEakp%Em72hZMABR*OkESYW zqdCav2IIB9r^2f?rZ6iX3*N?Adln|^_bQq=s}9~H*QlpLW*3Dt?-YLaXPV96tL^?N zg%U75N#9NJPmp9+VLkTxNxsw1s4NgErNl4UvSN?`4x_|ZX1WPFLe*`4S0&#!-yX?9 zWinWIZkyQcm~CHhv-X10D{?uD$Ms>MMfN_Eh8j(i@r7#zjnuw^;-L9ij=q@#CFy#y z)E&h*PxKlln5OHpU(_==cSPm%K@<0#b0mhHwWlmpedsu~5A!dMWVF^IpJhr$+fxdZ zb1Eu-!S5zVld>@?Uv68G7%nlKdbl0~StTVm`QLsR{CsVV5j^~ZcExB@dHz{2_pEW) zhD9*p-Fk_dzf26=#>U5cN3D?~^NJoFy!Y>jHR}7SFwW6h3CS(LDSWBOCV@!XFVDR% zyYaNIR`{WF%5T+(=Q@_V%9LH;pz?;R(A007-vJe)MERm=Jd+D@eOvaqZMn-5<{CGl1=N2CyRX8)-ohOV3(Cl|yJ0K;Y3+e1b@3g0|s%WQKGK%(rAJ3$lA!K3o186mS_x)#NoEX>SLSPCWNzc8x~$lUDA zj69so%&bpf17qg5B?N@lbM5&>u>CHvHel}O&P#1{N@>e3$d~QC;&$0KUsY$F!KP2 zVSq9RD<>nzpEUm9nvM03MhDcu&HlT@@z45T|3}(?=bD*|orC3xIp#mv2WWFMa{k3N z3-HOGY;$n~^!_Ze@^CS-06P24Hh|>*%{I#uj{tOo@m~vnDCBQCcw+ibr2;H-u>R(r z9gyouW4|c_Xy?Buvp>oIMEPF|e^7qv^Z>Cxe*UfkF5qEc`J1ZQS^v=3Z^{6i_%~$^ zb`}<{CsqGd__HhiE6=|hk(K99a=;z`rlQ}30j%zC!v9wrvam2R|AV6cANJloEUC2Z z8=gcF6%@1Fpebb8)+G0qrHG|%Oe;5yW{8=&O|2}`lt4tMoy;^dmA26`ElaVDTAGqz z$;{Yfn&N1RsJEqLQi&)C*LT^>JpagN z6yL9RO2j)my8d&T+1vj;eg70aSP%R6$^U(T{~q%H^{)Sta7PD+HI9E?)4|#G>(Kmt z+jHe*|8GwH*AsOi+W&JN*~4Gwgyug^{a>Ame@oa``EXhTmD$`g{g+w3_OHwQ$GKsJ z)PM1||7Dv0J?9-=UB1o|comm5ZvQINbG1ZYPyD~?=)V;2T*1y-2j_n&ZTq*PKDz+e z$3PdpAO5px!JMwP+jZbbQtILT2aZ6&AwqpIx6kiDR4mmiDJ^X7d%HS9!T3{g!-5)e z(xId9(?`PG+`g9F!CkwOj>57Wyc=;&@&DD9;jeSe-4Zkq0f&?J?>=xe%r3xt^PiPs zUUq>8(vHFp|J9WN9yDhMqVaC9m<-;-TtOKW05|8kukdvXJ~KC$x%>IL1xMMqs>7Pq#62O=>IlI za~J<>kp7EZD(oGi|5;V#1n>5rRb`GuSX1@2M+F@EzlP%f(-JFq*?%j>OS__^4FLpl zo}G)o3vx5QjrxWfF-Mh*(HZu_V{A`Ipuv#z;P}%MXg!L+GQ=;cQYa<7}Rp#rpmzlT(GzHH? z+H8)FwlR(l4mLI}O0W&MM&HB^@_pU*y`L-b`|vvf&9_qqz4Q;1ozvcwy(TMQ?T(dk zgTwQUF0y~z6|`H}_6GU_4z*Q}4j)TNJtCJ*>gwxY^z!r0Zr!oPZ`&(D>&i7NY*%q^ z--|mGn;1Xw{zHM|iC^Eub{uTIQXTW@hg-k>%-QoKu4BYDtTs_}|A?@d?{nUAeHN^T z+IEq%x8WEpg$gsU+K}~m@{cbjc9hK7$(i4TNg~?ptk!1}YlMR}Izo04-$vQKdUfmS z4|v*I`=7EGpdM7M#68e)Lq1q$th>t0>2RK_-`>Zc@MRBD+O%Jn+&Hs0a;1$ykO$)_ za>^{lGJf%I2b1#&v#Dq3xt9%1PqZ@7Q)uqnI!9yTxxt<8r+q#xw|ssxZ9)Fu8`hd% z09WUmB~FuB|EVJSXL|{#hW|wu%n9UwPt4(b`)4y2Cn(SV6mxq=2jc%=v8lt?YyIy^ zD4~e|TMea6(MD~2)Su<0|L6VxjKKfM2>j<2-G2$=+^lk5`*$-JxOdLb{P*S>G-iLz zwSSpt(472_)pY+qw6cL;;NMDhZSKNRZTb2R9{;DUjQ;w}+?QVK{4ZMP-`t=7LJO$> zC~yD&y&UN?@E_lPE{ghZ$^mp+{*r^WE-)zlr_P0;h}-|5 zF#LI~|Gmy#`_(D^4@|+P=s4mC(MfCId{LZbHZRS4c=Ducrgj@%wb2@=Jxk0X-68(C zmP=;X+-%&wl)avD=XY^h{yisFGKRE?(*p3vH5y?t;I<2cGp+rTcN8J8?WnS(Ate9p z8eTZZ*w{nu`B8H!Y~ZR&@+Gzr>rbPE!A`jbst_9zTiD97vc~5vpJKH0l|ijmuFq49TF*Na&<0j+V8v!@28b~ zR7KMivTYbw^M|JAuBu|YF`jA~z&}-b&e9!qAi172ez?g3KWP`v%^|*B_RitBEbC6& zR@q#Ce@QLu1TEhd-_M1ub#GB^Z*_8GmPat|>&0V|@~Eh)`{>mt>D$-O-Dk;~64YUI zPn1sarC~^hIdE^L*lQFvvfO7Vrm@{|*8mgriY5Rcvu#cfj}da0a-MU_N*h};D@`Cn zZYt>iRH$QCb=5bD@yaj}$yMd#u$Sxvuv@t%4S=C)o<8LD_*4}Atd)43+0i!WkvF3e z69L=c&-*EzeIR+$H_=+H^Da5acA4P=hV>dIz4~sRb&UsN=`Jk;)h=DWyH2pOzEsSh zh&ovK+Fsb?N3bp*Z8tINq_BLV)hL^(YS}3q?T+*O-R|Xg>Wh{2TTRs6!9K6%6>SS# zkIl(B(?&BycbhS0eLiauKe26CS<-9*TTAR~xjM_Ubj+hpt6XT*969Mft}s=Qj2jUx1&w#qk=@{Fq(4 zLhHBYlRo@?cjf!xNnNvpzC<)8@0#7ScTIvx2M>E(URM0}*j>>lT#8}yVa>MI!?DTEbC1s zU{7b&DuT5t2=YX}QS(whE%>aBPdJQ>xH^n(K8!3GWtt&=5m zC(3d(^Og_zVmSiiASo|XRR(WvcL0j2yCt{0X1T|;kUpk|) zC-Dioo=`LFOhPm%%_j}uz>q73bs}EVi6r*g+*|CZfX!Ubp5mPFr(9x80+PwyoqP3yL!{!k2W_ zN)Kv&@#rdmz0M_7PqZruCyC`9yh5G!CfyHqyy^Uw4{PS%K3^QNZ`Nz1d}&v|}B!(Q9R`!1xrpA&(RA>#r7HI0!!H-r8BT3v68TCoj)Bp`%h18rU6 zw)*jHRYFM0GD-oI3B`~D?L$t!Dv?I{2mU%JA}tf~gII4|FaKwq(2Fq7mD>E%gZ6 z)W|P?T)#7%!R4M^*2BO~Xf8QMV4h=E@1g1oRmcKW@r(OqhK7cHWdhr>X5Z*%Y*I60 zh%L~leSkYg-uhXysy8!`b+gn2lCX4z!6y={!%o^2SF#`%|LO%~?t|2W?4&(AV3*?- zUKHk=`f^5jDO77;UNRP8?JB*VV?W*1*Dw97C~A;qN10R?25@O>r`_m|gpuZ#asqp~ zyy#jCGNLLY(EG`K+VqyU_U@z7{`a<3g_5_6mn78#HG!)Zf@9*MYlS4IG^!+wg=Q;L zBdz2D+o$T<31fio=kSp?BUKdntkjIY*GGKM2|rc+o>B%)$t)y==5#frdtWu8Us_dKSrcJ9&KE#H|41=1FL`0)|_SHD%vB|4Cug@MQP>gDx``HcilRb(toFe7HOh9t9fSRGcpIik+=;$5 zSE(T#{+(kL(5o6<4_oXy{qm-g^x4Ho|_`$oxR4At#HQ z`uisS5@XUvETmk%gpKojgL4eo{7kwNe=~T3Iq9TQaK>QscIOT3KlB?yn8`^#E=1d% z&{8xu^nSc>% zF9s#S#>q6b?R1h%*sG%Pf^l4E_91120=DJ{T?q!*Xa16&o|=adHRj`x?u{l_5sQr` zh5M|>_q=DE-LR;k9^Uy!sTUn8h3?p>)tS?KloOy|Q670UvkI~^1f|{1+rGbk!CX^y zj5U>wZt`)~o8sj=Ba5q#f9lbPWP=2Z9?EnN%V^(*;I2jYoP^G{vwJs3Eb-oa#RqmO zM;tG$h4b7$3i3IXpx_{n!a){V(4T=g=seU5qA-Fe4L&=bgz((+)W(y}W52n_Y94kD zhBWII_@sf$Hw0#MsAR41f?>z&zga8;rKE(EKQJy~I%P+Qy?lJTYpDMX%azref8k1A zo&&=R;=!vM64x_-@RX3rixZ|R)&$ycs7;h3nr+!UgQ7wi;r_=X{p#Od0gC*tYA?%o z1((V3tnK}TwyHVz!b&+>*H>LeDLfkvIJ)K(wTfGt0(DXS#SpNEMuFT~rP%D-QELmi zVb61tEROrnsozPUI^PM@Kn$iDw5%wJZJmM9&m~q96P#8JNObowAs>!>EPMiCQ@p^* z;RUWfElj&u3S?AwU7ejSaJk3&Rgwe(d4Q%^I8A``(v%OYyKjjONy$k%*uBfR4hEA~ zt7&5k>=L!StkgGF&?JiS<1?c>kl#;ZKdIMxzCwB)NNHiD2omV04NVxhOK3Kmrz*V* zrtaP&Q?mV#x)G?nGiS0jopvmC9S{sq&bvYu6KnQ5goS6Tjs>F|`_w(Y9mb?+6F3+s~I1l(7W!KXeYD;KFWmb z1CSUTecylp2Q_6>m7!~#7xIC%``S@*6EczDobTd%g0Hg4<`CGJbTM?o?%YAjmZr0l zLUKs!P|jGt5_5s^`q?&IK+@!qIu1w=o2dB-WOj;ewd%IG)ZR*8Hrb=oIVwA(+%oeh zC28iV=t^st%Az%Rrp`)PRz^t#Je{J{%62}FpExe75pAP2WU}4S%cr{1aFD1mI|Q;^ z&>9=z^Ad#ujNMqVs>Q0-szC_UxsJ$OHMF7}1*qhHq32hC*>1y0$gLyn6845|HR_S} zX@L-$s0U1_at?8XO_(2TLnmnc_ckPr@DA|8t1s!)3nHx1OQ|-v>8%kqx;)qd9tgRW z%JS}D$u`LdKZseI7#~U4y`aNN`8+K)AMF)+saUYOckubifDZo#kD)Fnx2b}+q4CCd zieo|zP0$4Fo5h-*R3g1N1*w;UL1v@&5*xm6s@C^xMH_r=0G>CjzZVi;2BV@_pV-~Q z7(~Ef?fbJV&*iYvcL5|f2*XF?cHDt1CH)M52KrQL-Mp8rX=4}vB{k|ck~uMK{OQzV!uW3 zNJy(0!A5TQ2!Hz`m4^iKW3(FBO?ze^F}qB4A^yH#<+5ROKD%4a9(sZ%v;Qz)7J}hZ zziI=uX?Ui4_xA2<;0fO?lP2oR0&S#8Y{IH`f88HwZ=Dxv=$nhWDsp?i5MzRaEw9Td zYUKoMz7E*ozumn%yGl3BV1W-fuXgM?XE2*8$zbyO_`%k(92o12(?m3ITUr1kGG&x2 zq)t^Z({S8dw_h^HI7^T_@dhumfl#JO1v!zagRB#)O}ZMKh$ zj=o=}4BHcb3#ha?AwbwUB~hjF-j5uT#B&3GI@(jN2TGr-1g8IBVT0+RuG-mKa!U)( zdwgnUk=zdI%piyz54*_5=@9z(tbc>BX%h`_#IBGq81mej?Iqo9x8K;t7NTj&&#>Aq?MVbw zyPl+g{+jPGKlL|~-e7qQ(*UJN2{6=)s#>5B)zqg$>j;2F_-^V-N#x4KMh;tz40Y=Z z^a_Wb2DakR&u*%nyLYz44wmwF8W1(3zd47E{6y;;dH(WDDV|Bz8=9fOu?hK#@I$g^tMeG) z!3~Ur@Sup!FkO7=H#9!V0J#Tcug%On;Oc_W>KlV>*^5FxYoDGfzx%s~R3`MzO{V{$ zp=d9`dgCJGXzS;N2;LRy&9jaPS4+$vXtM&hYy6`r`4-)N7p2`tZ$&XxCAkqmRfXoJn@BO^3@K#2QRqc+W>q!>|x z15-*L&EfTb{sSTGp^lDtwOm9~#n%>H>%Yn>%!rkYt4c4#ZqV-KD91C()sQ!{s;2TG z?+Rtg3O;Qui%7tY=MJ`5q>R%(0lEBwRkz*55&)3{r2+U2vblA7KLY!P0EaCeqo~l|X`yve$TLk@7+x z-5onbC1!gYV0_JZ+qxn~zVTdSJ(vt|H!q1KpKas%!2<$ERavJ}i%CIA=L;Du1_^INK!eeRh3>=e@bH_LCtFJ1H$FGg;K^?F(I%L*$*!SzKC5 z+=b7&SBLEpiBK6>zJL*}HyvQ(2Qhm*r3v-*gi6!B^Z}|M?pyTl9iK5*NH=1crk-zD zo(ahh-K8$e4)9mZXr`jB=sk`rM-ea2(aJQ>G40{;yx7lR{DkcJ}yr&rSYPAtcJ zRR!Dbgoa$+lb+c_;!dXIYW8@$2X)>&OU!q{cA(bt+(|c?uX(9osBgPB(~*J$-z)q@ zpv2PXWR!V+Qs}G&X7){~WpEbXKxG;&kaMZS@KcIK97^ z@2Y*aJKp9>T9ALPJeFY*liY#YbdOj-HE_ml=6{Pixv5AXX5;cUF(C;ysq(g&w0Cgv z;#?p5ZK01_J}L21KLn<4w3Or*Zn=4h*u$* z=0G++&2gHV+vjywYt4nw@;r#++P z!>Lw=6=VlIc3~fyx;^_2^ZUBDvUkXLNTJL(H%GUpuI6bTvY?0;r6W+`mBEy zop$os_7$h^I5Uz`w~VT6+F2KX=$=O%sGBC`sOxX_%WnAt|0kGbW$G!*^U*S1e{D`( zSPZ^9sh4@-<%>nM-ogXDTe#Jtwu~QWaz)vkDUrpiYv9wKhX1Fm!eFee2PI=X$(rA_ z0kYo(RzTDT9yJFYcY3HBxuF0Ii{M5Z_h>XS;=WMW1wLJ|lhjiia(k5hJ$t*?W7LXx z0Dk!<8VON4mbmsflPB%eo=C?ev9fia`pnUvIZ#Uy92tfw<;U(cA2+o6 zWM~LY7Ov-{H+^6qD(*ZvND$}x9fH3G4&sWUr2FlX>b2)s*VHX6p+3pkgUaj*b z_C?qz`*J@gO^Bcl&*~py!{v`E>-N`35uI3paeVRzTncOzOi!xPs$KMbe|m{+iDghqYPzCxH5< z^L+l6s8eAWdrwQ3(watY316?IXYaE(U8@HMFLv73>Uh zVqysXJgJlY%*%9VM8iE|ZJtO?UhdPJdv^5hg4l|&1XCGkMVQ@pm`B`aeU^|}#ET&Y;+v9&&YbUDw|5R9q$x??^Y_^1?2`fi zwxJymo3eYElXnJcD^F=FtvR&W*OpH1hg)xO`lJW8hs_X&aS()Z^vDrdv)!%#z+6Yu z-jTxsaVi zyLq|s0^3O|tUW!p=R>|nIc+IcL)})gQ9D z9^V_S5w=xXKl06)AfR~kQz)Ps$b}Ouwvrm@I_snC!f~l9LFPDl!FudAqG`VJz903S z!-2|%=cOpJ4wEpOV$AfJ_4W}MXD12{%pkdhnvI^1%rYS}W+e%lOshwxel)@l<{|Hu z$4GaCL4+S59d>ed4A$AO>4BC^{aKG2v#g9VYVo#B|Je?slD>5ypwd7&nY?V$7y|pt z7~0H#x{Mf?uY9&JR(k{YGH`59X$V96J5Bp2Fh@`NWuZ5KmmZa zNF?zWrN&p*fia_HI<)d~A>e`wjP3=|^uQ}CFXX(`_@ij=l{GsS`XNfAEnm(nA{0Pc zyOq(@A3W+r!|Op@6(0qCj=x`<9u<~0Ek!%2*S+ZCHI+-0o)3xZ=Xp|XOt+xg0A_Xf zB6`g3h2WeX>CXLaHQX}+_qC&WDTcVX>!8_tPDHeb-wtZCRBV@Po+U+i!P;TRYyxLd zPWW!ZW$IznlxH(G<~Gas(2Opmg%0Cw{6lLYyOTy6-ItfrM&iC<+tocvjv6`|@05e?g4hs2FFTKWn#$4}kZ6NPJ;#dl|Z znjR(&kAWfXb*-vZkcqt!_^$5`wSq>1KdDC7xJ$SGT&f#f>=60h+dExfwG-nzUpqO9fmvHWtQ$RL zByfJBMQw{<%-3ph6+`%OA8OG_hwfcwVr{n1$3@NQeK};pZAFo=%9rJ7Qyf+mNWKc` z&5n`!c4hQs6ZSLTK8r#InPy>p6G(%J_p*=E>c^DfBS!6#4%tP1h3x}l!>YPZXeWFl z<08n*kk?D(dJbH`@)*1w-!1m&2Y@yQqKqCF8%@Wn8yg$R+R7xaWlbm;I9-4f{O@q5A`djjeRBEAobI*}t!2cRawQNYj>8 zu)}3gm;CxZ%6@Lj!bWjc9xd_~&C2|rHIcHMZLi7xqlV9q#Z5y}Cq#f5Yn9kQ5zs17v zk=P)Xc{R%vf4wPZ)^zEFx$?|TN`6~pRR;?@*v2YF81FTC$;_`lLt=Jh>vB2saeJW# zhMwY}8=C!E6GL{#E@kzy!_3u*YerF4qIZ6D{sCMsZ=5@on05stqwVaQ;J3@x7g*L{tmZgQNtLodDE|gg-;!$jGF@ zAe94SUf`@JoEpuD1iIZpU01L)<1(t2n2plC@01$ED%|Pu`q` z;IzobP#wQ9x@nNPk&{JAa0u5Nk~dU3P}gD(wdawPY6+)zI!yF|cu}$4M=ygyWq@$6 zX-=fkIbD>K9#&ZGUmYGv-p2GcOJ2eH(FY%;F~MFoh-`~X_8zFfpC_+^%guTC1Vlar zCK3#7JU>0b_~5CU1{&M&Z;!On#SQElPiZ>|(XL@2hk)k6<99Sx8{tKC;$`#=ltX9? zIj}9p<9+T^)*~D$N}~)v`T#B1f~2$Kn{hFYruZnQ2)vZU?qV8fn&MycXj<|fUfapu zCT0hjRF*txd9D0Hx{w`kV?nQeA-)VHcE@Myr)mr)K04w+y{UQlrr6T(ms}s-2J?h< zt`?5Tu15g~QeObhzardT9u?LX`NUCO}#Aw}geyuj^{NsH~5en;wL*gRRB0@9)z6%(663T#Fr?n!+nFEm5aH+Pk8`H5Qy!gn@5)sj!5gwE{b)OJcU;qLh)SR1JWX?b9#GXD z*-j->OPT{5FDw+ZK7>$n@9Uh-19Cm|n(8cBhq5{~sT$1aacWRH= zeBsT(+)BfNv(`YyZ7=J9{ zcPlZXZ39Aj4Yf|?L8+aljcdL9j%kUd4UyK78p;%jHtk6yWP1{11SjPdT^Fb8G-h}B zt}Fv0;VpHYU_EC#JVP#5fy+hrTP&*uCLSHs3IWxJGEEj8Miph>#*Yz2RjO>2s++7b z0AF7!rIH4JVW~SeWXV<6fBT1M+qZXr;T@zaGpnY0m6SkVWGOj6YE=!nfju#uq}cZk zVX2&881|)JK}R>8#D9dU6R59Utq^_5WL4Z!`tvo58QY0l`m%MKm<6h-?%w@XEynlt zYj8CeGU8P@S)e|CP0%FNLad}TFUf@ZjGuM!w2%;^Z z3mS^Eh>1Dqkd^i@@?DPFA{t=`_iie~1bLY-EwcljdJ}W*d3)2!B`xWt1;>xwZ-$?t zSuotRsuBiq7oi}b4v2N4oWh~@2-8}&`mh$2O*BK`use%26xcOp2uuQ`ZDM?@Z-tNXP+P8xec5LlS#7K-Xz72hY^pDP*08UF&g;k^N2^ui*BBpOfZS* zUekt8)WA2qWK5zKnQHx^b>^`4X%C4O3n*wRAUbq)*)~$f#|q)-IxZ6k)oO^@q_`6r zx-N!qII5&I(EQ}}qvag=)FzKc(x#n2233wK6*@LEnh(bCQ{mp0zhBAzBQ+?!<%4_n z0A)&jRiPBofC$hi)k0|1bR>K#g$hzHeBXr%6me4WLY(w(fG;=^uYZ~ z#tu|#XCvB|dHMYgREKXGXRYEuV`sH6JoOMq9zqdsv;v_Y8_gHd46D-kI%L8|(iTLw$P@3x!p0ScK*se& zEd>ZtRfZ=-Pl)sjtN=(mkFrm0b=S@&B)gl!560nRuwPS{%$9ly-KMRU;VAY*X$?e z*xg-=z?+g8MxTt%%7=1S?We+RW48;)`(8litfnNq@M zD6m|=O*y)7dlr1JRj(3vymF#4{LhPxHHesDYyrsI6<2$Y?3 z%|?;tG>Nx&vaoGje=!-q!1X+|LX>e|OB|ow4j10-NeFK@%zDBtNZ`%r+YS-=2_1%m zR#jk>f%YKA2!if78`8}@rxax6-0!8>*iuhv;AMnJ^D}A&lk!U=*%42g#oB3p zK%z{yEvd{CRr$_vONW_O;Ob{#lkaRe(uVKt<5L7CIxk0(^m-=?119_2 zZNx6MwV^vIc!!KKV8XEo-}ix)`@mcqbfulE8f7lhG=GQcjluW1pondLMOQU@blP?> zFeT<&9`C^JU>NwZ-FJ3YryVK;(pvGl$=IUCI?0yN&Q5t{WnHFFdL4k0hdlF1^U<)+ zDSx;WP3*XEYogZQ-@h(=V_&#S;B^S#q;k$>_6tRTOM`j@su<8udXrHrs|6pHasb>1 z1w#1?7lLi9KmR1QxveLKs>CE( z*hF=8mXMN~+UG=(m31_otG>JEymfU(uy9M&PbEFUH8mH|OJI7dTJ^-!9P`Snlpp4#w^iGRui{%6WJ{E5>qOkztwaVdI_IIAw1re7>MI98iYu>rY zuRhe`#UB@2=Ly+~^!eJXr`V3K7?Tpe9o+&kCi`#h&q>Zsfh{XWQ88>d{P@5{#Ix*( zINXcDfgKT!t;ow&@pqsr1hv&Y))iYQl~E9g(kX}O9L+-$MR$fxPySABBpnxEXAY6; zejeL1qoKV^bKTFw>qibR`rLvax&yc0=AMKrhC7im>>oR|7TT(7$oPfO%dQxy4|{a( zc)`M;RG3$lb9Tz;rC!CYx~eC+U|)3wO&Gu} zuCU!%*O!^P4^Sv`PaCKgUevi{;=HoZM2Fihgy<`LbwXKznYQmVDUC`_Sy8B4AqW$R zpoxOk3HrdQ+12!+hN;oIB-+BUYcQe*AWSLTCj`nyxKWmIoKwV*q>W|-MRr#2sXi0t z7umH$VtD^R@|L^%~^+Ms^Pl0VbC_4O4BLovruh=MA09>&^#&r|C@-0r~M{ zaWB8VSD{j0pY8VOz z2*qwl*}6&W)P!>TQXuP?sGpdSO*~D9O@nz9W&8&p%3Pu`Tn9-FYH1v7@!~=7e{RY8 zuh;8S9mKB^7q9hY-ubr2FTYdpwes_bp1fn+mL5Y+MI{p^%qsC|^GO<)4z#ioQPFLz zM0?bXR>&^Q6{wmf{^1%eSn0(?)B7I#Ir5^ML=$6Ov{!zXiI&%;>bx}6mt$u&W3Y#? zi5eJ~Gv)EoD7}ADsS=BlNG@t6WI>VNWxJbE@F&7I(7>5tT<(%lkzY}#hho-lMq>(l zj{An*roXyV4zU+f>QT^?Gs@+zaWCxmo2?B36$V1dKF}qh2@bdhnN^)`DBjEqO|)$f z+tz;nk{+S@Q&b8yGE7fbOUU@NkR7-a7@r5Yn^QUIP={tBei+z>?0A;8VtqYH;mjMk zHTi+Q8fR~=;(k~|Ei`_+qV}NK`0ZWVteZ7t?{6`NQI4C*Q656&`<3a*eSRWQCZP1$ zwBJg^A1Ccb(+7KiO0G(+1JnDWwQE5pX#D8JUcwg&b*IRbCQ~5{Qi(2qCXjN$q%#ei3lJG|l>nZJM`w{D)&F%DT?#&Amg2mZiuu!?^+{*wjHkah!ibK-DFCpLNeXK5`^%M zc~_O+p-p6r59<`|dtmPR1>ax91;%{T)Xfqn!hL28iMSF<8Ckk~7a{R&b zBlAlBRFLi9>9au76{iXmR)$tcV{m(LaPi{Rt6|J|eu*yW?O|<;%ji?Yb?+_7ct?L& z+mg@r5gc&S3L%_XPDN*53c^P+9b(A#Oov#d#0=x}mVW6V1Gj^`1b2mA<>Upa20rWl zTvz73p@JXSMnz)dCuFS%OO=K5dCP={2MIrwsegMI2?PlzS-xvAFCXqNS%l}KY;Yu& z37CSh#HeK37#%h21hJoTCNmwNnDr=!IRAik22q^>gP{|M$>R<9aee(N{6CVQ^E-m0YI5DNy`_k zL9=|9@cb%ry1Inik!EZ7nKoGx%tw7smL(7`2&FYRKjtO45f@C|RlFcsht8uL z#mwRy*2P;2X*M?ZlGYIWb;L`0mGLHI_5xF;X>sIz5v{MHr#$_Wp`q2HCI;cQm}v?l zb>mJauf>ZY*4aI{*n^!Su*4q5ID1MTr(>NK3gSp;b}R#?pqJJbmp(y6tfF4k9=316 zdyf6!jhR=-m_Y;x2Wm{-EE`_EocxA<+bJ~jRo1v@Zcd+B<2=atJ2D%zk;H&VxlSa9sKG zrRf1Y3ZS&4bL&KW9iect+b{g|vG$l%hC5A^VSAH;UaS%3owsh)JmZBkUNB(SA|2Px z`7$pN$@Bq+lH>R|-8LvU5%emZ0oE1Nu>HKfDZ<&Wz%OQ{%>w%E@j&`*M;>RY#JmfZ zr#&!wpkKuDO->d#FbSuwiY@v0{h_diEjnmDtHH$LS4?PKwQ zZvqZ&{F1_hXvaHsPuRrodOhi77oRRGPotH*AC4R^Dojt`(s+f8lwL2(1?^nmNBd|l zmxIcBbG||Iu9RRtGHqc(&|?a8)MPE{mkc3AF6{wnlt~~2jW7(g8dn$FObE8ob|H(} z#8zwSe)%Rv$q~3zc6Vo1-u9w_DV|?#i79o6Rn?xu!UeSFD5+_Z)T7z=>~t+w+Z`k5 z?fxdsKJ#?sg4JtLYf-dg_v4bo{PoJ4$<7%1{vBv6Rgaae#SXRD)KhGTZHmo%ED`%2 z&?hf(Y}rnCbUDdzL!Qyu<1aYyZIXDMAh8J(LMRs_JzGuh(QhY0^!!Jd9J}fE4w&ow zG)75E&#b(RrmrzWCawpYO>=6-jN-ng4 z-K9rl=(M6tx2~gdZoyOrh3WgF#<;2pE{M3x$hq*qJt8yd!h9yf%`b(*uD(wppc=Fn)vAZMi; zQXAiVtYiTR5fF8BJZWxarXb4x+M5-aRd6wsNM7QU`-bJ~!rxHJrM%X1Q4)TzX2KBl zqGc9Llsga`KS}Ok$G={T8v9=F2=NzmRcsDfE0>zEguF|wC-k3ARtT$V<^x%hsGh(^ zO5b)rq3?2go1HRKbc0Zr{#*2G~sMVpGB*a3L0dx1Nu}HHzT=bYRnKh#Q^GB zYF(qb!T3grYVdicIHw|c+;<(z08MOtT_wO|+2&KaUTchbfPS^wLkR?q^-v~@TltTO zY)bfDK`a68C|VaMp3>1oTBoy=I%ez&z<#;N-G{bjcqp3f}b$O`A_XphNB=asIAwF^+0$8!-v&3t6d#0{X?q$xV7#is>USf~f zo?>X&L13dRpP)|#GjRFGVV2&#VcZoKg&qF^iuHNIJ+-GaZV*YYpFua`(^h$~4^87c z2$$4G&}A#VL@Gd8qG2K`%1uKym)B8w*bIqYxseY6ERIM*%DND6#R;DlJL+HBXjs!F zaJkJm7yV7E*?51SHM7a;qN0);wIsBzr`)QU1|)|4+4@tQ?{Dm~rFy(%+I@O{I_(bm{z4eFH`}z4Sd8vc^n5ZX&bmJ`FAFV= zvVb|j&bS)ashhyc5RGq2qdHY@hY+CO86*mKdE_Kz>Uxi)uZKCvD@1ODp&7A}>60AY zRJ{NEVn+}5Qe=w2{yA1)ps@s-(s5saLu_NaJK6d!hbjrt%)srBuz~-Q zRaD`_KnGsmsh8OtCBBS{tt^03`A$`bo*{b0Kpd;U*!WHNwl&7n)FT61OvCnwbJXjD zw9a<>Lf>xCQ3#W^LsH;$*nk=~hY6Na>nO+mMZ<=lbzenc=$8Qdm-#4LR<6M1F#0^{ zhI%%86$5#9&S>((Sag+i+#a*nQyQZq6%QMynFwNNVz$q~=ef$ln;Muv){jxzoE?hsz~9_<_nmoeY|a?(hB=@av?uB`JUlU&gYWAVgiK-& zSwz`u-LbG^CsjC;9?PZbNO*+Bmb!Q#>W|bvS!lDI^rVl ztR^{=Dw|DX0}>XWw7DkzPT@Zx&OdDW;O(&O(TT2~XrQ4A6mrJDqynx=$|6Ze)#WYe zMWW-w3#78j!h>}|w-UmVZl!bJQ-w?4fR+#HtCe8Z`gs$fP{%ldG9JuT#Z%h$aVI?h zho|!yW2}?p4-cJw$&@e;Cdp}iP~Dnd`=k}>ACC@JOD}DO%U^sHBM*iDBoy22Qwt?< zW{axGHzL&Sra5(LQuLDoQ8U#`Ms5m*p-FZu(v)=UqO#@(2kk0G->AJfBcAC|i5&vs zOlopNRkfr?Etg3j??Zc)+`v9ZIBWf|^nCNm=S3YB1F^?0y+a5Ro~D$4itUs4-^Ufd zDAraq`{M3msR*4}5))U{;e~@Wc&6m(yz>}XbbrWG+6j|#mN;KYA^f1LP542NoR^oc zChwf9lAlm}z6lAMuQkB(%Xs`Er8T zC+M?g(C36OGS9#OpLT#+#Pa;f#)$B<8Pan2ahxfJBbh6v^3kjGd~mlR^@AM%9nAGX zgfiW#^n`W3In3mO;$b}rX!SYg_wJRv>tgzsh!d%)BN`!+b|$7n(}MBF+3*4T4~w_S z59h6Nw!1`ccUjYDbcDKL7o3J_ugx%z=HPpeEUVJVT0sa+Qs{87ZJU~O>rH_FR#Ea@ z-k}SJl>Ovwnx?ddUW3Z=JI}S8P1K$Z#nYW?k5n1^|Dx@?!J?%I#R4KlYEYUWi6km`1x30bga}u`fB_|xgyj5o zoNw+ozj?ly=b1m|fPsWl-23da_gd?H*SoX@?HVVg*`fN(YCJGbszr`LTU01vi;A>u zThSboKfk68*c>90rGMaUQk1mb${Bi?gh7;vN2a^mpO^B zW2MQfqWj~KAmd6hd>zSdXH;PijnDdIwiLwX?O{NsUa7g;LU0-;feY;hY$;@(IZ4T4t$VQIL} zAK|7Ov(9|N1hi@A{03vd9HzcZjJ4ik-F`9_ZwxpvG=W@MJsnSiDu<6?K-fPrv}Zs- z67CBb7wX}|5b$m5@e4etNvHx0R(>5fPfR7=_I{nMkVddzsXZUm%ad$?Vl90jSwIK} z?rQXX6>t2s$rrKq0Ey~haK5ARJ0Ds5i?f*uj#lK0BQZ!{rhwhQmMIrOD@hkx)2cJ# zG$Yl_l6F$C`v?69vD@U*Xh>W!b!XDf@$?${AUmDjmbIZqQR6_mjJxyWH0^Y`wBGHo z=cp1yqEl_ZISBRv6A5gX&j53pK;*jv?sQ|*3d!zN`R z13+~3h5|@4b))fPm>rJJD4)gU_kmx(_{IC=7{G{_K+#L5A;KEuh8IyktC|5UM{mOD zp67f+Nxb2G;yJ6+APEK~B`*yghw2Jrd;W9ddjY7K1RkG91FUFh!8qP1g9Pt-P>el8 z1tw55SN6^vSaoyRvW>!C!aWFj>KCuO5Q*v}o*WA{MOd}qp*jb%N<1DCLX+;;G++~P zd2bkpt3hma(sCY7v_;dUEQxYjmS#!JvppdYh-EI&o@1`dcW0LB`sHb#+8=7}9&AbJIiyJ; z;=;D2yV@A6!_ySK&-)Du8>>GXZz#a_RczS;0Q>me*mA;a3rg2gPyyUIOj}Xe%gA!J zEL0VuvxkBoX0(BrxKd>K;7PIO^~C^X&4ELTbL(R>MDCv)?_21mm*BB{iPwGv=mSd= zh{=seSRgunr)lO#vAI)Z+b3#{R?TF9?62djq@M${Oj#%1ARv->ZM2%juuK!aDYvWL zT9b`;>iLvfdgi3e1&N*va&HnTkKCt0bgd+JP3&919@8voh{mp;q7WVFvpn%QOp>z?8SLkI&(DpF`<9C-j;)X5omFV^n7;k`N`#N?D6D!J~0d z$q1k!@nn{NHxn+|>AF07_6$ybujR{JU`_?18G<>u+4QDKy{T?QQv<{lfK`=6@@yEz zmIL=v$l-%CEk&&C4x8JhHnHCKnb_f7)g??pT#Nu%%rkfot^itE&@imF=DQ6qYBOa? z*4tYK`UM#fALStkVGap@zF2D=?rF~5v zIW-%yur3i8g7b0<%D`tOd1t`~jV0DEQ+!(!J60>UA-1qG9o5rmv_>3~)4!K*)jzML zeGTrjL1+JG3%<*aZKAa9Ro^%8eOC?0Ot3i#~=tWp2kX^ z072`$rR4DO0R46Ch8@H}Hn_cNo1eiPozM{hMy6y50rz$)`DkKq7g?z5BPY?ejr}frY`C|aNl>(MLsZc%{HVn~%cJLnmh2 zINv|blAx(Lsv!1y%%bDBk7CUmhGdC&4i#V`CU}uO28?UKC<5lw_fhaF_ zguH%`cRstK!edvj=z<(#V%P@Bn?yBneW_O)hwLziXKz-f-6SdDr48={?#{36GraCQ zz~=i3A|x#Nhx#J#3?Z;^TQ7xnKUHnAmzrd&z*2^^hCv^aL$NZ44}rM61z{?Z>^g6H z78qP&#i3{}slFh3h)qW%yT_*wyDBR7ijSE6Fiyr!I8T`?G>j?nSz zjYq;VyJjNBoq9TnY7}2%WZcM#rE_{)8$$xV>v2I+ENu=IGKT^(8WpyhcP(@>GqbR` zc6Dpl6&t%a6|{GIumx6wbi!IYcS30g5Cs5npX1lp$Y8a}n(IIh?$C5D<&r@^R+Qq=MinD4DYK7g`E(7e6)$3MYK-^51 z1tGfp*>xlj>Cm4Yq_?JHkBmKpqveqttG@6Lf3|O-&fvjn46mZ++(prn0D}dr1X#dWYOV@v4a{#A$m@{S!|DN2Uofemc9yOt#*4LDbWJ9Z&vfmihs%HosxaMAO8^`8 z$cu11dp{zAv`!D`l+@p@0Rdj2Sl%kl0VA;@#5n~}@E8*!4`1JNG} z8J)$nMen2$=*06)O){G&joF_8(Bl6v=4Fn3O1IQ|zIt#!&Hch};+4K~n6T2+s%rHFG5^k;F%9c7XSZRwcmY#hnlZ^whf|e7*1Ketk^^fsm<8R|0vVJhZ{FvHp zyP5{wHIS5Bt4oZ~cN zt_2eh?Whq9Yok-g`c|vmtQ$T|xi)yXZU(>5y`n_tz7nSCCNhQEiBn@F>4dnM3T$jk z)u1;?2?v6L)0kVVbjmH&jl1jUOt+bowX?m1E$JQV-gQ}W0H?enJ$R+|8kAMjTA97% ztHkC~ul4TO-BL}fs;Tx--T!$5{peUtpnJhsjsFw%9i*EdkS3SheFY>1@3}yqP}MqL z?=T1A`bFi&!#^H$tjsan6z5c63WhtSF`oVCvECP6=pSPTa>NY$4u-1I(bk!`0Cit< zd@wCD^Rlm_h0Xx9w0LNPRmZx!9*R+#O-oLKEUQ}*0O0Hmni^6peoina!XJ)xC3TV> zk-SCo(&yu^5khbRUKYJh17V zB5{^T>m%n-Rp9)JazFj!Po(n&GbdVH5#}VT!%oednUq{_^U0;KbFhGY{RSWiVe1B$+KKF$9u51B2 zod$6tl3LaE(o{ZI3U3K-t@l#ZXKDluhFn1)0+iFG8zl0~fPt;J z#Uy24y4@J zk8;ZUspUmHW>pira%#!9yADs2z6aH2hDO@=9X~MzQx=$IG|ll2mx0r1sv1^q*1S2C zW-MsR)YWmu_PTp&`;pciczRf|wXECWk@Qi?)?bS>*=s$t5>}*GqvX>tu;}wV=^&fU z9YWw4GM8{hk|bkOJ%*Bjo}hN!ZhE?GfeqdmIaLyvnmZj^epjO7gRn6cEufA znNNp48PPmw6k-; zk9EXOPkkkBRALzV57wJm-7P+@6fcmB{@qxd|g8Ub4h&1T>}{g=B^RmIQ=}cxtVlN;k#AN z-h`46_T=VTg$S3f#sDPZzSZI+Yo8&)a9XD`?LuuLTxSpmll#_x9l!hR)pxU;Zxk-%)bZW)1CTr zoa#ZQIkpfgK`#Uk;HT=BTp3e4QPIR`^(7~nR$rbDp35%df4%s_RBe@S6Pv!FmBTK9 z4&KEN)Ct_WT~lV_p@s@1w!!8j*C?+#F0HlO&Ud zN%IH-s0~q}G~>ZMD^0t&Adv%TsYD6uLyTX9GHt1sHV1ydULk=_Q+5^>o%(qXtm6pb zhC(~+{cV!f8uiPmwaRj-`FJvTl**N{$CA@2Kv*(mWiyzBjrxFQ(^|0xvboZy2joED z`-mv4#F7=?MA-;(`%GecoYf5A&%zWyjQhdQsOBe2n8)FF5Xt`SdZ{pLTWjq?kOJx- zV0bR@3$zG8JSSe^J>k&-{`~hTsB8|70^q0dh>umT@#u1kNnu_Z5W-kvQd_(zqdw#S zElakN-dK7ExegRFJ?V#dEBhh>MO>12}_4=&$7?p_Lt(eg-JT}+XIvV z4`w-5`m`SGd57-VRv@0mb9`cHLALWJX{b=KCUJ4Dk zK7;djQ(2`f3C(_bO;JK^eWUR;V+q5n6kNq1rcXn1s54a^3eRSw*=E(@K{YLR=0D>gw;yE0b%bNwC2XaNn z!+!dGR}*jb<}e`|vzeDC%mO&ao9**dXPPUQ;lPJziO>u?5u_~;-b)0v<#ojz{CFwn z0??;}hFic!UC?AExGd(^bMkaLTX~Y)k5cDc6Zz!0jep)VNmu}yLBr56&_H<^;;H8DHFRB@fbN7Y3X}zu# z5-CF?s-R8l6Q*Uh&vR%Azp_Euk_XZ^iQvd3C>#3RsU;M?+Qmw<`mDVEQA6Y- zWy0T9MSbB6;}@!^#?QoCDH^js{lBy=|9qz$=_R-l2Q*G#mSMJuEXgImrxsmN+Cxdp>{n%tPfBZ zEZmmNx}7@K4pE5MxMVWD4jmuH5_^uFnm{7F_L2W!VByaV$ZyB@z@Hl`?88M`>j5o^ zr3Gs}gt9x!ZpX<}#V<0SLE(|rT@dbp*{7YmrpvkKK$`% zFMI(W%BX4vv|%c>MX$Pg(Yrf&Cp%h20D1WCZ`hwRAO!547QM>u(RxAmh@@bjrFW4- z|D+z^EC8?tWR3%=JuuM^fS<|9c&J2p$YdBqPVu!5IC#+?p%N`_nTVyqfq-EIi9#vh z4cG*hb##7!x$#ZBaD>fgC-8t1!iG-RLtH`GB6FdJ_nijzVlsgyfM~**(^Qu+uCLhF zuY=>1r;Og@a)GYEBL=^Y%B?Hs_d(w)H+N_ImP6(JT--M%qo2zI`~lBz&@yFZH{eR) zHo+CVNit@L%^Pw72lYxOL%HIBjkEt&(i&WGl~P8~?qJId)_(t*ud8?WhWEH0b>zag zD<0XIIam?&(gt%Jr{f6M#=+Kt+!YBFn7@NAA&?YW2p%T|Bx8=~0@776(M3CrzkkE* zT^k$Hb)vLdWIN2C^+-DP^B@lM+)h(cyit_@fbj@GY&l{FXng_B`v8|Lqc`c#$1tZ!GW)vQTrYH6@MO)72XluxiYBL`l3q`|i(lyK>J+A{_C>oGb= zfJ`FVl7l`YfIw8H=6N7^qJNi$_Bv-F^HKb*^iDUc#Wds&bYB{3mgr6H$!^hI@~u=b zH>|NUd5a#MCR~=aYDq_T29YEBWy)Ymp{b{ zCZ6HO1vvakLD9&jsRXz3kfFl~Cn~FXyisaHCD@-ySzN-m^+vbV0IiprUVOE%9A90H z2lPP3w#tmOLR^JyaMvbs%^{Yqn-M%j)jq#cBDbHLjXvq(y1O?-=}2_jP|=)R=78Mb z#_o|?6}j}losvP3RZ2zSCUPtx5Iqyzz>i|%FF?If*W@4W=m zW!K*_yhK!ZkS7+573Bv?fcV}TDMBVt3RbW-Tf$E0TiXL9>(s3YwUQDct?YtW!$41a zz!kbGnw1tU#u$tR6i^o|^^v-W-MUWRF-?|@eh9R^&<}CRb|5VXcsmk?<20w16f@WP zeJMR7Qp`6g^_; z9Z%BaLM-9^2eV9>FFm<#IMbw+WZ{Fk+8oanOu)!+{)ky~LxaOibAVwr!$ADiGq}9= zZKBm~7#s*k22G+vz_3{y_JAPv3{Sv7RK+V5)DPo|@F4cge!a`Ie&=O>kUSH-lcZXI zX|=OjKITkh>wR%}7hInSjs!F2A_TVt%UQ;E(c5oL-^!~BXwGG&G1x|zC}PS75;Dr>Z?ekc;=+DQocR#wj1s6JNT#7<}bt{oM8Cr|3p ztk+&O?CISdnz#SVbrjUa?NaRJAqIYu3LtO@#(xrJJl=z=gCnjX9%C)33s9iRSbOT2IIms+(BlMX~w}oq=$kbUD zK%m6qL3kQK7dL_VE_G?b$WLU*gTZ8}5d0b8CJJzSKtA=Qo5va(O>t6i^w-o3sMSOm-1v;tQ%o>S75pT1VY1g@mh!kJU#~WredsVe-v9}wpycrN z^@BK)Y8Z(DH^B}jF{2-|zl@(R>xLLUAi;_<#YlbxePe-9i1BMBVati&^$cP)>5SC1 zNL-nN$)ScS>Ac%epB)R5%YF0~ig2+T*y{gM( zNrD66t@*#sq^gfd0_S8Hh}AzrCiT3&5oB94eQY!b8VCwshZ(77HOQ;O_f1>Iq!2uO z*}a37Dt$x=3J%vw300RzWXQ{_MiJ!~Qr)cz@@)aGm}dixH*qy8h_ zUx#d~eY4+s_W;lu1=t$uL37KVU6Sdm;=}I#zIv?P&+rk@{k;qDYoJkMgckkwM2gV- z7(R%OTF)s8G~#giJpWy%e|9Xhxzzr@@;j5V*yoX2fJGl3kh?XGfT#f@ML zcqikje5miZFUN5aqyaLV35OR8ex)B4ye1+G?1u#hpNOdVPa)D{Jh*ERU>?p?rKe?n z7WPBJ6%12onT+?f$3rPIe8BLA_=meZh4uTb12&$NQIk`XT?Vl@M!?2^9dRFJdEYeIRPC4SF8d&r!+7X8L;8Qfb{JCXQgu9(Ob!OBze`g@kM^;RZuZnZd1;+ZS(y z9vQsddVaOR>hm~_LAz$m8kFMw9WV6QpMW~+zI_&u&*zr?2>kvH+64tsae*EQWr`~D zMo!}bg#QLWaoZzx4k4isbWUy6Qwex0z@oZXmJWvP!FvNlHAt!@^uO5Ib7)Gwb+jwx&(GSm!bU|x!u|7nzZK5%AtB}OJvku zafwFE#*2QLVyWg5(x${~tc&eN>`e^oP|`he&u^-7J8OrJe{S4Pe*e-@K-*;YAy>15 zVKVu7=#yD3qJNb9vq#iJvKq|Lel_ez`f&@ZHh-x@7d zFjNhQy*1<+)8tvyWDJmwBV(Gf4~^rI1}}P{l@L5oHs#Xds-ZV+hX8J2h|n7V8w9m* zk81fg2y+$}MiNduVmi<&<%eS?)^whfVhniZvxT(k>U<_Ng!BZy1(3J{!*&3q-Qq>V zmoKQLykV^R_~JF77))I36e&1~Hb5E>B(Oai!0tS#K~SYtHBEF=Jm22~baqAV6U5gZ z6|S6Y%o;bhoIL^YAR%)&gxoHfGc|grq#kH`deb02pYJ22Cx$F?_sgrhk^a0x-v*4Z(hf3p{ z9y5==SBFf;-n4dwx>@{$p;oJYUtjoWuXWJA^7`w}{RO2%wEE|^P&G4Z zw5GZ|V#cLQfAwQ&dQfH7wyl?xx40KvQq6xQUx6W0?)m!BFDo9|4?nQ`$N^)%6;J_+ zEs-k0k5q+fz5YD@nnM+CIIHZ15RY`xFr)(y=$A93bbhsV@!DpYG&)Gnmnjic<6w7! zic<;j&9vA%iU`B`?-sut?+rTGk(a6)Km~f@E>t?hP63DkYxn+Iw$I5(7({M`*R%($ z2Bx9D`-I%HaI1wspNaVe*$&w+!!P=LpC-DF2-jlca4;3;;`Cz(inV=vFbFGGt_APIn=vf`NLwXL10b;q#nf;!gp>% z*JTdF^#~M**5?}D)UADb(;dy5joCGwe+Rh=`!asC20F65l-x?A`ywfvn z!JKGl8j7{H9+GJ{q;Wl=fYAqRyml@SHEBP+w~Rf-^GuK>25u%MOSKZ+W`xXe4zv)7 zR9S+A)&~l-MEMRBMz`=;N7&sBDF6xEt?BUB-H^Z0TfC~1pIC zib-Hr12r5dsIr;~1~Yd>FM-XOJ4jEC;i%PU*KxsP!e#AN*BYq?QA#ML2SlB~?ysA^ ztC}6|aanXY0WBS-j^=>ffJZh1)hY>+j6o`~{OW>&k;Roki|6>*4bLOHIQ;U)xD?vL z&g3GTBn}XvSz=g!eYiLe|8+Hw%QuBzZ7Zp&5mZ)ft?U!%GGe$(OD%@IgDuuRda`%D zyy8$AkzJoPB45d?+DLzvx(P7eH-nq}XzZyGhD>oyh-P_ES>Q)r5PF;(1VWBx#a2TI@yyIxZkaji6$1bb zR@ZjeBsu|E)f1Z10TSy&L4u4ZFNkb4=i4*6le}+EJYB-@ff@Nb$Yg(la>JH^Ok?p@ z4x<;o#9OPy;KU>u^3hB+NmXezNd>QVWe*tkAh0i& zfPHxqe@EIKU3g4=2Ti+NLOts+ogBVL?}&&t2g)ajutm!rVN>!5zB*{?)zDBJGvsh( zS?gnXrGgRK*N1ydDjjc6U8b%d(cl@sQz@Gt=Q;}HGJ}a+_lyGgHsu@$?=&PV-3Bb= z-cMhC#ufvo{#(RPqgJxqdC zt0aXDqX%^d?u!rv4m)+eo;*m$9ocKD3kKTo01skvDhI%zo6iAxI{5i==I8@3FMA7r zNEf(nkghn^z8O^y{B&R6LsNRAIg7M{qOh2V1fM!ru&%SfDJstDK>bbCVDt%10(y|K2-HfiBax*L( zXP9oXFsgR_E`;J3U8WO<)oLucHR`Ow_rtzra2-CO@q{ECU65ZX8K{(|{6xM`Zmt~R z-W^lITP!JArr^0;>W~wDCTwRycWqS_jYLE22-|@NbItK_Y*|6t{41o3p(%^Nkc00C z48&ZkMr@hc)#VNGge@yRX*S+viaZob>($6(3LQ1*Q8%6i40?A`nyU6M+z=I2pd9#F zb!)xv(ztc@6_`@^iA)L@m{xE4yY5>);R?A!UpOYn9@BE>@1JqgpLXKs7U>WEq zmSoP})feOejWwv$_nZ`=C`}DkrCk(YcsKR)oXG7DFs#&P9%bKz$NP<6x>^h3GXdjL(BmD==joy)Cj ze*!Vr9$_d1ne5Ym7HF~eN~-QgRW$^qKS8YZ7I$iod#J?IZtKw=9q7^W{UX+l>@rr& zqbfE}e(65AcsyB#`ZV#wyGy!Y`0Huc%%)diTMiAWutyYTufp2MByw;w(9rC>3bVS{>bD$ACuN8m^UL4z$q~{(y+2yz zVgy86S_0CD{+2)F3x&L@7U(drhafh3DFJe0f6J@t_6lpsU?x{tZ%Ya_1q)L))K3FD zKp<8HY!H6k!UE)02SXy^zbQW-Ocq)y%Kk3dY=c>&Q>By9nKm?MzuSIy zTHztXLi?Hbr}cPH0rcn_J3Z2^zQKE&%mvBTevrp> z6meamq_x8`ftVlcX)Xii9Zd0cu2x*)A$Q=n45n}Qt%M7QwRd^c43usx{^C3%UU zy$hfTyFxdWC4o?Bl8jnI(1p+io>fvwa>Y=1Fe3?BoqV zCPS1$7ab>eS=!w_(At{(!Jl4|MJi?-79`W0#)Xz$KxjHMv+lCB1416+dMC;h6yIOB zO|g}LfXSxW*aRLV0_R}EE;_tJO6K8NXn8K$-;vj;KFYE#)I6-;%CInwt!|i~$7_ z2w&V3H^SUY+|!Fh*3KAzwK@f{V`86LbsEAMR-IBXtJ#IXFI|y*PtS~WNmo~29&mx> z;e^7HM4J+fr*_SJgk#pG&IZhTz}9v;hTwv=L)HkqNZwR93M`9XiFr<-I#-a5S*O*f zUUdX`LA)!dluO2c&naql>PmJ!;d0G&`B{e(M|LSqAx$|iWqv_>7cuRo%NCc%`>SiU zkj59Ho)MCmNgLM)sV%$m@;aBFkJuU{fbYAV-_GwoGp-zAa#peVFcPJtq!g6QNtY(P z(`=v)FIs_kV~>-|$nh8_&wlm8;rG6bBTe$++D~hsQ?c4IK@nigRtq2w$NL*37>F53 zp3NuQp|3#Qw0Q3tcDLW3E@6F8vuOo`Bhm9fMrbQU6X6kH z1EO01#ySUQWT51wF*myx3R>`qd^|@8m{1L|i`H;sXEi^DF8oC_O>{>Wd0LB{5P+*e z!yG&SB`A!rX8+DK-egq&b>%g1BdkJ%YkK_vEi85b5StP`>xP~BL+t@g#@L!X$O2R- zkaoms;v7zwW9>ewITE*YxNpX1pq4iLZJ@4!P5*XhD1Tt)!~^lyfl{f`Bv8wMeoY+X zTq84Baw)#VKmC$w+7AC$QvUa{?nxh&-L`G(!iL7<7vr}HlMD&3(+dKFiG2t}(i%xo zu@C*55tn5Oz>E${GejwZ+9~@DF7}>5NTrs}8mSTL(yth5r)d*{3k+62TDO&Q%)uXw zTpd{jqGV<^1Rl1(1zUlti9+u$gp+J5ga zJ#rU)%&Nd%%#T{u^wP!}qo!S)ggk@mF_6EV8(9OMIV}j%&HxJx0^1&f%ex(M-kJ!N~W-^JkLO zb9G*P`lt!JKIZl8KCJMCSC+v=P);XX{OF4iP*j0o_aZ141U3Q1@e1iSrP~y!gd7ol zq(2rckpeZhxXl>#xDL4VIOwDFTTKHDy@2|BL}YtlV}PMIIU*L@0+zc4kX%C#VxUJs ziZq~zR7(c|LlE8ftM|x&0H5{ChAV{c)0kJR@3I^1vSTFdArF_=q!F4pOi%Wquy5f-Cc)u9lh5$p>DqmL+-&#t z!>h-yzN+~4ML=93@VV_hAm=ZJ2x^})^7XLO6|*9>Fb2*cR0{8AIS+BMjw;_hM= znizR4e2q+ZahGS{He3-%7R?`WQ3quM2)3JVjHLa(S4I_=f0d-MF+6ZUI<;FC~g3@8W!-ty$KFUL$L2)yJpJDtI&4xow2ZtYp zHI2lbY_|KdT$e?mRba}I?zrH<4Tn_iagT1?b*V7=;=k>T|E|DokMkdI1ts=$@5rPZ zDsBSb1~TaeGWNIAygD{~%sucFlX~9jQgN!10p`-}T!$m{jm4?TAheB;bnf!bZ2@XwPLFtk1R8LFcsytkL><8Pt-htwqp!L;WWHgc4iQ9@ zYfXP_+H{7noaev0IjFh{Vh7)%Qg3N_vdg+# zlJ$IjtE--Ga;8@oL9Q_6VgeAhrdn7o?9J|TPZ^pvnsN4UEy8xE4bdZpS(YF%O;qN~ zkCrF7GKlLP-1RMTH;_e)+F8gF*2 zpw+!KvT^&m3LK!2${g5^!U7|~|K{)8Q8kBDyWv4F!`w$i#4-PCp_{Ozn=q6;rrT|= z|26+>r<@rcaKlnn+?f{_yKPrp{1z$=TDwmjhC05=D##%fv6bRoW(+s7T^(0=vDscz zD#3KVskY$J&aH_UXCzU1pqra)$OSIis083L1AVNasbn@&O(jdjcP;S((9;z0}$llRW)Z1N~_=78-OiC z34u|-8|M%>I=@?Fj)1LIPKSj7>jTh@6G_J*A{+lj!SP>3sYMmH4R#wC8vR4nZTbBuuRksa5&2_ueL1zP_(T1S@_(k;3iLBfjhP@-Tn6Xb(iNT_%0Q|e)reXg3vu$P}PCkx^jwIZ_1_zRk$;> zCECBLVZU2+F;PF782m#g8ve(fX!KVn8voUY;J5!;7lL#Dn;ta!rygwTQ8V(C8xvcF z7B%vG^tscxuzzT-X!l>m>v#XdhsOVL9~l4D2Zn$3f&PE755QIaO&^&2|9$W;2Y_fl zQR5B&q3x#saobJ)x9ui>HQp2hirD|xhJYcs(7$Q_p8vo7n+xvP!nRnPQC>2kLeSNS zFMhVyRJAj<3a9Y+ZP=Gur@m-{$)oDLPnP zWmeuTC^#%)mrB?}J}N|+SeYGmn~d6xVo~ix^6XyZm(zTfJp+}|Ye6m6T}rmm8XgAf z3!GtZS}mo6u@vx_v>nXMPY_=zPX~Wu`k+qY`BgOHBu21uxlY&R$GBraIa;x;yd5*B{!dWc#uH z6G*9czX1}KdF^vX2bMbFGHvb-9YlVRwxtohGo~#@rcF2usfET<%p@aHeGNEM`@Tud z2LVycw>M0n!FW6^mQSN3&!uQ=8uZ#NL@_4xo3+{vEwDUI#Shf=bC5CLX1^I)Fix3W z+F*g)zhtqjDmQ3>%U~^PD*{QmYGjtxW%?F`!u{06LVp@!mYAZ&z%OXg5?TD1)}_

M*3cJM!gKSSt_&%yrP!RNNn z>4AM-w~psq^Y=yKzm544<{iudk07+8@IJjS1NRloS2171Xw9PMgT(zh@ZZ3EQ}HnV zQTgP{Do&CjGxa!dxra|Fynf>$4`l^|Qb*DLzV@5wqUrKUgE~j9%cfsX3&gr87hBG)^6S@G+j{j{zs{;Entenc)X;^R#d2R6 z3(&#w;TS&GSaWT&KgpF)4Jxr&X~y_9VaxurFj_pM;b93pEP;n5@Zn29a+XnD))GDw zxaBv6{j-|mh2$r>M-F@T4X1lEi#u5D3`*Dv7f%)EJ^K8tBa2Wz8^mP@xCETX8NkoV z?{(qvPw1yt#T9N3XF)<-ZGHsY2WNp@T+#hm@VpM)4|>EyM)jx^?~6|&v%<*zHn!fk zgZnc6?}Tt)!Pfhk(NF%}t+2o7yXg2DxWA71u(%ZW8^rr2M(%H6>wTvuivMWc$$p}? za6Iu5`mksjM*X*j`}YrPNAd4OgK$24e)%OJHfb7@!AxMXm^qC6Mlh0L>mI3l zqpnRH3rYClpFnjW?~Xs+P(mhVXn4N;dL8nf&+f7Myh;Fvb20}edofC()d&0^zLonueQF+U+I0D zw7wOl=V5*k+tcguzYFf~V3gK(vGp$cK7Yf}DSNv|Fy9Jcd>-_^-P3^_LH_pd*&E_*ABDdYAS7P=C@7Rs`9f1&{W) z&yt>Kd6V~dFt!bMpi#jj`!?_HEQHe!_ib26V!(Jxz5v`A@MvC^e|y|m&=GU-eLTRJ zj}OFViQ{oRy}^DF#u6wz0{R6W;eS2Ee+l~zxTiw=8`#lDu&cnR9KJ%>mqR$gAi0XI z6Xy5K-XZKd?=pJyzJ&YR*iqQ6Fj3ea1FI+Z${)P@&tF|w*w1&;8>1&rZnb^5w|%&O zw@B=tt5?70eh+$NKD*gz&2?BJVQt z^KK9CaoM!?pR*KKph=Hx?{9D)#q?s5p}*H-*a|QI0bovH&S1`l@LsoJE4=(iftkQe zVR9k-{vGI%|12;i%q7h07~5w?rXPlWPlbNp4*gXA&)_H9V5`o41niG7 z-vj0+*uwW7Zoxda1@@&I7x`DXw+WPDki@^k^<<9S={ zbL->dor%QT3bXyY8}p;(=5;u>_hY^#4dq?W7k-1W5aaVSL72>0{6;aaV?1r65!y6X zpCN1tGmFV#N|>fUusO_BXe;l67e6$I-_|nNe{cJAMC1EEt_(b163w@tucLmg@p1^2}!{DIi6XI(kp`$v0%*Kh9*-Uh$S zSAh|axQB4*MJfCe;j@@`2!EIGFJjAlmozHS_a^SGaXt(Dn=*t2e_`i1k4Dm|0Izso zBF-t|d>LEjUDEj$M!0;vDt+(%3T~zUcm&t?L>st7^F44WuFO|4Uklwn{?~a|`&8NK z`3CPQzhC@mwVN~0ruw*mEzD1U4*n0L$JgJ7v42dSwEz9-EqK?!c_+lH@ISXD{ELKr zDGZkm*3B*9UnlIFVYu4q_etkH%=a)+_fL3N_z$svg!us`>i#M3Tl3>fG}^BJ@|N^Z z{YCg&jGpb{KOx#MaZI#41;5secOdrPf>+~+Z{LP@nJ)mNw(|FJZ*AYb_I|O7mf1r(Kcl>a%eP7Sd-p)ZExOcJ zZ8>0{(mV10be{G(sYS!i=QLHP(!2cs*?S-ODyuus|5Br5EQ6UgN`Me95a5DXnGQRR zUA8y2K|>oMV!(i?K@v=CfQZ2c2W>#4k)f74h>;>q5isMA>EySQv1yl`W;>ZSGe34W zJ4+$9*v5*Qw#=5@A3LVA5cmCgp7SM##~Tv=bf%qhUaxPy=ll13&-eU!&hy-RpVZTT z{YJbCtbMhYerPL>2!0d3S_<3DO$EdG}f5HXm$XJ&HUR zc{jKd=%tNn=3Tq&h|#yPc_&%vMQm!kc5L2tVY3|@*$Ph5j@q<#)_XRmk*O`k*6!KF zC}v~x^8`AYle1hNM4tpq-r?lRrHbUrr)=hUHa+m|e4|_!J}&(W=a~4V@P*4#$YK9l zmi#F^$o&^AZ%@jFdFA_}Y3UoaqxX!+=U~;x*q1{o&%%;7Gz`e=sQdDI#;J!ntF_&H zcw|g;6XT<^a9ccF({0<>x zd$7yEUi!hz0;^>fyYkcJ@q9lgosHs&+N5m{ z+m^lLYL^@aeM*Cui+l%pXrYZ(K#}|XvHrsj`=$y-=RxVFZ{W=KPc5HBxK61%1iW1HI8BuJz>FtGbYzFYUEf!d5~`@u@_4 zW;Yw3R-fb5^St_8ubxlc_a)!TU$hLD7q$D>M*H`GmXmzOy5)=9>w-@^Jo|}(`wZnk z<7VShOwM!AEe&$d8PSbF7dG91baf5Hz6I?rg=|f}XxB`p58eA8P$*gx>_H z$YvjMyJqWJ*-Vb=v2R01^8KD%>%`>UPHt@~w!R2YeHgW4VBM&X7Z%&+7z6Z_*F)%> z&j~#1Ycu>j=sAFje&ZSXijOcIvukth$X|QlkKc8IUk|@zsA4s?E04K`j!6W za6fDZ$H=3yq<8T2kVkPE;r9Y<(+PUzn{d8uT8o;^r@GkjeGi=4a;Sv{b8H_7jUuXV7}$s3V10Xkz# zexH-Cb@Dc3?LdQ*KL}sr{zp#UjjRXQ?&O=`E55Bx-iIujk(B}UPX0|N|0^f2lMLACNeexyIe zJufo(5KeRTz`>`gLF2h#1VOn7<1DVR~-~Z?z%=tHe__4ejZv5n@ z3g+E(bK(3?f2Qb`1q+LBU36Q?;w872E?qYBBiCIUzrJw(Z6$)@MGH%TrMDH$F9nu< z^2PDC{Ss#HN&AVD=R*I8y51Ehxv=`$d0Bd_ z4p8i>p8yiXuKl72tTkBE$o>z1HuM}o-+5T%WZRL+Ua~wuKC{|J#^$?xuP@M|<=kb@A99#MxM>1bS4O7W*Lf5_vvvB%WFAG%!p*_6#G?Qso3JLKKruJisc zJX;Li=5LC|h5aH27kwt6GgHBCt=Z8dTHRP2fj$ew0FA~`u+Bthz#6k}pr<+50iFAm z%lMy-qepxbp62uS!LrGNpAR%cYb^*z&n}1Hm7&w(>G=ND-WKFT75@yqLyb}VwvOPV z5$K_vlYrKSl~(wNTpNB%x1D`x=1gj_x>4znp2eLUrJ1#8&7Ok^XoEVkw>0q^Y z3jP`3-IWXHo#vIECFvcZ+0V*nPw)<#OzLV&@d)coGYg)6zc!mV6jy=E-A4FZ0^4wI zea7(%;Ozm@0r?TFe%$K%A^I?&Iei;Ed&)5vULMc|lmK&pS|DoM2hXw~o6tWEQKY-X zwNr#Fcdu!N@=Jd&W#H`@2-n7N_Tob3lh#hB!-ZdUGby+-uoUklfc z@fzUGftMzy6#3Z!uLRJ#Ip%D|TaKQ!XTBx3XE=IBlke8n#zAytuQr=q9OhrK9fqgR zM!N%_1NrKL-wmj5is|Rb{n$<;>jV7jhxKLDKK32-O(wg4fL0s{WU~QZX7LojFN(;_ zt_*%95FL|^@ZQasv|^{Y{4wc-m!?ioE;c5o(X%nx>G~y`p~ffsxdA(0*T$z1xu0W= z&vJOF#-|2;9bn^QIn=z}0Y5AkUTf4&GqRRJ@dw}NLT*h0vv%yvp)Vq++0`CE*?;p_BSs~Bq zN-o{A=$M{np!9YBIS1M0=G4Qj=!eD5V0@PiU2)If{~Qe4TomEgz}LGKN*uodx)^x3 zCDmsMmNV_~P59Q{&coOJ@-3@x1<;O*qB5?(c+xm*uc%sp$;V>^*Ahpqw6 zQjf|TJ$d$_x68LXc`f#Hkze8b?t!1NmhWT2&v!Z|lTXR(kd;JaX15VprIX<@k{5_7APG{Qu zSd*ihX`_Ll6RQavarIL)V`Hq2f=t_R+t=sW$wddHCPXosb*-$RNw zRN)mo7u7=?gr|0ubH$;#raq~U>bLr5Bv;AwY_y-N6w#jVM?C4tk786TefTOyPr1?@ z70-&+W%q~Y#}32OT#cinJl(RaX#Pzlsn?OeE^?it_rg<5Dm!R*r;Aavo%JM7{Cln! z$eNs7^cL#-fncnm8>rj1C>z-~!#m`38o{mbq_0x%@#kP~aoG9Od`LIXlec2G9guvM zi^Jj)9hIwJzJ42Yi?f$+zdhAW-{O>x#!^Lc^;`DVSHq!3_*dh%z&ro@vcc=&KK5S5 zQnuZHq0GdCciCD_)7hLy0oJDs>N;n{!J31jd$G#|X8~JU!+RUWYW*{;Tx23wJK5;Q zsGHxjLEDA7FUb!(c^R@wV88p$OdEXNd;S$zdVd4fwN2kUX+UoWu$_7nAR9q@mNeAA;>EZ9 z3a)eS$9lHD+n5{;`WJ*v?naL|39Xzie~}ylcAoEo-$|ck=htP~iOl?|Zu834j=w&R zAn$fMCYKM{_u>C6VD{pxnC~O5{nNwHlMmm2l(zQF{~6~~v8K~+*;=eRF7@RhF< zfcCE**AMxyGFa?>j=E?|#rjn_V<2bM)N4}E7t{4+@_Wm&+Y06{d1vcO5f!%aJs;Yx zckPR=qrSzX8>nvwRBq#Y3t=r5tDvGd9@wB)3&!JyiKS%WqImrN&pl9cD^Zz#6klx3 z+ni0M^UuLkzjV*OgMMqDYv;a9xQ%;p;m!v`x^r)c`)YL^V{dVJ%lIbWbpUKFm0-We z`NBN#Asoy-^qP_HN8UsJawq=J(X5? z=I;b;`~G&Iqjk`R&S_*#VEJoyb;VcA{bUfQ!-)v3HdJm|R&wJf-95JAI>TD({Z_cQ zoc8LY$gB7&wufZ=2k2{|1%ZU?lgATFG1%CYaDVyk%7yp%`pm57>xH=L8IeySx~P{! zsV(8R81H-kv=Dmqh;ri5GyZmBRP@`SJAh(9^iFWof8u|UN9a~)`4hG|Z{yjFFZuqi z^QmV8mFQ(14sGO1*Q-vKmpu5@@M?g=fbYlZhn+5s#cI*10}gq-jnMUuXEv?Kt={I< zTc|5)74dyN`81o0)kEv(-*6mc-$$QL<4fZ{>Ob;K&C%)9)wXEk87*(JvDnT~@AKkO zU3ohTR_<%?r959YE3{Fb%NXl=`0uT}eLj`_hk^N2OntEVR7))C{|RCk^;h9oHc~Hy zuh049M>&~=%=pDFe$mEL4^&r?t@UU*^)kT5sNAcUdi6?IH-GjSquOpBqHXh?kB`CP zC_+{Z=zA*mFa3ZMfy zz6P_4#u$zNBz~G%!)f$e^G4X#tZEmNtLOJs>qi;Iuf7E9OK6(L-|A&cDy21GWC!V3Q z1_cd(y`!eji&^ZCjqVLi-d&PVT z+UCMZ>dM<}^i=ww1)6h{z4#TMe8_J*{xx5eSK)5X5bEa+bgVtd^LbWXxs}gc&!=LR z&piBOekU9&`Pd0qv9S`}iLPQTaIuP>Lp_Wr_7&>Rsw{@aE-33qjJ=pbnwtnoYYs|{fwK1=ypUS~$WSM`>^%X2gLnk_B zYEyfB1v150g1*Hl-!@+Am*$&%*LXUzSNqjo`*p7UBgl3R(mw7YC0vu1zx0oA9RoBj z-T3GPGN8L$+eg4LXz5EP$PDzE3t-DdwTmSSUc7^~EFC~a`q_ZZv7^psAcU`c#67w3 zg=K5827LZl-b9# zE`{~sQIJ&e9fEE|4;de2hY-VJ}BUW+~_qq4zCrxY_LEj7n}g> z`dNlN%gKaw9hn2R?B`LR?a^viJ~S^YsaFFv&WHJ&g}fY|ihP-2bT{Iw7T5#aL|>)z{*}<5a@shEdf%|HwEVX)@vA#jt6Q!+to zpwIIVaCA)C;1&L7p7nzTD$+j;SpM3bPs!w49d7sJ#ut{Y#j5y4+nM4dwAR&scD8oD zRJ$EO7jc-a`Lg|{7u!?LpRXf5i&J&Qr=oU${ff;M!xrK2e>+wBUvz&udc=v@VV=|e zEBhai4_{|I-M4O}J_B42*8S@!*ZqUQ?kQhKZga)%DVyNc zqT31Bug;+(fbQQPivUwA1Hg?Z<2wsC{(+zDlYX8@v4BT(U&%e(h70<@O z@|VXK;q+UmYOr!#{r5Zr2HNSb?xEMimu@4xQ}ApYir^Oj%GpM+<*bIepm|>m?=UuV z!2*?ha0%eg&u%w&6o=nl`hO2wvi36YrS^=LKiO!_Z1VU)d)S)Za%5$mTsGw%T{#3T z{Zhb>`G^;@a?|-aeiMt1#jmzIi6KGEa{%A=sAsEr+vRABLp+ta*yRiGYhzVNU2VGiWVvWrS=Y20Ro&ruQR(K{)J3i1a)z$Yi==1>@&_VI^W$Wu?4x(fJ{kDc{J6NAC z@h@fIQU(UgK)CM>=bqeurlUPC!>#YxKjfMTi1#7KONTB2v=d~2^~@zN1?}5N-T-Ki zGhId9_XEDqiC_Qz*?6t!k3wdC)Sf+W=?Z)e#H2WT0V@NwkRu;)eAzy(vuGA{HjsH@ z;CvHkr=TxpUxNOnaw!9sGVrU)fSun$%zyeB(fs4!H`+r!YxMUuTcrus{45~2@5hhb z!)cArg|BnU>>j?u4*0T9I9U<=l87wd$tvO3L}Z_IvMunp1Lw~{cvroH7&-y9f0NTq zN3RVKufXxT&^ZE6QT0;SzMGMPR=>p8dj<-z_4{DHB+q&!+>cY~stxgb)FvQWrIGp^ zeER#f@yhX+hs)&d%`>sea#LyinUeYY(lz?6!rAO8CccW^34QGuJUw@hq36f5`nMVDq7j z`fpwd^R7NrzZ&*T^RN2;@Lgf;KjHgEu8*php`&|l)vMvlrqbE{X7zob-{mLY-tRX0 zR73yNSII@!LYGlju0)qQyPKBHU!d1fTmMtdnfJo8^*@vkTNBbNUO$p>;v@9?GyNXB zf!Ni{jMsS9C_t`$oU9!gt8+5QSjU4SV{NXF z+R6BM*xxqz?SV{IVX?InrB9$&%zFhfei_Uz^Jk%*bj>yop6YrhV*)X%ve z2h?5$SjBjz6EOMne$(dHuvsO6Cx30gVZijh=k#K~2;*x&=KHhwjyk;r zdWvs5dcHsDS^ftb|DeM|{t4HSt~WKbC7*l1gOz&p^xjE5yS&){LeaIN1wZn11g!r- z(&79I+ciaVt6^?9hg;!io+f93e6R?_4`WsvdFU(VLa@(2g-`J-;p;bR{{vdzshCUN zx~U6hBRdJM1M8hH|MMa`djdb4V>wUt2704M{BN*j>Im|=&d*uTPZ8~%fVQ?yqN8_l z#{QkosJu%DEFbCrlN;prM0hfV|Pfdp_JvgeU$Oui46r#rL8eg3a^jO|pe zfd+Vo(b*2(0SN0I@Ppat|9nVxdJr2M-<{}KoHQ8|9m7W7L+GJyamb%yX@pns|Ff5X z zd3n$^faa9SS#0v56Hf06XDePKV7_CH9~e=W&vdZvueAp0`hchlc6KE+Uq{VX6CzXEv^t@CjLTG!ir$43;~f-C{}{35;69^M{c zl$5-pb7t4&j5|J5gy*7{56l7bE@BgZ7dGm{J8frbTx zB!!K}ZXCfIt4T7n^UXc+nYTbRj;A0Hcek3p9`C{*wD8S66!_)PIwU|6Wd; z!#-~ye^jOP2GN{rFu8K6F?7e60p5vx%XVe>SvM5Mmnlj zdi6Tbuk@pStxZK1mHBPj98f#8fYGv38H%?2h1%g?ojCoX_&SNd14#Un_IA$Ww54lD z9J&vFE?9ahCimm?>w1P)kNpSH%|9DPC|mVGZTQ9Sd(_9{&c_zdhvFKpkEp*Voxh`= zKaJCH{rQorKQ@M{7m=T0padud{I>nNa`P1z$MSOnxzX50FQTXYr66Bg zVLzHkspHB37Ahi zBdHHr$W=1HnZQ-}oDTMVr14GmQRlzS9_s6_bPCZmzq8Rv06xC}`7C&afa$6I4$f$5 zKOebD9(XRG_7{SEA6u~94){fD&3~5p0fh3qojpmBbZaIP#tAy6s zd}Dy$iEe0falfHIiy?~D{yQt-9;A3P3c`NOy)2FQ%BICK0P#Nr->_m^4!<1GGlNWI zdS+nHiS(=}4PG7c7+8=+J>Au1uQCeSd@6Tl--fQ~$dAThELgk~$nxa(Qu(#dK&tzP zp?JD>hh^ZtSkHttPwFqn8oUWCI>DUq*W79Nnj_ud?SM{xx#;+%2mTJ=G;>I{!g|M8 z96dX)`1-1Mq3=KY%STu3Xuhhhcm978EPorXNQ;#LIx|@D`<&~TKF>arPNi?Mi|NbG zm#1pOc#5%)_^OGw22ejlS5lYkEcJ5gwT^}rtE0Zr(cQdjtlpzfMChIfEq`0kZ*caa z%cyS$th|%h+eW6v8nN92D0b01sVjDsChGegtyr5qTCw`HV)bd+??C?$aLck!6)xa= zA*o`s^Plv2Z~u}TKNXLw9xbVC73UUS-`PqVZGie9`Y?5qwNP*O=nm?>Zl@=c?h!zK z8t}6NP+dAIX~1@PD)Q3>__~3BKdE9(#Z!!PeoKyK3E>AhGxsr3=Jo*F}-9`N81TsE(X!3U0pcwLG8V~KaRTeOQBl;d)K4%PkOdi&qJrj z*+}OM^**O3zjKkR&nmK!?|gVFvm&x!{-v<-?X8}wP4c;L$-Hnrm>xg!584bGb_nxdbcdQeSWdaW2(N(XC<+< zqieVWWezW)Ewz$k^)Q9Mc^+WN#tsmH=)1L8FSL+!r28+wu zd@nI5KIQNI@}*eKm*wJO`3sWDAG3+lXNk`rL!(Vk_maz*W4f2rZwTxiZN>09elIOn z1Qw{2fJ=ePsq6Q*?Z^wAOxXC+HNI?yTl_U&{GqhiT%Z8ZeMhbHZ@+V>hR#P;30U7N z;ne`jR}p*_Q6qj`5p|LKlyGQ zq)l5(YR~HXy|&w&t@(=5=G)}$=y!PblD7aBqv_2h{=*(WDwl<9jc<6keK-99EMM=X zgt>paKQ6FA=?TWhS`cASoE7eA!W%!$3<4|H8Q|^Eav+(}k}FT5jc0k=fqnyEa?}DJ zx;{0-m*3OaB>Do6J zekJ>86TEVKOGp0oE+y6LfmD9?yS;Z0@zu9SRPx{UV&^7(hj*xV0ycPg zP5;cmIE;$aH5NO@^Z6LReQg12oe7Jtl7W6Eps~vW+q@AyHlW2DC>r^V{641?SuqgL z9yl|IZ#?x_ez+WdmEXj zdiP8;yLg{<KOt&05lKC}l6<|8T_%%9I+ z?#2CX+wtvc@aNOfn1(C!{p=wJO~9pcDFeS<8MyE)r#(7*^1%A)VNIL_^uMoTMas;; zxjPrV0ebX^YmjT-SPs8DBFl2JI{5Vw**GWL34c#SmhEIM@Y?{j*gJ{Oh`8SM5?2dY z|JyYOJ&WC9`d#=loIPf-Q`qVEo_`2W?_O9Kk!jyJjm{b1BoOsy`-<8<1sHvL2s)}S z`i!&p+p|4)s5q5_baLV6z+$yrSPsU}&xh!z(YmI68CpKla(RwOKhy9vin@3i?}Ojv zp5Jon!|j)!|19FL{PvNnvw-!{`eNAcQ@7W45A}?x{2x&NxmRvrsrlnRIOQ3@JLOp{?X=wiSlpeiEL13ApIO8{0mz5JvXXg4Mf~=DxUnnFeBQNk8lM>p!tZcpg4KTE^l)sczKA=-M``xtvA=+v7+MfvhtwGxLb84S=rsCOHmnH`8 zE7Y{;J7>`Sys_6G^y@7AuT?rl!eTsf)95ZHw^Xv@o%;<$T z62f84e-)$4ILEW z-})lm4EUm3sb^Bp^62acEe~hWGkeiZ(Ao>*=mza^pHxg6qo7N`rvQtilzOT-AZ&7;$c!u75E^`)pQmnR+H>XzfP z@ME_P0mH>2R6L9X~!%$M%X%{~L2Q=Y#b>dKwz z`gW?DowXZn%j6gHtMODG)P`S|f92cSR{giFuovA@;(Z^;@4s@}a~ZAd=HljTKZbD>SIAVpoVshCco zr(^X{W1xNc$p;22eqU1M-FTLx{Mi>?=S3Gpc!iFq99WTFls4ILG4EQs=r!B-ld4~u zcY1%9`clrb&$QnU`(kz3_Ymh5p4@oCCy{B^MD4H$2M(np-Bajl2K&0{#1w5` zJQc+jZQqwkM=?g*Po*PW#j5!qZC^6Ar6PYS(p9mTRj(z7DteA=^FutVE5H7ji#D13 zhaN9%OMO>K)xP?!l1e7NVpHE$E~X>-X>`?hmEq`0?~IE%n|>6#H5GS#@_E5L9pnro zKmHzPb5UH)PrYZ$a&*MiHD^@1gzZ*Xqx8^@ci`CQ52EfK~ zJM}GqwJ-mQTg7-fYgqjdKCEs!EzYm;Tb)iSe&E>s8CcUj)?^?tLh|K7-@`Mz*$!nl zT)khl|KGGY{UwA2PH*36${W}hcBJ4(^<%8-!2f$V zFUD>NuQ(Rxz`k(tGpFx>#*!EPj(XQP^F03>WZ!4hRFYf^>kIk+Rsvq*| z`@Oo^`(t2jwWD_!P$oK@jq&uXp_}%ltM_X(A}{^;z&IWCVpAI&k>l3^s{7@gJco_O zxP$h3Xr~^myz4iSv^3%@iB^2QK@8z@E!pZ_Zhi2Ak^Q%60eu!)JnM6;G#1N8&-Bxv zRVLxDkh(s{zYi?^LVOehDtfMTe?(r1tj5Xx*rlI+Ge2GaR$Vgj|9MNe9<0rQd6c?;1A2DP{oeGHuV(UM`4ZjfX!|~4 z#wYkr3%m|;)9Laio%R$twD^=y)6v>I?exWyY!p6^M0};vQ@d*O-O4mCy0F*hE8SrA zv@SW&pQA1YYX|W^OBonvf4>-LdiGL2cLCIIm08s10J_I&B7V{B;0~Y@I0C3|(W3q* z&{fWlq9Z%s$4Pj-zzIM;q$iy`&&IDyX1;vC()nF%6<+ z*N4n}t8Q(ou6A@K>2mh!x5aGz=OPh0`xp4@kEPw04(wCmb1H@9^DJ87eEL@a6gf~n zcHGE!0|C3IE=1;+CS+!7_VS@;*Ja2K0d+tNpyKljIg=P)ZKqO@W&;|HyNTz+glo&rqY=xf+ zJ*iJ6@D#s_<;?fv+tm+Z7Z~%$x3gF!Q!e%c7HiN>Bp;@4V=DbirE8tnVk^;qcvHy_0jL!xdoL^OY_AK40%E zsSDON?}-IVKIbNW>jS9RdaFh@1KlSgx@G890`g_E@C@zL0VR%COZ`-YC*SgU7`}?G zC1E{0vzRaGoJ6MvtTnNbdIPW>*a7SWssUfW16u8iw+DR0(H0Nvpl#!;?N)T6Sh+X~ zzmm3V0nsNsTKbX;i?8;Y!QwRm*4`oNlJEC;ianFOwRpUp)U!RFeIG#Ye8Khk_>6CT zPQ%8}w{%BEcs2Mw3z(jCY>!brS_ZB=Jwe+hH$wBm-dAID>g4iJ%jjNc>By#sx^H7V z!{&c5{BGju^Wy0&WE|PsRV=Q~2no?jC~1|Yf0uUORHQRxC?*(J;>*! zk`JdSN3)4x_GiNLs_OZXdhk1QKDQi>zo?EsZvJOzduU^>%ZuuHY8OyE1>hzZgVp6X z_P8$A!HrVWi%X%X)*0Z#K9K+!4*=n^LnIeaJ1 zqlc0&N4Lz=mu+pp_#Ax;ZESR) zd7=Lk)BtV!i;ZjREn%%5nek-5-PsyXzS__g)>t2Q^&Os_`Xn9sviZT@7p zSN`^(x6|2*uA{D)Ee7eU$iMD4{QrH_j!dy#D!=U+u;*PN)|tAoc;IY!n)(@_iMbNY z$y+t2LS37)v4uQi2*8gQx=8d0@zS=O~@*$pl?7)Yx z3^Kg-r5!EiuN}QkAc*CzP|JAlL?;c~j)))Q$*W>Ycm4-rPfsgly~f-#PwhpWj?RXw z{iTHO&Sqez_=@>1V&Njrw$NFCt{K^2#kmu{WJSQ+OPE7zH-TNnW0%J^^BcN?`ruo0 zBQinX`TXUe5GgqHFt;p%fM&wT@IGKgSz5Vk<5HYZ4$R}HVkYAe9eW!uRe-aUEhIS2Ofxd z^oU2HmGQI^&W3>clnIs}`7qme;#dB^;QTJfSGn_Box<;6d2f=P7pums&Dm3pEk~w4 z`Lji_hhxI`+8AHis0fR%T!`Nq$Ogt!vW&%VA7}9s@YR<27|0!C4Zje1*kfbcD_ZQpsq2Cqpu?D z(|PVYlYt*TYzdSG`J)v!3jIFL3h^aj#uH%Oqxk$;o=ovbrkGV`BeVLPNL_K2yEw~S zoJK27UtWovedgPNQ~m_;-x80DmY$U!+KAHDzR6l#o5CY76b}6HA(Q!uV!zL`Z|C>l zfJz=%KKwqIXe5j~ihup7ACcYKb#gm@# ztuDT=ue$PFjcyzDlRSeFUE}Ccmxpw{qvt?t9<-wS0dOw*DvG-so+2w=mKN}(BcC?r zsu!ZK=Z-&dx*O4LgD2g?PFFFsQ`cw1soI)={Tt5yu-8_HvsXN7s|G)6drPEmCbzyd z4AHkN+Pi#Z*tc1C4D_v&HgulLak4^WEv_#|Tr5NNWi<9PoPC4my9;}rhw_|kzb8BD zd^ZyJT-sA#6-UzPcA;Ayi9@>Eo$h5MU`He;wT`x&>~yq0-pZS7{bFWgXCG%X942*b;t*(t!po939K>S@9-gqTOB?O4rc-R zW}HTqF<-#CZl6GQ66gg^0jGgZK>A0)ndqJY_c>lS_$=V_)X!W`Pcg;uFCRzH&GuyC zb;*~!Gf)jMMRLd|^m+|2&W@21UNxR}DZ1b@^-J9<`Ht5D&P^ z(~-Q7_GD+Jc;2F0Zd@>LK}pHHTZ$Jhx^WSo1-u6cHd`XTB^z@l3`I_MX&V&EwJZa{NUMSk|c?{IdiH&NdYSR1kW@PF7#ztQ72 zqpN$D6VA33Tz*d&gU@e+zi|-$PRCbUDtplNZ4X1YIh{YCE$R4j^|u>&7ufDA4M+82 zD?>e>--%rod|~6Ok=gK+$9DLBUX^pB)#9acDFc@>@a{6;?`?T*k7=poGlEs&zH*d$ zu(yE^!|MWTpV&#g!^zZgGxbhD>(^{rp-+1@^4l2r4P(`B+Ew#y+R(|pH*6;m5|b}K zjJ)8ye4wp%_{$wX^gjH*+Sje`Tkz2UsE?}WRfYXmeJ=G9z-0Nyw~Gf<6jFt zOdEAzJHHdGrowtILnh6!IgUN)0^Sv#PE!5w`2dJH^ph z>Z-!nL!TG^wf-uO-1|7I^W4_zy6(!?9QevZ0^EVGSzwDho4T&=lBvYOlKZ?Y#|v_Y zPwD!Qia#42%Y((!MBA1lzx`;8mG^})THfZ+hX(vfPch220i7K{s$%R>g_--vHZa4Wq#es` zFIfAzHSv2^todxbYR$^E>(*7Qtc@4UUvhoX^+mTPie_9t|JIupF4hZAQkPGLmhtMU zH5Dt?#UEI?VvXnMy6Zw;3kGvjQ1xKd166C+#A{ZpTd}&Lwqo4_@z1SWyXM|Frk|-= zQy#CZy0jp6% zqVjPTRw-V$_MR2@R9D2S*RHK$!oy0s%mR1)+q0vTDyi??E~?(tKuJxSFd=W zHvYM_>&hbo5t^^6ShKPszG7X)inz9%^)WT*|sw2@}XdS(;NVUB6B< zRnr$gx2&kETD_wB`f&a(xaT8ssa@6cINvSl`3vLa6%VXjS5?C_lyT6F+h!FlxIVu~ zKV3>)f;o>Z(|+BGmF$#N57btzWbK3-h51oBX3c;5ps@L@EAH@u2YRFyOI zRjaBh)_pv6@Fi>4vJ0)*;O0av)Y>&wuKzcZOmfFARkeEk>QoLEF46_&v+;Emt61ku zo(JMIdrwt4?G9{_E{{SOcx7?%J2c0@D@E_9>EN>ISr4p?e4<*jwl-dIKi!R!gQD9s z{6z~F&A<8jM1W?@$`46Iu3%KYmJZ9ZW^L7)T813k74dK=gS-Sw$z}jENgka!UC})B zF3L9D78lPN;^K~r^88%F2qBpS1`tf`eJN!fnZG*VjJ4Oc@xkd)BUB6Wt*$ zaF){ifnfI@(iTU-zy-Nc@>Z!eCEQ&i2AQe@0SsckfP>1AwimQsx#EHI>ogb)%ZNt* z#_Ma=K2TN5A?V)~`Fq(w>w(GVs<@QfVh;y6VjXAIGi}`9516a<0T)G!gPF7>I9&|l zfjKi^?oLpFhl6(_j0u6{qUzcQpnII`bcW&^;r?zv?>w3+w-BbzMFG{QZM@ zl#SZ9`vi{KBTY6G=KBLT-gc4qA^G;Ho=dCEy3g@@C1Py{jBazZZxeUUEY2Q%p6+Z; zQ$Iqz%cGA{?{>6w8|lC4pMlo@4UxVTzpeZ{#QRzp0BE1i4I4)j`_o#=MzDvGHvL-(Tb z5&D0B*013HbhR|XqWjd@(2~c&vmfGfEU?edg)Ve_dp;0mmFEt~%8&`$a{^zs(aEem z!?s`8eZJb;i>~Oef~~K`K`dBte;(w+!`7!lbcz6@^QaeibUyXDfZAB@_$A=%KjIw* zV80~LvCm%gjP8Ol2aV($L%{8%%7 z`XYX$XJxo^O#Zs?m9~cY0T?}sdWJ`5M(C^vogJa$5jy5*#g{5Ji+k}W=igLNIP@BP4fj=68++n>42AujcKHux;^1X$lK2-^&Cl-8J-o%H8C9NPJO`746oflss7 z^B?&&I*JvCN&_~tyg2lnNqp1y+fn;HJVAhAARKQ zxjsUy>SG7E5_u=sey3*F{3xy1eY>WJo!)7!Sj{eqEvH%7wR`R9drH1tw4L-JsRl*gV_Cjd^3fyy2&}bUhL1`>@88}Ok!^&(1qgyeS9p1ZmSgdY zvGw)NTp2#gJn8T$hhrZc_&v026oatUOQ`43hWM)IyLu`0La%Mf%kfnSSo^Tf$Dn$u zqJ6#zUp5xy^?Y^!$VaKeva`NK^>=#ure}6#$oyhHvT3uKHkJ3k0W0=ybbC^?XEsr6 ze)Ue}F6ZlK&R6ax)+pfHoJJ=4jKh5npLIA^6Ux&ZHooD(>?F6MdFGd9VmJg?ZcR3r z-W8_cVe|1$WuA*m)k_)pSDbfc$2Aeid^O`og+4YW&tC z_s1aZ3;gZ{kWQ)EMn~#84}2-m<-80QB+!#iB`}-1^;7YvuDDfHm%jQMtxInD)(>3= zzwC7P;5Y8-)%dG%zU3>Ay6#6eg6jdZPn9d_SX+KR{hUYrbdm4wNY0~vOvn7B8cW%z z|Jh)_ZGSAIeytDY*ZS%Ev9>Sf$8^k(2D6MlMdK7-^H}X{Ac)v

z{>|@D@ek#9IPo_STjLP%OXr=&FPov_AIk4g@lRpy?_wPMHQBh0Jq%DzXR$wa5yw$L zzC4E))`@cVa zyFA)w#nnT6CxMIgwHNuR6gsEjodHt$iN-FSs2|fYKiaFlMb7+w6>fKXEcW7?uKKSr zE2aHZcJT56fl&hZxp8+KAbGRf}uP`DdoT(A!Fv-AwuqJu_=3%YX z^!vkoBL;5V!TJQm|6ORs+XCI^*~pi4qge4tug>Xj1S{`p=*nj~Ae&l;YrwyX62wLP zq3;l@r4ih$XUtEJO$1kdS#yBjzZ09z=O!Bsu@l+lBSyro8o|Ca;sZgp@1%2n2r?7!gU56|A zh(0%wUM_s)HXmK#0lJsF$%~4G6Cg4ydAmxwsLy-yD3<)ozNZ7@5V;) z9gW0Lu# z{8t01@>37L;eBpDI)6^$|CDS0ZT;Ux|AAEfAB<;x)!Zrpq*ubY`*{)1^dvLA=p6O+ z%wMV;HWOnDkSd2A@Q?hO^+EeXG5t<8A8ViH`3{h3J@0_u2&Bq=bUhDOCptFrBc6?q z{0v7YI(F|So>XJIrIFt$1F6Qg34Sv$+`bMc#^LmJI6A{=YbYJfld@n9jUF*(U3e|q z^9<)7#z%cF0;gJ6+QYS{YadTF$GY*+0}R)P^y-LXBTx@C0I9}m_HKTM0;I9mTf9Zk zD(cH{iuB_2)v)GKE_5A!>Yc9({n+{G%CI56x8N%0+qAX~+qHevEZz|eWCB@$9Ip8_azI@>SiNf0@-9do7zTa%`E(#z>m)CE#NKa$KhoH89+8r3t#(c`F{4^@O&S8 zAnf-9u=?E$zXi}|{<-j!t48P!AO2lEDn>UBrS1A{`h(G_+8m5$ zJ`_(0@Xw_e&57FmRmY<7?{niHZQpVl9TSU5wxxsQXDD0EXDh#oy7sj{aBHFWYuxL) zKAr*l^UiYYpC!Z_p58zkMf+qmP}F`IJoRlZaR`*JJcsigE{O089bFXR%k%r;OMc#u zqW;N`_T|`fX~Fwzt^fy2_^EKNlb5=_8EshpWM^~~N9FH?R_+qCKg-47`yOomDVFL; ztTm3VjqvLt^m0dU1WzVM?O>g=UInYY+Wnj}0ku))bQRMibbcDqZ9=cv>6UxCvaR=M zeGlz}wHLN?0=tvW*7{uO+35PP19~=X=XoICDp9Q18_~~qd7SHE$qJxNw$rsE|MFwF zVF=pvgymCy%-0^r+XD9em|hcnzb)D6>>7{c<;(&01R&bKRCH&ZeDa;B0JDY<`gWs7 z{JrBBNBBn_zcRu<=J@pyez)T{Ucl!wKKLs7PHfg<_nkMV+v{+s5sS@SykbJx;|FI{ z{?3D0SI;{aoB80aGm;4J+q(4X%*9Ky#=UtUpl8mzKl|A+PfV-ay>I$!S7A4GTfF3- zE{n~aw?6xa-`z0jIehdk?T`Iz@vWIxAA4MS)1K>FpS}Fe$CERk+MIb*HjD2ZJN`Jo zW81KA#*Bw{O>R1V|M-{CS9?Euebb~#y{pIFKJV76k6*DXQMGM%at3xY659g%l8P1M zmcOwn=SL&AO`X2`VDhsMF3v1jUy(hj3Saj<8R|Itr?e&8%-#+0Dq>88^{CCHvaJ5t zwQ8exLo)ZN{#at(UfSK8`xC_qe{%PM zJ$>iZng5%7phv9ZpMCS;x63czb*=j9bm-59_+7-OoPGbP)!8qP+&X32?t_WfX-_dL zXV?Cl!2cB0)u(7I@)h*=wFB{;Z-(t>HXgia?&wqCJIJrowOq-c>@6>E>*M7Q<){zJ zmvoh*RB>63X3X1^lOumAauw>_YU3N^YzFD==PU$?IL~h{C2=evR=5asx$HykWn%Fw=D+kZT?%TaB=LgE~fen*27iR6+9FG%E zZrWS1gRgIxysv88VC1)PpoN-;~Mduj7NeD!y~HtQpa zTeEV%_2Tuj&wlH=|M7n=i@o;U_1WX=_RZ+UXHaiAn3(>;zrRj-mA~oopMGO}_Swr~ ze!cS8WAT0IyQfKSdglDhYj&-kFfr?vtH&I?Kc|U#_|qT!Rs5CGy@{DW|Et-<)j9p* zv+?CuP7d;Y=)i_aE30--ol;qq^GfONX|JU}F@0v<-kD7=^v7mBedjgDo?0Kj=TA3h zzf`ew%u?3j&(zPy*2l|$c;3Ei1#0(@Y_lF=tv)j0jt7@sbNh?yC-%ItVWNBt`g^O#@A;VK_ui>1)7NP)xcc_*J{;^Z^7GjTH;+{=D_3nEJMQ@U>}9*E#*Ixp zG4UWx&b|4`>}=?l(;u8-vX>dp1Aq9$xU%V+CrnP?7H<;$()wvV zy_=@wq(2mIVtpN4@=(r!D>jdd_il<$P2Uyp{%qv7`1sPzakbn0U{!p=u}xW8lNa!x z*&Uzq@y%m;;U7>xnVYYCiCj-nFOzbIqa*F!=+D)6sub@w_ z{o{t5yYrsRozk{C`Pz&3=e$z*#H6pj`B+l&AL18(6TiyX^o)EW_hkAb$pa&|#&f*GRQ zY$wvzMCCGf@vR@uY&(#g8sPsI-C$oIKQ)WB%wF`1U7_xbzWc|IfAfIiJa79mcYSJB zj$S+$`{@;rUJ%pIBJ}IKHc!g=#_D9ymyug1uMDm+{juqb*$Wiw67aIk;}uu$t`Pqm zJfrPE{0{b*pE{moRsZKZ!CDk=Jp0bizq=u>Yh~q(hsO7iNA~+9*TwOB8@?0Itf&~j z{P?B`If-4*sw>#9!XUHD~di$x7v%G5T6~ zElN&bymUyn-6ThW@dBM_^<4$7`JE4w)paq+j0+7?aF0>L#?0T$f!mH109LUZT2Hy_S1ouJM-UAdt@@NqzG zS1ygezKe%Ge*q5%jZ`}B{`zOTP*2jCk3mn^&?0srec23q4@#nA9UcdS3F;^@Z zlhtr%RvFj60FK{3VM6a?lP70wi{F*l7XMoMw#i>hY@YJ^077d-mo>UeT)4kS8Jz&Jzx9ewf8+S z>8|hHKjBAXwxP3a;~&a=lh#9uzf zy!QA9mTaE<+zs2}D{ttJO(DjYxt1`Gf_)`6_y@k7`t-4`Vkeg$x zHcvSq9nRM}D;;b6T2k|N$v3OU-&MGK`t$R*=6r>|?pnGn{_?@9@e{J1oc2c5lan}G zC6B#yF1QBo`bO2bJI08=Yx0i{R*W6@<`=mRZwtwWN67 z`261FQ{*mdR~32MJw5Tz?%aKh$3U#BQpmp$b zkh8`3zZ?HL!;HD2KcV@x^DocEe|XiddaTXNZmdTr79ir$FaR zUkmzZxiWk8Uw+=+$Ik`l0Odl^eRqFs z$+3a?{VMs&Oz+R?rO%3g_ZwB?_DH#^rLGB_wMC= zUtq7~Y|Xy-*7vHoCRAnTcs~E+g@cLb=WmPe-O7EzV-Lqyq(7wR08?Ij=7pJxXR({x zjemM>!rtSo%VUq_zMkC1S!(xG?a5bjj=%SXuV1S??>oMF?9+=E>pmezah*7RfA-7T z!?PYq-udPq<-GOgxp>dSt;v0TUkc~%_r9Ea;>YWgd*A&0#AJH^q*t!r7VKx^+n$Oi zyU)d6z3P!aK54b-#MRtn7OTMKJ@^zKE}Ux_nPGR-u0aKo|rP3c)v1obMlTiADi-A z_ZI`avhUGJuX0{iKJUotpOj6!UpfA8{3nUc6JP$t>Z~W%D@vndTndC8IS<1b35BJz_ah5o+VPEpN?q?2GBv%sOYwR(Tm+s14 z$#XCH;J%#u^R4mZL%ZX5(dVaw-0NO_*VO&2ZSL8VrR4n1Hy%r_;GXTSJmQAmLwr98 z&N162zw*Yp*fG}Lo!_fU?&18&H8=Ux`ik+{y60t$=z2SezD?!YHZGh?$=jbkH+|I& z#Pwe{O#E8jlS%f4oY$|qFS+D%=dypuxqRg>zdAK{>E0Q-e}DSe{o{Y|dG7hUtE}xQ zP0XFizx-p)=Ic4bKa|Ys<(`WBX|3J;?7dGte{M>7RsWc=Pxmv9_fNQ+abCqKgs-~m;o@(wUvbvrSzuxs>qhxv{c{d_Zu)Dx zRwOh3_T1Ii99-?@>b~IlMCS7=#*E>a2m5C5oTHaH9IPYG89Br?w(;3`vTjwdkG=FJ z*Mz&D%&oZLq3q>1?@9uiyV=W+-!I)suUxg6b<7^odv3}rZok$Z&3)9ADd}4$z3Svo z>nu2fbLH`KTn`?}*~9fj=fNCwdYn#BpSYQQpg&gn&8L!IdE?x~AOGTu$sggLbvyYd zKYl#{^85ev z`JB5GTa)C6wYN2SH+y%^o1f24W^K#;d|`il&(?D}yWJV+*wxQFySCdnM0{`@Z=U{3eP@8V3Cb?{vL zC!B>6_w|pTz02rKjQ?st-^sZK_#FrO&ff=t zQNZs4T-JjB$*u2s{T}ck;KP94YbLuaU^FlWNcHXv*q6$s3|z{Wa5JDc@_hza02Bj@fl`2fj~lxO zxEH7b^cp{2Z>66<#`ua)Y(4NfU<2?7P!DVd9s|ArJPv#b*a18V>;#?$o&mlBGy(g7 z13)wI0`PU4fuQDIPfFj72q}Cb>OGKDc~1CAHdHU zV`;z#f!_nJ0pdUcmYk(SH z9k3pF5U2w-0*?TjfGxmQU>oqo|I6OHz(-Y_{o}hyfT#fy2pTo&im0e42?RuqvbjM( z)XipdHMIeP1PPczuvDW)1;v(1tY}dwiHH@uL}Rszx$Q%QPkYDXhX$L6s(ln z{=eUuImzJ|djG#~e{VUTJUh?#xy>_k=FFLM*$wDn(4(NoLB9e$1$r8^8srDnfNDX% z1-%4%74$l29cTmSEznlbHqds^PSE?H4?w#>pMY9GpMmy(z5;y%`ZMSdC6IUP5 zDWJZf0iZ#kGePHo27@jDT?`rqiUW-TjR9Q^N(QBZ(m?5;8$e!A7APB(4=Myr1>Fpq z4!Q+23sef452^s&2l^@Km!RK(UIMKJy$)Ir+6;Od)Bt)Hv;*`Ws0p+S^a-dH)DHR# zv>Ws}=ntShpg)54g8l^h-`mtXoaVddTpw6BzT+8*1B&wKck%8dD0iLu-=(Hq1Z3t$ z9d;pho_a^^fPOdaur6!2g~{(Z3_?tLRlv6xKD6V3%kMzMY29+@42y0Mbk}O#TIj~C zSAJoT@i*}%Yh5FBi?uEsGMNv;vt+KUm!(H1ddat+GAzNvl0eNn1&sNIh5KY*5j{?(0bDo0!cvD!h*u@hx4 zlm}2A203=1eV}j<D9^;XTMAAY(m0M#*=U%{+(h#P25vN(l^1z1e-mm+CeL-S7C_7$h zPR+8vP{(Vi!|dZR?_qx-E{_Lpj8_QEvdAW<9p%GNn)}flYs8BX%Zir)hoU|M^-;eX znBVzn)Oam0|L^Um8gB*W?|bgmco#7JQu8k?kH(R} zoM-yqq3U%3(>_|`IACj@ZX5R4aG?#C+Hi#pSK4s34cFRmoedkn9Jgb1zU{zlUxvn= z(jHB)ZG3Ou(Wu5JRng$te=M!-jgy!b|Fc_9-xupi#QHb(i9Mr)CZ;`P;3G!<;;* zBUjgB#k8x1oaJg=uF++QE-O)*$0p3=X2+)dcx6w0+OzD~2%Wl3jt|s4W7Q_0T^vQ$ zEfF%==dh0iS$Ve64Lx%ogOU`B@9dg5-GXVy&8oNC+i|MJKhEaQcqGPSPSMECj4dnr zkNZ&PYxdc;54(?5^O*g9sm6aU+DM;5-Tt1MMSbM1?)g0id@RTX8Umu8o4vH}>z-`v zKIS<@2K*oXu;;>ifm_kOZ6E_=|4wLXpKwPyXcEW+YP9qP?;-92ZU(i3c7r-VdqJI` z{h$M&!yv~;I95R6AkI0pkOe?iy9ns4`4!?gGdIeM^?t0=cKki)OELGALC*8mN|YSO z?a+5+-`2vJML8RO10knz3yJzBD)b z#X`@GwlUgvD{Q%OZRmoG>zWZLY2OLm0W%h`%nfCC>jCs;>duG%82EEs-K67;X~uaH z#La3~tnD7sc7?Dh)^@!9SOz)sc~0vqpj!koW0+-6`RKI%N@324_|Bn@*^ZLOn1ON| zh`1d4WCJVpS!W$O8*}~U> zFO+L)RUh+Y9W3{vG`H>?agBoC9x%5^+?XtERryg)p6!T%oIMzhG6KZ1Qrpp&b+R4Y zsAGM!Gy91;GoF>V$EGi7uO&8P+GA73*wL`%hFMw@_d=ZFsrCa!67JiI{PqgrE3qQ%idn|mMh4)$b1PebDe1WAe6|7+kM*N(XX#5lD&9s?0`W9iX)A4;y-U)FZZw0yP3W@-Mv1JmCu zj~Az1#=(6Q#9>~Yz_ew3Jpa6|<>8;|-OGTG^OGMg$hp5vAFD1=>uAf3`3H2qxzJhL zY36VKp9b1n_4fShbew7(hyBU1ya^>UU4@b|mTPq$%fPc9=DXa&FSYR17JjjXue9)s zz?;W7>#%N&&Esqr(e6 z>=X7EH*-Idr(G%R|Jv5`{_6e>sP6sx_wB>IsE;FYx30Gt<>B4xK2J}&n9tSzT?V^d zAm+c^!oO_cD=mDjh2Lc1w_5l*3%|<3ueR`OEc`YLZ&>)H7QWHKS6ldig~8Ty6$h<(L!xyEJ*k(8VLLd=bQ)D9nR*8Oegd$M6Y9om;`L`Mbd=;!Q(l54o~ zcf#dwJFWQwzX<`F5BxGp{w`=A*zh`qHoG9pz$ zz87RY?>P3TzAlHHW9%{5a$m+kz5udCQVsz&_Q8S*Rr0!x`wSu(dp_*ab*x3O;r?AF zZTmTwqvW_* z6Mc{J9r`8idx1DcE`*F@gugEr30b0+Uj#Yl9?H2!iUf{;&UYNYvL5_Ov|luIi;v^C zrU$=L?H2>xrsMeS?!m82`^7?c7{uq#m*_Uf?p1B>p@VE9)nAeDjf0O9+FV)obEfN9 z#Kk?x$|19E<`}7j+{&9a=5fwC3J{z9&A!eB&#egMTJ1|Yd3jw1Icq9JX;P=QGkvYG z%cXrk=VI-*0OcZ31!(A3dOU(R#~Src#HWq9neo^!o3y?ZzO>_Z{IZ|3N!wRz`_&rP z>hfh>7NL#u*gzS8%;f7d&w2`Vz9#P^gN^gUhp^*2kQ}o`uq$VuK^FceJYPpiI)L*4 z>m~1mE$d{THUqOySD~y1QJ)GwCuF8Ab;Q(LeW+)?Eaz(^w@JX|;AP(cvt%AD>GSt&J*|IwlJQw+31afRx)5au zf_Hq4=L;Zff3Z&1Ukf?MXCZ8hK-SG}Ph1R}YWS=MG4@)N|LC(a)>rlo_A#g&Q~@dl zF`g+WzW}mDAa49zin^D9SS|-qR*AA2#BvqL+(N)~z<00qCqCZRQ$O}3V~ogs_^nrv zm1jS$zV~?1|GVVumtyqKCd;!5_5jDu{QcM;5jbZ>A3Nt!S836)Kh1k)wdmKL^h;q+ zyJaY?8*RCn^;l!A)v@gQb{%WCCDvbyQ+J#=L%&ry4MWL|bDU)G!RH8n-==)%xEgW# zBF0wKXT}PFd@ba4ApS=82hef;-;a{IM#!5%e`~XjZ*y<-B;$O1yzQ^)m`m87k;i>1 zFY;j)KHS1bfM=`-*o4!^w*567OD13;aigcR^exK=>9m^|oZP(mu+odvl-r-J%` zXx9l{Gia-}V?1j=gi(O4CmH9lq2HMn(oB9FPu^{Df;-Uzst3BqReRT5LCrA$_;1Mzte@Vd^#^C7DMEdo`7mVv54;i33{MxejPwzIB}!&To$zQ0?DHsdbe zzqFY-|0kBbHZk8_cH`Y;H*or4-Xp^}Lx;y436uZ3D*`2D{9j$ez@H6WB+8StT>$R~ zm^$@;yqu0xVG|1*^Z$7z{EIP;UsuR6b#aU`cjjc}F$&f}CEIbl(aZkeJKQ{e5{}9i z%VUmlh5@I7OkF5sbr>JqXv_b3zZIBklXjH!DTRDK$h;Rz*%08xAZwgWu#bc6cyZQ3 zR|o28vmPs^MNcx;w+k^sZ9$k*JLKlOfF^G>>nWajUCZYY?x1Q<`a;!i)0eT$jrCJ+ zFHQabt0ntkHQLYe07~{pOuqVmV;qM@Zn$GG%2LdtY`a+&1DoT5@>Rfm*E?7D(aXU6 zzROgNHv#ir{H+={0?WRXWk2T}E#C{w9Lh9449w>QcWNAgq^Mu0aV#*;DGzBp44Cgy zS8AMS(@z5CSg+Rl0;$Kfj?Tl3Q>Npr)piTC9ox;k%~JJ8cl~Q%$Ny`$Rr>`r{!rs> zz$wswp>Z?tDBz3lS7U20@HpT?jSmA~1N^MU5h1-CarDVZbinYc);; zX1@EeAJ2unM9Y1UM*=^jagnwwMEiKYtkUvwEk_91@6TxYQY|lnHJ{HtujOkXr~exo z2Q2=~gB!;|z1BBF&;7eecSGAJ0L!^d<5Xbg`3H@C zzI^N4yNUH_A$kk7b~>IK~2?I?yH%VMVgX8bdLs@hix z%zOuHTn)^8+#1(ve67ZHz|1FGV?*O&joUT8UE@xT7i;VYSN+4_ zQDe8pUuf*n_<+WR8lU_#)xJ`VV>PbO_%e+vHFj%Ut+7|*T8)b|uG9E7jSY<#Y22>y z6B>7FyhdZkNvi$pG>+8R(AcH%XBx+8ykBFt#=RG-_IWgp(YR3Ki#0CQI8oyYjjz|Z zQsY96t2LgZajnJ+G_KS5F^vt4S8Lp^@#`9QYP?Nj$H}Vwtr|ya+^Mk(nCIM(pR4x8 z0bdLpuCW_<81P7qJ-|bO3pFkTP5^#T<5FN=JFnBY0(dm==NeZ6a}5&vkgBg5cr5UB z8rK332L8Fmb-?k!wHh11%;z(W+kvkH?vEcn;QY}E%r(tKjUA`-awG%arEw%M^Q+g` z1?&dy&^QkGD&UJMRXjH^^P8rz2bgQ2XEZJZ?rGzkQ3{=T9k2jA^J8gU`&R!I|61q< zA`au5akl9=n=ElmU57A@e;{(7PXHkzR|M0#zUjip<90Sa8^nk`gfw^B_)_4r?5a16qPS^TF8s`FE z0sUD^Rs3RLuAOrh=S3tv^=d zUBKgk=V{yl%=1W{#s`4eZ`|x9*9Z;T&ec!(85+j{b1nRd#%^GK@AgZLJ-|F4eXDVy zw(s+ps;3k<0{YW5uF(1+8dm~yJSAvc4a{qvWQ}Vz&d|6HnB%QbV*{A^m1x`!%yyS+ z+zHJ59?;m)U*X3!j?~z%u?v{>zo~H?Fyn92*bU6~G;8buW_^1!F4TCx#--XmsBr}_ z`!51N#KQeh3C#LV)wmj%{c*m=wc3BA#&ufn*4O~%oN&Fy?ZC`;ipHJ5j6X+XN2JRC z9*rY`*`E(->;k6$6B@^9``>8n24=ihHTG!ymd1q|H)&i7%=o)Ct^j6#b!uD*%>Fo} zakbX>TBiD^7MS%#YFr1*c;{$r0JFa?(YPI$*P>Tw+^Ov+YV3$o`fQCOff;|g#x7va zU$_GtUn8W#dHzc(~41?F+PMdJ#Mn>DTkW`BRJaWydOcRsG$ zuW_`-b---zg&G^cZ0{(I+kx4haT<4OeU`?K0jj>6HI4+9?bX<&@q-%20WedDMO9*a~;y=d`=c z{qk1&{3~?)j816&6MA5{Z^o=?b1$7xHo0WRRF{3z+T>eHitH+2i?#><;px*#Zktv% zW9sA{TwUGTOK+G`GUG?{rdh2l+8*k*X>I<)Q*NC%XT~&s|DcD>)b>;>TBLn1?V(ni z)>bRDnLn8|<<^oO>ZC~bmG{!uaWm^Z6?=nhZ1ZSw50@ssBiO~U}Vb*61E*tEwE z0IP56v{^Hb?@O)Cmz7~$T>5=Sgc)wtb|Z$WaL+T?z57_{&EpjCLg?xGu-vXjgn(+<(Hfd?JSvJ#eB2 z{?~fo#=&E6`oVeU-gUu{#B1FDYgw50WE~;>oM&EwYh0F>s%z!5hpT&ct8}S;Yqa}5 zZ~*c~ty?3@?)$r)HtdK~v1rHper#~}`*e6;7IMBj!k2U}O7P-|vVnomO zLyjX)JdWIFkz3=JSmb72R{dg&-kR?!i`;4-u*j|UjTX7pey>GtwGSKF-EKas9*6PA zdrr5Yx$xoG?p&ejr~qCh<0u=}%WXMi{C?Rt z+O8IOKFIF7sR!R(J=pD)GF;b7dsT<^llLaW6V#j#YbnkB#p5dhaw|@?VV?~b*>IT+ zFR$!?iYC zXTydKx7%>14LdHkwcmzaHXLWeZX5R4aG?#C+Hi#pSK4s34cFRmoedi{+-}32HjEdK zjyaYiZP;bQaW?F>VUG8&Bit=ftb7z#?DxWo$mlk(Dk1C%&dDbj5&Qyzh#;vBStK7=ZoLpLJ+Luh8HKj;_ z@>%n`9yFED?&fdplDb^wrCrs!%FBwoGA*A|++|iicgFOte99(w1uCCs$={mit+S?0 zH}jcqi4SwL>hjyWs&XZnLX^oCKHb8Xr*`*SnpN(x^w0S2e#^DkOgIjItwmlwsk`5< zv&gTv@Hbfaa!*%NT;;y*e)C#wGQ0cDXO(Al_nS4(@*6DumTQUc>F&3DYn;+qU8sE4 zjIPK3<&)=jJ!LE((q-a0x0`j9pVtkp>1JJHEqwWm*(LPo39obAf4%m}PudLgDh# z$#cr|b7r*V=CYrd^5gVd(Tv;7NdFQ2HvJ#$x4EU0i>A$;Hv@aEym%TKulb@GlV{yJ zyNIZKYRSAQO6)2>Uca4h_5$Kq`VG_H-?5qZ{qX(E^ke7jO~AY#w;JUdo30(0_e$Nr zR`YGA#>pBxCMbQX#*rG2)7YhPy2f!DPt@2AY`wSWk$OBgk@euY2}-{2$ObZxN$_K? zrLdcC^IdGi%WZfKFk@V=>ka_(nTQz5j?dC(y*!eoQMZoN*a*dY(M*=^n@oF8%{C`eQXnCFXTduJI z%(%~K+%Em_{<6lMI?fpVn`?dVHr>V{6HM+|&2} zdXn+^LyY{NZr#7tVd$7F`CQ1lF~^8!)fj#mIdCsD!>%1<-AwuJFcW?r~E1JDSyg)%AfL{@(+W&r~E1JDSyg) z%AfL{@~6C~{3-7#f69BxpK^QtoRGpHw~sTuUbZjoC=@g*^@-q&Bif>SPTEyRD`CW;jx*r3uFSYnEmod;; z``;RX^8K)PYa8;Z7Czm=PXhn9H+5d)_qoP+)c3mFC|S=?lyM+7n!E?`JScrADWi_E zTrFc=)_KzGn}0>dm?yU5_rO2Vw;|>mau(k%+3{;q8vFuC*D;_O?DOx-IDU#SHVV9I zERip=@P!t>7(CY%#jxRAW&m?51g88JE$^_&OSIgPd93|xEswFuOSL>s%UOs0*yr3h z2X>U_!tSr>=Ud`jm!CQz8%txxv~Sk_8PcUx$6Zglo@C~Fjk-m$UY9c*vACwF)a~ko zJluzO5H3iU$7dZdZOfrwh0;7vEC5~vGUu4Zn(v$!?x>#K{hP7#wY&mlftIgE9B$nA z9>^$XJl4_E#yXcFr^wa#KRh7TNgSj3YVfSnw2Ot@rFF}pTLm)5nHgt@#g}?>1fn1|m*J%nI;v zDA`Wxh!cRvXc>JdO9Xan8T~0s1*R`!5pyGE{>+(jmeloRNBizg^_kfR);5^qwkH{n zQO4lD^=0>REC#8~jHjWku*O;}Bd`y`_3m~#O&QxziPg0U){Xg@o8E25>^C#7o?`Xn%UG;q74qdV zRgKb&tLiy+99iRrD}!S`uP{$=TFUj7D^GnV)j(_xFy@f}*)R~dp6gTfTI)00W*zed zh*6>A@a{+)C>}HdG!m2m8U-2+x*RkHbOp#7*L`dPGAGOV*=g3>v#k4=>9+g(WY&G# zj5Zt(bG~c0>37*M{mrdA&-P(^4UXH!sJ3yC0Ui=({C$uN`mL;ml7*Yf zWmip2`omd$lHR*DrfzUM>5;n08%e44<=;%I-}1V%{%1#4uYd4&B(CKczYcWL8xOaV z7OZ=J{pr{AyY6gv;}f5{-2;at_5Bg)758Z$k@_aJhBUf|x47zl`LlcK?;KlSf7fEt z%DR4+l8Wok*?(32OMkqyZs6KZ_wr5YNlhn_PSJHmCOaHQ#zvh@3U@!^y~O=Qcv<~z z?GGe1&0m>x|Cra^Cl{|?|JKNx*H=y;Ex%ekM>?zSg{6J#e?D|ua_$uuZ8-eg)C~tR zef1l^ea3zDlMlKJT%<4EBS(@RPMY9D*2I^ zlaq!uF5D0(TeG3CN5)>%YppxviC-o~gfu04ejc9UsCZ~&AmR5L7tY+e zVb2BgQk;aP`R-ZrF0|xAmd( zm(`yhwyUmo4r!?SNImI@J9zGE?)-22*FQCV^oBd4=WhJX*H3Odzjq*I|B)_k-`XxKj`Q+5OwgdL{j( zKPe$;+ug6bN8Pl{T|1}J{kzK-yDv?7(EZ)+Meb*=C%v+MK=tQuy1)BP;Et++fp4k}K))i%Ab8&3-x}`MilDx%gqye_kt3WOSkj{=0fW9@l8{R?sjf z-WLPy2c6hX^Z+mSiEDo!3=ZmRi18@JE&YSRsPKdSVImaY zJ3r`85S`7ES#aMVoj;x(`t9zn31HmB;-O1QhrQfpVV>7Vb}?*ePCwIwU5%Nh}95=&Cl6 z!gwRlp}&;wKT+;iN>Hl6r35P0V6cdF1B!$G)Zh}7h9}d=^oKY4nnXEc_HPpDEc>9B z?w^HD6?y%F!7Rg>-6U=R7abb^ma|nX{cSLq<8(UfMQ>PV7i@GEhziIK`X`Bvs~^)|4`^=TB_L+*lZv$QG# zcL%LRlybgaic26aqF6a!0T#|T%R)SG4)=D=*!96d7w*h<4jkMh7WEAV2cB`e!;$T| zL)-!2DJWCc<5~kJnJ)5IME#dGB7m$ zN%*gE1c#&g>&016WQ@=76u3RUBp>>^O>F!F2XYt^!k%lvSJhfX%8RlqDhSv?_mN?j z+zy8O9|iV*ySe|t3>wuYe!@s{|I=O^sg+T#Bw&=Q$uLR+7(~fJ(J{04*ICZ&)T3?U z4w*;!H8KzCU@}4$;-wGR37Z^Z1DICfgH3_1PF$-ThQP9AO*EpvgKs>-^*a;?8+JLw z&F^sFBt!&*nT8W1>tb*iI7=O^8!DYy^-GE{5&E**M82&5@YS;ZCV01GBU-tN_MF7V zO%0un)@cp<5qYmeEbqWQ=CGUUm+->Umt*80O0Pljh^3c}Jq`6Y3I| zRLW$gDf3@Q^CG8sSelGm`N4*zPVsdknQEtq+)ZY+ z6N8^qllYvyaI1=x4!0IDhrk*95_;_37|5E$1+8*lJ_V0m(&K97ajNw2DUa8b#}_CL zHUyj^3%d*bEbaR$d+HLGxI)>_KULPI;DA179w`0gH?V6B)7viw2C60Ut7hCu+Ki| zcL~Q_RO%lp4$R>>F=v=#wqwa+r}*faK+xoCuIlFRaj)-^AL+NQi@!6nn?DlO&EGk2 zUGRw|MLCH}=J%g@&>tsuh4W}nM)d`aVq|zQ*eK%hS+(O=;Z-{x56Aoy8Vr*S>jyFR zQ5Ak9p-r5nz%L|-P+*}1-}RAZ3jom8r%+Vw$Uv;?RIIhq=q+WGDZxFTNRM$6%vazF z0Ju+)GT&|t?q7#tx}6Q&A{Hs{C}nevw26~85wMvkZHko5SJ%lnXGoh{y5d|QZ6+z3 zCfKxy;R*yKxKe=^B#2jFr3$qJMVt8a_e_b^eIjj~%I2pM?Cvca^dkv`0!0#hp+F7* zTDJg2)sBQdRXgJP$c}yz0`%<_>)FwxmC+BStfQ;GnZPaLFJq)lKV@^3wAmzW*iGZ5 zO_Q?u<0M(xX^k)1~7uoMzK%CAX3&VST=SwYK~IhfTB(8|IF|* z?AB76iaBWk4wX|R=sQt@la=pXE=LB1t)XfL}Li5zP~%LlXch`!k9*@yVy= zu@)j7B9+54(!sABRw;+gDA0YaVsC07nAtRS&?vOEYDZBR_J5d6vVkE}ry|37l4~eE z3jPPm{eBetruw!UPls)+bf$s$7aQ6p?(fRsE@gTny~V9k%wul45~oV>BVA(trc?{} z(RcX4s@;mq9}Gd-_9H_x*v%!9rwTHzMI9fe$rtNdK_-w&gGF}bbA^xU>|b@WeVfOOS! zIpC3Q8AW1ao7r;}z%rK$sZhBLBgyt# zQCtS@i^*>_lW65-p-$pG@JK?S_2m8gS zxW)KshLMEEY;}dA%ssSdpZF{(5X{?+@sH!6z^UdxRw15gl&6F#5-e9BOM*ufNSENJ z3S1?@JqlbV!F&bI1wfJ$rR=w@W=~}Uw+Oeg`3o-LTEyiF?3Lg$1==JyUxD`|h*e;- z1OpXV3xG;KN6{p{#3l5glZ;cu#Us`Sqk1=r!6=)=E{LOXE!#}>$;JrLpXxr&M$ud9 z14=$p9E#WSZ@_rM#TLwFhG+>M4T{KBL9pA&o(~qv!6>#n#d#>&#E!1!{a7XBLtzw% zM-eEjO>FH_=PLCmrM``7xxR|P{fqf$VSQx;!g!%P)L0Ylk8Jfvcuozb)7a^0${f_c z-s#9_O?o5N+j#H3OwYkE2iIk#^xF_~6K);^tJ84dq#vl0X5)I`3mAT z5&FK|vx_7+_?`q0Nbt1+w@c8Wz$^*c6eyJ70|hb(W@3z9L&nd^D*+t#!w*nY?U;s& z--weHm(fBbeN1H6YdySB?z?vm3{W z|83rr=QX@*!dpb$a5Tpm zd=A1Ye=fKmf|KXYWH4y?R1^(M92E}7nh0-(S6q@32oAcWMLeJ!OQmBW950}wh=3ZP zmvW{oGdFs8i^x^xNz%L+7T@8=bX!>A$6!#;Arzh^+pc+c+nUJCJi45(T>43uQdn-H zOCFeKmCM#{m*~uVx_on)Y|ST7RQcz_@?pB4Sh4_qquGUx+ z^Pu4m`{}+lVYxfvW1P5VXc99GdFj;T;Q9Cv2G7twE#fZb7aF`AS+w~hk=Cd9iQ_gu zZvlKLxfpQoN-h@MM#;Iry(YOK;9ij2P;iffL&t4EVfZ7*uZc1I(c{+?t3l_F8NY3< zBhBHD9ltHyiPANGTQ18XRBu zm@uO1#bXrZqHXEoNr=7{kM0NtojI4{I`@G~WmlJ?_A1=#K|be$Z4q-}0dN4nU5(@@ zJJ_(u5o`wLWR8x$V{_P=u>96-iJ`fT9^X#oo!QNfLKszlbZ5WL8?Fcn@X1TYRz|MQVP2nuww{ z0w10vPkx3GlDRWPJOgbsPC+NZ*qau)q1ut>URj%$nwOWf!R@>^HzPM|P^gicm64Z$ zGB0DFmTOIG2QVMjhl$T2&8!pO zyvsXBnc|zj9L4Ou7oVApDWVZJZQ{z0aK;kGCD?r-!KaV`CZFfwR|12r>zsj+i~lId2Qkq=^aqs zKZl!~nG(R%=hp1cX~{t{7XY=btOYlWoLv8aNn0{4<dH?MlOP9Wa3&yt>GG0Ik0&83e9a;jXqh$ zK3NYtEP>f4Tj?tfe#pK)aFJ~JPKadg^T{ynTWKWIo=5Iph)SsAr1(QJyknS6MkY8( za?C6lT-$?S;=#y^DCCv1DJ%#3YK>#Xc#*}tYLV9(=5-;gbY5{_zZSC_FjeHPJc=85 zGQ*oxhQpz(7a35BF=H^()`#S)zIy9wlWC`)8H?A_*Q-;0^#dFGrCnegPTIi}xVT1R1A(sO+{Upsf$h_o;in(tWyePn6&$ z1%?A4mIp1s%I6N{vs(J}l|H*Jlns3mqPj3O zRV%-I@PWo3hyv$I( zu22`NP&pKcpDV-Lq+x)Dmvk9AmEmP58byh$(~C+t`{t`UQ&?v{>y+!`Soh|W0%4xC zK)73U;-JV#3q-iZH{iT(FF>SQe62xiAlfVKwn307?Y4vSxqSezdzSzYNCGiNATkh( z1-B>3EgIfI&?#ZodKSS@)pIYnu6ll=L03H`5U6@?2G>>34+xH}C&ewMs(QjK?Qy|S z)pG{8u6m*flDrv#XxWk0o*FYdxS#E3fHB3|tf z6E>5nbqM1XGHV^dnOAW2(cuu|-lfEW=bJB*!IOwEFjYGi;6BOjQ0)65*!Q8qD%h*_ zKN+?Dms~h@@@UCLfEy+`F5d=&!|)!9qG{%!tEzU4M8HRI`y{`KN6>VJ4GWHey2|f? zeTcH}kK$m%P&^NL+Tn=*aZui~IS^X4BNw@hP`M0*jm+h@_%CQGm(`MEF29i+bK(D7 zQwzwSN{$Q2#ggL!@?Oa?)A`_#>7yu+=@nHw9z=C}LfS-aBQt&TJelc3P^(OHmHjjn z2OCnIqVXAIniq67iJLdeIW!tif=ZmhaA~mIDSqF;o_*OVe)9quJcM`(Ox2EaQO`Xg zE#gMj5E@K`y=>Memosq}Qrjsxu5Gt~Lz4WzcU3z&LaKJ8Bf3?V7EItau3ln(smMFeOsni3a zS_9#J58sPeg!iALQk37#!2uBm{RN^V1hU~$=3h2o&_RE(xCnz2m#ktT>WhlT+$!S_ zI2msq-1ex$vE5k|wa{svPZG`kG{o=DUXP2WK)CNtoH>mQe`Kx4AEEA4tr&?;llOvK zGO<(c0L0!+N3k!&yO+n0Z_t=Te?%7cBW>cP9UR_Y zorO^o8hi^isJbduUB5!XS90F$!$+FgNcVK4i+j+Uvva%;C%+NKRD7Hkil?UgFHsTZ>j;Z=gawue<>JrS@4O8m zS}=t61R_QoPJei-cwzgIAa3wC-8;}__``7nQala`u4xZ8R60aF-ocmaiHgS^j(uVN z@DJH=wEI8|+T9cg8!)&sQN_| zQRiYGwusNpl-V^%Tb{S>Q6>+eP~$BQ?b)yQqp!8dUxK48t96>clpWqb$QP2z#D|Ab z@`aw8js$}|EK80KxKj4fn^LpfAyTyl$7Q&IBkXk4&*N^{fL@J7QK#r*Fw_Vsib`RG z6-NhL#dmzf9WugNhX~OTjH3g3Z+A|Mik0(2NN_+{LL(VYQF9 zS`6%=t$1JNXiy(Nd|xi|3_0I?bP)!T;mm9jJHQQ^-72=A^tEoP#1labTYn7NIrN}E zT0DT^K|!2%+Fiy?{xOgzdPUM@fgquq!*~-+>cVfL)g)!*YhCEi^@=mKRlz}jx>%vC z_IWd_4*HA4`nFIE+!FCXGl%LA0$9-^pH^`LIK0&}357f;S~o2Z!NKfZ70LR_y{n>8 zqQ8wyydO{|-1~z;)a_jrL-8s!d8tEOLSfiV#>~v5&D9QnXGYR9wHf|S3HEycJpTPj zn>!u;0~txvGW-W5f7p|>&?Bc`ERV5TbBMh-wvg@uL#%s4rPm~01~=%A)=iT_vUN^) zCyBYByTwcUWW74a!-&%95G$0B$-ISRIx>=ejbu6`*y{oC`1dBgg=CQC&CHTKvb-Nj zqDvdNn2dCaAF5=2W{CK%WG)1UPFmn#C*_#=B!H2fG{z|t8|D;eFo&*QDnLZM64a|Z zv1dKZ3wIBh7y$ADU}9TTFHL7Jkw-5*pnItlVM?6htw&@p-HSQIDfYC4I>LC~h&hw< zpP2CuW)V)V>3H3(Ma+(o$6U^(@?ac-qDAB@Sqeav-;Lw&FTozx zk@Cf_m63z4Yi)2H#dw|OpTrSXhE-#mc>Ens_F?qH`)_b)TZo{Gz&yFc<1bs`ul3Kb z@|QtYsVe#vihY^B*ILAm(`0&Um3$!zJkwCJRRC4~a(Lw{?R2UAmD1j?WSJ-oXF=%#W4II%Xf^RdkTj&xJOo46N(}xl8t4kP~C%+&#Oi~e0ao%ea z&C+9x@`&p4cthFkMzJrfU}=juRi&Z*w?WPa-&1hhG~!)}j?8I@l`CWIJyq7e87A_c zOo(LW562Dre7$%4#l(rkMl!YbqPq1^!`RBmHCU3`Fy+ z?&ds_E*CbfZw&FauEeA6)|KJ@T)b5(9$uqnqw>~3Bqo7_{`unmE$Y{-9garC?__+v zND0TG6_)4^!{eN6K6l6-bb)iDGspLE>KoxpCZ97%mbahL_X%Ln2A8MO17=@#&L?X6 zcQoTU+g3UMi=W&rXQg{zl?U86=+0-iVrTZoj=cS14wGH?2e}$J3&0Q!00nnXT6F-D z^Q7c8NIY%sO^LlBI(!k0eyv5#6zzdXF0nhnU zi^_T7afK9ps73NsfeWN)lNJT|PQyScdQpoC`25fTQHvPY@g{pt43$YmLe?f0ZP5)s zOM2a*y%L$!J1{gvu@=dsUX`LOEs{yClA>fSl1V)*MZ>j7CUut-#cGjEY9>T2;>AD6 zr1AmKQ+G(SZx^5+4Dlxv8EM#+&Lj{7EfCteJ5xZoql4=Ve;5|qxPbWh zLgeh3X^0nTs~#C9Zz>PT#zjRxp6O1`z@j`H+@KM-J1`ct*NX|i!xcl^U_2(N3*j|O zJfw!W6%kPB5CHe4=HBs#oK6IJ@q$1wdVnFEG)FAA=zl)W>wS4C^8>u09N&mF2kah% zUCcR#_~=~I?hivCfnBut0oD%jYn0t&*bN+ne7o${!wzpB|B;VGo`f{p5WDX~Dm$4) z_5iGBU1Hzah_C^B=N)LWcaDQb+zQ)h#2+S(V0{_C3fJ}e`WJmtCGT2>vv=dOh zb>~?oy$X@%#nNl7)$7ptvUNkKaf%F?=Z$a{$=!LT$UN_X8}c08m1nWIK;~I0&PLfJ z0@y8B0<1t+WU&f^1JmDu?;QZ;7~?S%{d)~@1yHV$jv?poG{hx9d1(_i#fFNlyWhm) za&bB&`AHMuo9Eweh?BKJAXGf73=Z6bDJ^Ld!sYo77-A0~BNT**`<20m+Mqln&wrTn z^36ZxCBkBd_=;-+Tph^w79s~>e%>(jCcJ1@bJ*~^YYy z*MY-0yd6c8Sb(eg=#zGeMJPiCoiE4ZV(GP*UP)bErzx*g6!BO0*5hc3w3N>Tsh1Dy z|8ySfl+W`*Av46oXcAsHL|O>g2rViceN^+LnuQAT_uwV z%5d_L)5~CG6+e?QdC$HYY+IU&Js&(`KZT-6_!%oSei-f&)@{(IwWpzxFE>pDb9b6N z&*Xqb0C^I-T=6o|OK2P5lztI7gr!fLC}S+1zUU`o9Ua^i>lB*kSYJYHCe{XC#cBbE zSoCQVH=B8xemoVd*M8(*QoNk}pH;k^^`BI{obHz>Ue5FPfo~CSe=6tsIRL2Y5$eUE zdYyK)GM8r^pW@}|CSCEeszk-hs^Szcs~VzsSyc@97IBlRst*9F8j2zmhuw{vf1=xDTHkYetp`+{sJifJ4l@6jSSak$V0|4E+GB zj~TwzMVWk7wGXTz?tv>_$x~x?6GW)|D-;JC8XY1Q;S6yTO!Aw=A8OR`@Fhy~cn~sN zGY`&HU}X#Lkh0yBRf27ssA3m`N9>1DG>In}D>R;W*lXA8etiTQ*%w!WxqG5K9>#-3 z0C_xIsCaoioJm`GJe&>=Vd>K*iWrN>1N~&IKb_MR>kwEo)+dUWv37u0vEBuTSoCQV z*=Am*ACG|-v>*AW6)y+rFBLBb>CY4|2kG64mxFXJ_!jY6t2`d20HCV-s25+Z(`i>K zb9p?ZDqbEBV-zo|8m4$zl}qums%XW_s=~qJ`&p{0@6MK0#Xy!ek;lVm$TQtM6=5)C zL54$OFl4!q@sOCvLm~=-JP2^MNz2Oe;E>30XW)4F5u)L0q)iksX&w)sKqBX!hsbbL zFC@dkTuz4fEa!qjbC;sPJxa5=Pf^WRO?_nOc`X@wCX=D(6=2|*k3x-V#;n4ZiJ*&D zx@%D2Seehvz-_@`4Nm2=fq7qWB{5F)Hv#*MR59YmJSErc72h_$$ra)_%H65r;9M>XJpRbE znhx=i(p{`|ca!)EMf1M$2n~xpRiuZ-*1cMEDkxop5fcIrzqaYRn9|XCr=^`C_yusx} z0HWTf2Z9Z8xLA*NihJ?%W`-xXBR4euam;fst(NoLL)Zs5yrFlXpSf_b=gXvA;Czzf zGq#D6~q?Z^0Lb1(i8T9?lDLy*~;B3`3l659_!PV0D)&JOXg{X`+y zZw-ZLKc3XydotUf(~+aw|7=(LZ+qQrKQrQ4Fkhy|8^b=yC4ieKxiR3}l1l_P8XVh? z0$;*n7} z=*HogHavJI;rF)&gZ|Wv)C?RdXF`^lk|J&`g)BWYH4_Iq#9>-|fZ|CMCuMSyjXHM*o8JSD&AN}U# zF?~F;PI2w|nDf$|dusM4z-<)W66scR0P&A;%fPFGeWhEuQ$*7(%IVyb z6Ti_}b6A8cpPs7v=tEYuz$w~?z^BFG+>;w$PoG98j#JMw(r2+#tf9|y4rfPAXK4IF zM+zS6T!Di#^EY?}I5SCH3LY~LQ3e)g86Lj!nBl=N%uMm6h;PswrR>s(m6(Sz3{R36 zgdr=3{lzf%B;(o!);@;9RZTf(=?uIBgMscF6mIz3;&ZT3=X718_KxACvXqm`8>h)} zv=zmk{CGo*g)>hN1L2Y3S#sZ1w+_HA90j!@&SUj%RDUW4L;SfRh-Zj?+93oo41ydS zesBnr4&PvA$w-02=d+O#(?c_5%$Q3I(FiT8+6rZNRqve2s@77M>`4`VD0!B;;RAV= zs-_%gDc>vvG(1^6NhK)NLaq8pseCv`ouM={p~-Zoh#xWwjKtk?4dC;s^V2L==&5OM z67N5aNqRmew^#JRy&WofO23DU58XANOwDd^vnAIKt_U0s#f2!^#6bG;i2eojTn?m> zp>HA?={rJl^c@Ngz7tUFsp&ikd$VSD)XD7EIZbZ{Yjzu18HNx2xpt6Dvbm#Xzt^bQ zotaY8o+5Tcbq$dW)vKe8nr2u(2J2KQ!5*1~vEob4NENP=iOx7Sw=2*KbFG^&dUC+diS1yX_$| z+-(cV$n_r?dd>v{&!s4u#EPe63w^l9yU48mFH>#wv~3fqW+Gnd8N&%i#yBug#}H%~;|nq}Ml(3XplzENW#-Y3F=`ILvW}K=f$<7C z5&gbgxc^FmeeX%IT!L>DxDNo&jxd#HwWWz?a7-b}T@a#{CsE-0EHCLUTTMS%OFlVS zi-(+eUd6gxf}bleT7tz2oCSdU-}6F16Bts;n!=g(2JiC16LL+=SdyhDts z23}W7uP*^|?jHX%cSMSmd;|%uQ#Z;>!B{DJ3nFxa3VjlT$ScA=;84j>6m7!GN;pbK zQ7;}*mF$XQYWD+V-#t!N(kdlokmR*ZP?fwYMUx@IO;c6LDu`4iE5V_XjVRj0C1y(u z>2jHNk(Y6IO3|5S6rMnCk)m)dk{5M3QuHn7VbmqB?NX)aGcA&rc=1xSLyP2<-q{f0 zU3Wtc$G!ka>M|5KvTJqAuaaJmYcH8pN2F}O7RgJ@CMlY$MKY-kQdFo#GN~7&=sGQu zNiCP6E44@_^&mue*0)0@H6H*;Jub}#(rhPtQ>;B1tL{_zC<>37!u6x3f%r0d)IcZJ zCXYicsu7}5+>g(lqWD+AV6Q>=`q>Y`G>JTX4(k1Z$Uup6fIk9j3%^@GSK{}#);M_| zbj(dgAQtyQ12MP{8i=mhkGE5Ci!%^`3%x)%uI>V1HM>)4aFVELH)`5_DK)!mI%}G7 zQmEObmR>cxJc{vQ=FQIVWaGZYU7iNcx#ca}0zYZ^VtT)5O2aJ?hMs4*}bpVhyYBPGY<9an>IqjIJ!Jx^u|G`PZ5vbP}1$n*?23(L@LwC*|^$+ci; zW6d@!81b2rqvPdt#rvs_2TfPJ+q&arAzY4^OA)*zqt=KajagB>u$F1e>ZsWk8h^;q zkrf*MtwYwEmVs45O$W}myK_9L9v^u0>fV|IXx=VwT81~vU9&eU)#F8h*5;%0Grg%^ zFV@NK3@=vvs3yNzKkq zM%z+-c&{1UUN$Sqn~mh65V)%W8Hm3J>zN#e%t_5TCS)f1Gcz>@oxK;k@|M(`oUV}I z_NuFi9E4mK(H%1WcWf^+&#W;9@&|BK11~_eRq}Q~Gpy0}Jt!~=bG$kDnU;V{4x2c9 z?v{fwC)>cGz`=N(44##O!GrGzD%ll~Wn_5z)*YMsw7T9BilUsibBWx&<#dr4i7JPIFC`RaC5vV-kRMwkZiZ)f$P*F*XH`-0In}if`@2e3bM8sk}DpG4fs8>;`phfEq1r&5y zi#JN731=jTn>MkuLV_*DpwuS_YJ`ydzt6lIr1t;gLw25do@bu9=bhu3XJ}gCbpP8< z|GSy~x1ETdJ%RogqW^_ybTL=RTM@Q;AGfNK2Q|Z;x*Kd|t_EgQ7|Wp?#Z`gxg}r&F zY_o@z`M*1#qcxnHVQ&rlLTO>4qX7pSVS#ce+@Z80IDEX-l}~1^hp^ChyIwrk(Hidz zXOwi?I^!87U(oG{(c{yWpwryW5Zx{U97&gVP3hGCg<}#Y!PSXNI z+_|+H$Um|)#sA%CHi6Ue|4MR6YW_Rj{)(XA9Xi_M(&;{$=5Kr4LL)idHxIHXjI%q@lb^{?ydYcQ0+)d=O(nagrjiGz@O7>) z_V88R5%eT?C3jI=>92wr`LrFKbWG&>tx9lu+2cKWu9-UWHsAA{iQE^jV-k^a$)sBL zXM4~c!>{|bU#Op>)0gpcA}M!Yi|b+Q!L!*cl^zGLE8*&iW~r3O@+7-uq(!?ETFB** zZE0GzTLwcx>!21&ptM|q*C35O-b7X+%kM#}Hk*9YE8^$`Jf;HeiTpPCYN44R-)C#b z)CHkWSGnyT`S5CX%;qua%uOS%BO>%XQ({Xa4k|)zY}M-B#`YzvIJIoQn>!{($H~8y zX-*;^QO)(eXaE7TRoR@~$Ei;mU(?szU>#$g3G;zKB7aV6jH`2Pa;=r9cS%%HufJWs z(ezrAnk8OlBlir;DEWPQr+3LJ5bJCDK+Q>`CV^SHvhWvev4UybvHoJM&eOllr14s} zqh12E*8B?Sy6+AE+Vk@`EwbkKtTKj`?3BOkHzC)6O&>wsq5*X2SgC-9yaIrb({NIu zScB8QUzQTy9=b}eso!g3U%xS?*IChy*ffcHZwZ&mFaV6Xt#qX1RX}YUnYh8W7=!$_ zbS}oBH_8NNoP14b3^~`z{C z9BZ)J1H^?6r9ExYR^YVA+DCPXb)i`O+N=5m7 zzB2imvK%sQy;xG%~+yfTegFGCd*>o1eS-q1S9P?Rs^mWlA> z)mnM~)TwztsCi!mNcFA6X_0l0Xx>jT8Qf%e%-;X6EuOhJ#+=(*Scjx;SM;vwlRvH3 z3IAjKmYjKl>JUFCrp^4;1UGy7V*$;r%OM7ruPdrzz?8g6|Mz#4$?>=#zs@jeDS~D$ zU0p>yEpo0&l-ne##7G#S686Asq`nKVsCks){sKtf3=TW+RLcxP8>@2f4Q7jT9Q##mvSk5Fm@`tc4mY&X z8N#%Pt0&TbD?J)WMS?^7Zg;R{62txmHWOk2beYkOPsx0O1UzyhvgLYyQ1c4}Q~pqk zKahW8yC<TP!6+|tX&e0x(vFMIm!O*y)g-`>O^g`}U^M zN1E$!<{W9Bijz8m?rD3|f}ZAE?Co=#@35yriG1v%+2vuLd(|=LKrr+a`;L#(3(+4* z`MnzZXhx-ZJ>0L@yKL2|K3`oyVW2K=5cS*Cvxa6G4h=but;2(3 zyISEyATv?3Zi}=f4;JL|VGj8`R9Ccc zKuMf^BkXsC*a7Jb>Sc+P6p$bp-k`?{F0tYAF-^GG3LVeajIZT;s-5R$62Quv;=B-S z*j4e~4m(GeUZ%6$_puGI4eh&xU-$f$OHO)=z04fAB4xfx0$Vub_0*7wGH(?@4>gZ+ z$TD(WGU7P<-teiOL{*|H=t_(amhzXVqZ2vgUg)`}>3PI3Pa>L##$Ab;cqxBM$AVuS zbj$#?N#M{L)iYSl4ptrgQ_pF9iMro1R6cFvEZFsD@)ngdamZSf)ct~#Fh?M9tR&*@ zWOtPFUvTWck~liIm9L+oa67c`T7Hk_>td4!U)8bs8UZd|=B*~^-tf?rGcC4@ybzPgTCby1`esd^J?`(bM%cMTw5Zvwx}cW>GQw8lShO1rZM@RH zZ_&;>O7j|8P-z1!S{_b|6wlL<*=Gj9QHG~Rc|PtnvOmW$q+CO)Q_?1j^w)mUR{)E+ zNC+umkyhiR&QGEv)GyF3-plSBFILsYc3y^NAQHebBy^~}O7?m9KC z${cn!#wL~#+S@3vz~z;t1jiK6LLAPn95SDy#gYVrA)oqXwsQS+k88@ZTWhV-ey=Xv z%&`d!!+XayMeQN=hG4L~L4VLX_JQF>7*^QR$4mX*m_HWNATgiM%lVR*hQI_!t!(JL zgApVMsGC6{Unl?=V73lJ3@}Dp9v!CzrM&LVJ97gVi+b&<<(E)_z!H+s*IM z*w(-mFY{F&8{2YlzB2Av?68+$uuF@x;8MQX{F0HCR&|B|^I3RCGO4=a$ zta>bknEUG%`581*ht~p_I*bBjkp z>vhfFBJVs*8PdF;K>LE0Oc|8Bns@Y+(wXDh>EfWm6%`Z<`yng}_k6>s;+0<$%qO?= z+tiR*Ft$-1{w-B-yNYkfQ0r4Oh=UTpUL8q>O-)R~qcy>eC5QMlsVyXe2+-3t<(^LE zc_x)(;MQ-7Nte~blpbxA6QM^XANrx@XB=`Il3tB5F zGIq?XX#_{t$6fn%eO$kvWvZ^?zk@) zDd66lPxq-X&eK$rqLhxHktM5 zwAAwju;k@erIXp3Cbb5AXb${=!1?Er%w?J;l38!_{^YGpCvRnc@+#BG+mR;hU|nvKcOFH#GF{^vzOC^cn$l8VAaT%_I7sriGnH6q z_Kg+>;#!7Jv?iV@xlGG&g*~xrZ*m`XzYA+v+~xy7nPygQ4(&scT8>~S$B=TzD&jFu zH0CRf_!6tq$ysGei(;&FC%a6$ZAw#`v_Vk%rW{wL6Wa7`LU;8iw3{n_>2iER%kc@5 zzr=2mHq@8ctx0=;r0q_2(=KP*xB#YDEk{t4i9uH)$YsWao`k-M$b#S=ZgL=UN>pC_I%{XFqZN?B?8ueNl7YqOeQH-7NMcFZ9xZ)$mQ>3ER9OjskMCXkVz@wDS7G8*>;H zPUsAyTqJ{FbhgX=Rm_P!I=#|AAJ7gUZZ=__h7ZbdCb}r-;TdxGt0;n)sTdQE7`XZj zxe1)#OpSCK5*%u~ad2L6Gxb7Kvd0r+r#2q9j?9qx+83Gye`mO$Mb02a_959Vaxy>$ zB^^Z}5Go>5y1I^LD&O^JBK+$%ATb z{!)>$^A&RnJjEiI)BB0Qv)#+}Z2x-YQKbVv0CI0rHo3fC?i}@M;lMG*g3V!du?3q` z>fyjUWs()m2^PVeRcBbRIi&u2zY%0kr#}NGsw^c-R)16lDn@r8n;;u4l0Pe8?x?(> zklKr031FFAlf7JNQ#F+*l_nkd@XRru4=vAac!*~)PK&&Gm(F`A>?D8|c8tOv^jP|c z{t0+C6o*z7C$gUNXklkBN@O9=Yl*lT2QBf1Y3`rlHKm=a_;hIxRHWRz%9M6@mm!$a z?gCDi_BVj0w08rLv;ju$2mNyMEODl^H(3%)X}@E^rnFyH5pwWPCYon0f+_9CEZCIx z0~TybdloQJJ*6mlsz0jbcui@?6;PcWp8}?|D;3hxz5*a!+P~)8sHJ_5(&Scrc=C*A zs^uAghj@O7Lk~-gH&^mi4`7U$7ZuSz9|sx2B*Gw;I`z&InT5W?%GBo3Ug)8b>7N&9 z2N25*qwapUXos2BuC&B(9Bz9Rp;lgvEWOfK_H!nKG8P}ZLiD=C7`5F$3T&G=K3dyX za5?r=m73BicysV@N(SR)4TmI52DATs4gw)N;y{zU2i zpcw~rEbyA%uOon7fi&G-yS{oj|Nh*GeN_%9{Du`(WQFQ87!6`>!-gp4{|IFnaIebt zxDZ;Vf?5B7V2O7lPTW&YxN81X=`STwi{wj_YMj+XYUu-BZAD7JA3^nc0xFTM&olA) zJ%KV!YD!MR=W~~8D0e*S=Abnebq5%b*;R>KUn`E0d|3s9`%gmm|3?eoS_teku$ttk zlJ|K=!I|>N_p6b=UT*4KgQ=N(Jb~wK3$_I1)?YK$dfMa$+=9z7H*yKPYC$(Mz&e1> z6qpKdH#N_EJ($R%LVrbYHR-bVRh@oM!xzFT2e!>uBpG>YW&g@|`^p-NGuu3J{5bZ$ zi-`Y^0D*9MV@-CnR#GrTXGGPP%l4Ghb*2=YVrntSk}1MnLEi>B@I1Kk;x}`SO%+S8Z~a;afNc zzM>1^>zxhXH~gr)I{EA+Q>uok=t2srw}OJIgS+lLe{I;{>(0a5b-uqp@m=TRpIL(6 zO6<%M{0Ck5twbGk;h$56-->)r8UAdyzcy;bWxGp0GHYj#JVIplyh)IUpV=SV@H6qQ zIO}L^E6&0{rub-VV~X*wyy$3bD=)%-@g+xNyZ93P8!qjSZNsIxH<`LUlenz5I}wJ4 zk^S*CjKn+soG`hgZ5hzrxP8>o__mJ%=^YEb)6fCkjXN$s8sCn~@lXA6*fdRr+U2ev zQ>PwJ6XPy_ejvtT{#bnIkk+?1Jp?x~M9yU=cgl;pUlx*0B=JyUrmVeQ$KciY7=sDN z@o7q4#2ap}Kp^L+##+oDV55cV9fo`+WaDuQCNMZuqyG(a#Cq7MvS~-AZpg175H~_6 z5lHvnB?gP%dbp@8%~B5wwiteFvE<&)Tu*)oTl$G4GGipANX;o4L3^dL{RiH3cJ?7)mra_cn3R#DySYU`pZkN|bhHJWEV;qZ z=k5c%&I0e`$*AUM4%351%+l_610#nvFK`g{9afuLM+x2;Ud2He+e3&$e3Fg{F}4T7 zJoMS*?+~O_so}7{?goFY--Mi}3_E%lZR(ZbEq?rAzqjrNZ>?9`gwot>kkGvrDZ^9z z(7ld{V$=~BZtV5d-r$?zQ;7TY3|wdqHjT}+T4g>QTaScmZ!i+K9?5m-Tw7j+Ezm-- zW!m#{VHti@$nf6WQ&aM&j(=?x(DMdEW-BHg$IK(BB1YP-FYvWo@5~?np__Ad&VQ7> zMcJ)bj|ZRKmwT>>s{&%IWt@p?AcPuY@GB+ff%mo15;OChAG#AOojMIkcIq-gry+lx zPFtXMUcnHyf1}gSvESmu@z%64YL5Nl9Q)n1hejXqZghGfcl{6fnq$eXp6`Zs9ZO#D zKjiNpOWyTAWXG}O(MP^3{9pe*CTX|yewV!ASaRxrLO*{jx#)jF-+wH5&i{nI{#bIu z|AekOmYmb~-K32?mR$QkHrlqiWc1{_*1hb zcGdofvM)MyNLFg9*X%=~EjK|<;nUq*dw4>qx$bbKS5I80s>_k|L zR_q;$U1eeK06WuQXDaq73p?|0YHIVG!zm7i?>(H-Q{uTeoEgtMJYj{mdBNccOy!kIq+wZCE5@*j}Lz5!irnP*rsdo-k+W{F7{&mhb5oO)E|V+yF0IRHpW;&e!}k+O4}N!gpADrNgK zy?y?Gxe;-##d^14U2It2u~;7hWmvATSgygr=24q0AvCS)_qMPK;P9W`%0P*)J!OCVS1DeO$~l;lFy{WOuX0vka$03Jj&yq9iTKgE;1K z!S|mwnOaY#lKVI(eEHqJ&|NZl#k+kqnzsu~*u_@ZTM1+qzJ&nSC-=>Z%!+7+PQ_d4 z-`Lq>6>X;Fo!)Di_Wt@~rQT(>2v8YY*V$wBfJGu*-!Ck{lmh;XGU7NB`v>S+8S6)4_d2$YNx%SfbI>gv_ij+vp;N)U(?qh1Gv!; zXtW1vn8z-n?cO$iV{zt?<7cSzZMrWtF|}+lMf8%2(=O@*sEm!@$m-3st}E02>4UAt zdU@J!%e4}JE`Aa}0jEPgxlhaXeoJHolo8q64xla7t{82p-HOq~3jm>WAYew~wsI?- z>eN`}%L}288lfXCp$-+Hx3^v-WMxhH(R4na_V=grv9!NGo%dNWy@1mpKQXIOYl|}8 zqKw2bqh_5Y;U#H`}l3&OgED_eMO3;D4Z8 zgB#{t!#v6`2lc4LZun0z{6TbUm3IMK-w3vNZHAZozAzhJ*`czNT^%yp%6F3~+8}%% zTJ7W;I81Bk0_Y&MlCN|B)^qLZzN zK2sj8s7DphcKm=cS_^7aj^Z)wO$|8)9~;_aMJgCY_4zT3%9iQ@4vr!F-wGN3s*H!A zd!fVZ;_M}=V>1SiJ@0c~uC&q6+;NRS&4HZzR)hez`9vr1g?)raGd^$IHzTC;_dO zKY;bb1I(ynK6ij3LMdK-==rOUYY-o)dHQh6?Awb_b$hZAmjkcH{yz{G=eHI?i zXTG&YO)S_`j(94g#?oo8Mzzn#!e^pS1*RsV`Iz@L_)b|Gd{3|Kf(y2^|@u3f`Oxg&>m}a)C4_T z{E4I_6=V`YGnWjS5r;!3_i=@U{?a*_n~A39?3$6>MMLJWTo%C$&*!}ru@Hr@5U;O+ zo%2v>Q;jN&wXtt88>H9mYIN@`h!XKc)r8x3F3=P7O4VCOPOX;Z6>5AQ< zaPC>KjMGq!L2tOUqG_R12ezMnNcmMXEz9tNdflOx+sDg@#g`fb?+ zln+qxQ-j$TjnVD6kw2nsx66`qSR4ByvHbkjvJGQ1XC*t!mUYic?kZc>mCO5PWg9Ne zR6N9sA%cboOBa;k89sgsGZaes6vA7d5#o0>$a?hg6vA8MjPe_GDwK*Ul=91pADSbe zqwC7G?KV~^m84%Eri*)W;x(=;49imh@ix;cO0#Nw=qGq;YWx*` zpNlJbKCTMsSW~*05(;Z;7&c5tnG*GD(G4eLWsTRL>(RE5BZUw+dR0^9g8)mjxL$=e zVca)ts9JXXW)o!eBhn2m#Z}0x8n4^$b!B-~j3=hI9y#OAq8UUzQF+2o&>BQ*~- z!Tcc%#_MQBvC<{ovqGgyx^hGIR>dfu1_Y{GiCwAEb;C2F{1#>?l!_{Zw>~4n?`n`V zpNK+uYfu0zT^DsKgsBi9boy=}YO~Li^|$nRXY46XKeVTJH#lwF{lehOk`rsosLo}7 zF;m3ua`^>(F=X*xgQn>kexctBo$3x5TmTjxpR2-CEt~eD)rd_KaB6U3zIvX_SrNx?D-Q?^|RY#9YOL&q$G1As*=AUP)ZJO0KCh9T?*a| zSaKKT__&I%bjhV_*Y;J(f=z36z92V3_Q(`|QHbKQbx;1Fl)R+@e+Vx3_)3h1bjw-5 z=~P~Ym~?(zU`sz)c#Yi_aFu>Cq6!zAKG1`n`BiS4jdN9d$QLmBz<~{;9Z6@|W3+^kwsK-SRF-CSJ*d<(zH4yM_vL zS*A!T(2qogBTyNTfAf)LZNLm;{y9JTr@eFWuEf_r2hzs%z1H(qf`u__^@ zer&pCJ`$ZxmnrgKl$UQo|HPkU@C-)kkM%-4dlTX)j?-Qj)z()E`FtN zK8#Fc1D=2!0G2QKNr{_8(Zn}#o&QS^t@4RMxA7ZF$yrc?KG}@Vy{X4ppyu zZUPsOsr(K(p-tvpX)bGh4}l?2bdJ{;L8<}eN`7e53SPm_EOEmt-veybJA0D=hH&Iw zBwIUlT6WVYirOP5fiB-PJR_Kr8ZbUruym6uV_t|RTK*6|B6UeX&EL#rbPH;5^9;@K#y3S z0s`@SoDk>34>d3fJU3obI!95c1IZuOFiP+}<_F)#@L_4_%)HlAQd5Ka)intWLETq@J@M8TseF zf*Nwxy8DhdI< zs5s8Le@6mkF29`D^Gk;a`=kzt7ib^AVUZ>G;nKFw!Z@<2&`0oVrbo-+omx!K@}Z|n zDnPrbP@3jLVEBw%6?zvQ%J6K+T8MTIhrztWInR)xAW zbeZg(r=hh%&sRihoL1-#kcSc%%ZPJqw#o7`K4x*u>vVue&H$CntjG02w+{7xd7Daf zmON{tj@DMwLf_>F8*=;(ahJ<-Tr-qEg`AWuoR?X6}gf6(CO!orX|3s33tQDo$o zAh@Wr+)1S+_qE7XP^5yNAy|{TpU)~~aT#86N<%9FqM`i&N55sKGp5P~Dw4!qK;k%L z>?Ccct59uqvk*{lj_%+w;0>NEUyjp{muz~7F*awi>@i;QE3Yf2z|8$8%Lhl%&w@_H zX{OcE4mf0>IdZMsL9UaX>uC%Rj*{ zVQ}K($I_vY3cyf#NEbM3So`t88x5viPW(O}yp;TwPpxm3xWJXZ)J<9854C!>jHM)| z0ef3rTbMe?HEBFE1_>za&GKxiaTky}qTRE8@6sdmxHTMnV;|DOKTOLPU z+#5>IMp+alyN$61#``n6_4`g+IV1hq-CjveFgalQ@g8BTH%~t)R9d~<$$I4UG|L;X zq~{MWz~b5*tO%95Ht#+!WY!|<);KX!(WQ1-SdZ-a%E+g+JYh?Rzx~@Nrf3wh@-^-d zyQ*c(Gq}25nT-gaHrXj*|~g!<(NS2+>C`|H_^_ z4c;uRlQQIL8P&+VzoVGOJ3l8ec^#;vbJCbotUyrXRv1NO1PYf_mitp!HyBnxeFx)sy3E-LakrM;b|@fuDhH0*z!NiNzd zrDg=PsgwWjnl8EZ%lA(zNNGdUG%T6_1ls?dRMU1C zXMTq=1mn!a-!iHUx>oM8yjS5(WvIYsfwY`>Fy_$T5J*d><^O?#kVpCXKO->uymjyE ze1G)BwnR2_h%cP2iR`ePLlioY4Z*!G$8u-N5qDo-<@`H6Oo%@R)D4Ty$`TC!FkRl= z+B}bi_%`s(3jp3zU}5jr`JZNBX~>@3ff>hmYq&ME-jUp)yB!_^C%3CXl2Rmaqqdcs zzU>I%kdG_+`Gb8+dwlr=)xuEjakSF|8UD_;WNRMRX=AzBw8dmAMr@ns_HyT+qci$? zjf!!xVX9*jiSPbT@bXvLkG^R*@DC&Be8zus|<%q z#XCkzYlq*>`VKG#9AMj27K~tZ$JW0AQ(xr>t7-RsSfk$5YUomnyhpe>P4 zU0j(#c}2XZ$6AxS#?J4|z)X`}OQ{B%l&zr&7%67QB)Q$nyZXtym%LLQ@gV6s-9?y zm4A9O*L2k=bMk075E?SfQfS1K46EMAF-(y_yq_5NRrsQYXo8WR@r>9~blF!*Dfth$6WP!-r zo|fkm_2?vxlQytL7Pdt_dJfYBz$9%i&i*s)HLvyVPwt?6|IphZXY>p2gs3f9RdVNP zz%v2vu>!8b>5yUlthzQe2R*kapeF;@E1>5G6BS6RO~)V*ao&!DZPU2-^qST%Hik_{ z>1<0BG8gzt$7b`|!3S@!!y>adyw{42;g(B)U-=n7R4fxKw-Sf;HLg!rY=nv(1J2w| z_@%*0F@7rcRGf6hMkF7Pb@!7d@NLCX(lIJF7Nuf`YQ2Xl*a3^q20Sahc_N)WY6Pg1G=yBVp0E9dN4lfrY?A*z{ zrk)ii<45QLw=Hzwhmo($tL0C=jPmkDlsOOfwaZW6S=+}Q%9c6yydfAV_G4xfo=gQP1%aGRgHmH z?}7zaA*VzBHC1b2>nkRkNr+bNW?S6%;&jNL4bMu8=XXbWeroa5;v5JDUhk0iZcthG zT71`8)H0k7xy?wJVo@qVwaY8R`5=?dmV`;?SAT}DYKdBj{>%dPe#I^WuA8HIYqikY zf8GNmFMAXtCmGo%TC&A3$xKV=AFUuN^l=MRp${3*5<1&LtI+9y2z>;nTs|7x*GFoq z)~&F4a`YD~Hk-kPtJbB6Gt=bsDS8(hAiuT(MpcyDeZ7{!Op9{0qN-$ym73!$-yF;L z&00fy%JO|?`ChktJ1yTf%lCoh>$H50md{~T?`!Hyj3@ao0+IZ`C_;LsXetiTIZ59~ zR)}3MnS%a}672g9Q72|Q( z4}FYC0=PSIgB_A@YO2mkmB->d9j8MACcPCFob zvsZO$-eXPKvDu}^=4%Z&`Uv1_1}y3YTw%Z*?Oe|)_*;O500%Pt^&~H;IBCC53#pBP zfkJu{6boe&li%L4&ct)A@~t!Rgbiro`H2BdJT3#8crH-zZsHjQa3C|T@pu#`6HJr% zxfRa@P*Bb>lp2$Qt1QZBMOAHjW&koe>oqlzjgBbxRyNgUhBWURYc)UbL+W^b70`Nx z^ku(y01h}J?a2MB;>2m>9@f#t(YHfUX}Jr4HJ9I!`732HGH+H$WljM&;E1ct*@}}* zrizwZGUtMVave^)9QRi?hC5__ofhZa7QQ?=RH96H5GFQ zl@OtbqCXiv1(KDvqK`{>c+;amT6mPj6Y=t2O^u)|(xl?t063HW`oi z1LJwycpCN?&r0JN-D^CHjAwCynPom|JUQnY&wa)-$89`!7*7{=PKalk@zmaCJQLNk zCG{ZqfB{`g0ILkR>TSTw4Or9y_(KCO=mb1lK@D^oz<$3ybTRAhz6%s9QzmKAU#$a) zqwfk(ss^N2P`3Byo(^wvAMV(b&imiqCgh%U-nSS}I`8iqPde|bj3=G2~X!1VZfF|#y1~hrU(10fI=P0OJG^YcgJzHb) zeu-k`G}E-N)xp=%R|bkw`5sO?=l@6g;%uv5tA#VxVmMX9RK29*+=r_TsMZ#nEl>yj z20$e2RE(Txf)22P?y;CO=+hRcK^It{2E7lEpi2}ZR_osKqDfZ5qRcgvw`(;$D=o_J zK&7y$XAidd3t}71q*6!cPaAjxS?47kdm1L2W^=X%-egmC)Zqp+MVD*9IgMa54cMTI zi^FfhtL60tzyW{o9g=l|l4Y!k{6|*g`JhrPT6xB@rXZS*oZ-<#&V{ay-RZa!DsO{{ zd$9pc+>aU1#QmTFP295;yqCCV034`^Xx#GwTdJgK*;+31oZFHZZy$1YhiueVtLGi_ zAe*`1j{sn=wVda1c$*&PjFR8-Y*M!A=D%EFiZp8RT!7Od*Ic7T8nY;uDyr(!;q^A1 zQlEB<6V~TM$31L73y~;)LIkj4J*{+{}rn0zd~JVg^HL^<4h`MTcK(#%H@V~laY6= zMJWT7!a^vIqlXHLH_oqPeG(0}yFXpA#b%3iY_9F1I6h`2X};at0S<)xofP+e#mVVL z)-X%fAy7JogbU6|3({txg7ltW#|9(oF%73RJx^tYYgE?rM%E_NZ{B~wl+Mebpgd$K z^;c`T{mG&%0EHP_9jYS*oU`hRNeygf)VUf`;~4>PAQIAeE;rI{GSaTI zq*bUi(j3q<8&M4TMik2_<{w7Z$FCzx=M>uk4g^e^_XE;8f3SqsIfOOW1)6$omj@x3 zcKI{_kv1t~vQutZytc0}v*fp5AlECOKF^x2aFky@1_)O3={Y{o65!T;%8!N2a7);n zT82{=AK7m;GTo!NQ~_BC1DHsayk3SdqE z?A~?>0_t2ZbsvUMT72?4A@i#+XA)VohC}@1U^I&cp|Y?Hz$IlMq5X7;(r)Od)f!p_ z$iT+o8F|OU{w`vGtz7``k`}4a6y*);kn44f(bn_ktESQX9tTCb4w=(Wcoxh~IfnpL zu*>55IgWFG%pQHPtK@uCz~H~TpI>R{`Ytv6ITrurI4Sw$SzQe} zRsX1Q35`Z|9cu_am*Cz?tuun_exfQ)S}Kqnb!5pxnAw~SswMHPV0lcP!}h z1`C|IFWc?znn*Z;-jCl~D=__4y*d;Mv>#oj*|y~X8Vjo#wtmj7w|sw8!IkU3A=mrZ$X zvyYcWC#de?vrwbxL3X1D`G~?(qNFM^+32ONM1rNe=vSd?dihC9Xa)XMjVwZ#D)=oz zHg%`}^#0Z@Z(8-|kt=TIQ1ncGv`o?IB$&^`g8VO==}Q&zBPcq0V0=0M^j6t7iVS7R zUYu6>h+lM}x^*l&zz_D`hW4FB^eHc+k%zfb#8)$axoby7{t2G>>s<%_RTD&K$s?PX zpVwfQ=p9^twZ3Gm2kJWiFJRCep+mWLo7spm+bb%Q))&Cx#{UinVY$}tK!LBO)V=u` zRV56n9_U|Q)~+yzWO3DAE+z*YUEg{W8LZ(44KQM=@da}Vvd~aA#vr}6u;;|Enoh3_ z=BR3xw?cQAqn`O&FMoe4R_wEa6+YBVl0EI>oZ8z*m(q4OZn0*P9m{C`x!SZY!T(=6 zNJXRsTYRdNHni_DO_tYR!d@XJ)q6Nd{ymyYIg(+dppjW9-HTvR<1Ch@B?@pJ+0N7q zI{~s4H&z`}8wjK9sKmK9+w4X+&b_&n(+6=;(5U6f7+lnqcsFRUIa-F8Sk>;-nqD`m-+p=W z2UL~(o?ltQ4@Z}OK#FbaN==LW3ZJSGv^}RE2s&Y%l6_vO#pPV}X4Ek4CU=J&u91HI|#1a@3?UV(oGxUx;*jxP-JB^+xpH0Z~jnJd&I>)KHyjfT?F zmv#rksH@pk|5b%G22}rb%R;AK3ixS)60g`|^k27F`maSY;aP1udfuF=x_psXIOdI&Cwtw`6;j`cKINP_**D>FZ^5lL^G?W6V3R>IfQPG7W0@)(ZIuYA`=%mS6VY z!0D=8&Vw(vXjV`@R=<>MbhD%t&v`6^y*B-qFd5Msky^{%(JU9cjAwAxc--A}Q%TI_!buxeaGy}IE@xFMK z{NhQYe{;hCJ7+t*Yw)7!d}B$9YK%vp{>}jRW9WV_g>?l&RnhZNgv#Ej@Ajd?*n1Xw z5#zCGjLTT^HP|xwe&rFqG4fAv`efIq6?m(?>4mN|E4l%DP4XVxr80RkWK=3oapNX? z+Tj(CC*$$;1{JCF1-vyw3RLoY}l?>P>9t9*04 zwt)_Q<(~#@Rq(F{90@bVme=7aU7uF|8-(qnHdzmfzH_JAMwOQ|MAC#%#vki zQj)U)TN63xg950w!EhP1THF0LC!#%aGw4#@N}@#T!T4R?vh}K;_a{8$%M01H-dLV_ zRs*>FrR!BcZ-Mf9qy%!=dd%kV{%b#3_4963a+%~Aa*wl3#*mgF1(gx$n?jo`^9Q{Q zQI1x|ZmPaqxl?6YBwdivS=E#e;HO3Y1w#J8&k$EiPKJ?b)CYL0;7-X#AP{SD^zGR< zpP(VWH$t}rz{@Khx%WgaYV)$f zj$WFvTTacOb}Ht#Vww$`57eCvMBus{-o`v^MxrhULF+tHtKKPo?_{hQ&G55|lNkuL zj@4xvpiap6kWNBRr3SA=_@U|gOSAj|x6NF-SoVkhkNns^o!JcSd-ZwKsn3R2uQEK8 zfu>HOX8GOgtip}T<~Pt=={anzyyOCv+8Fbp5c)${%4Pg>M`&y1;+&1^3;FY_!{R2* zWaR>!_^s9Q{2{Vj@Wa$#73@k2u9ce*jCRm3yQyVf{B4twY0^{)Xq@92{?V4Dqq9ipAI|MCW{E0 zl2QWsT1w?h2HN?3{G-{a?eLM{1GCEI$#2pFu%3nMD4V@-P2aH0lBW-uvFpR{(}8^P zG8qVe0rLy5-oZ>cP>Vb$vnJD}yhO|V3Q*I$z$RyFyp~GO1~Mmq)=%yKCiwq@vX{Jl z$X;^oA-f7MQsEhzr<>BUpRh^uYe!{IRoRpOf3mIh!_P8b;)jC zW9ht>2W}#Dv1IqP>i18H&~K!)sIRZi&-_GN{~Q9$@XAPVtt9h0TV#sflDdzNYRVxq zsKQCem}~`)X>iA}!Al8lEww)Ro=R{dA@&08u~5noq2u`vk%89Prm>kr9JzyZ#PQPH zTc$aN4Ay}wvCZ;0a(AOk2rp~SEq42{^V6!AbbeC61md(wR%_3ZF|YRZeNgYTJ5I1& zX1m>%JKMCKi6nwdE&rqG(m{OXQq8Yi%MT*~w1+I(SP+`Zg}-MyCs*KO89;-C;|7c4 zG;nBxoQEDMqX^M;BohqJddAm%yT%ARON>4_A1_YPszjhRsR(S zJ>?B|IP{fw^k0o%ZZXvA4cjxv>4WJ~YoTLl^b-TdZ9rjF##!jHG`ib4ZbOgLlW-=S zG4n)@-pn*AOY=WEzi&^W2m5cz>XFr_QbWu6(YNS%J4fYsQMw^S~y%zZE1x=MFma2)TJo2JR^N)4A%7 zk!e58u(f!tG4o_r`@Ahe<`yMfKoKBXP#umMyvzD|hAlOX9lM0HfR`SeG;XOGdxQwn z|A_YqjaQ#j%;Gu4M2-$0j}fC`(RqKpm99cfSJtQ;nRw-!eMR|+R+8A4%{uHux0+^HCZ>UntyK5UBv}AB|001PGqst_Z%FYuoGtI@$5@{f_G=Vw)Ni`C>!V=1LXky z%0T%*Hv_E-C*02^Iulikj1J07P-N`^9KOJPrMQ~vsH)=b{*e|FwQ=Z~yq%NJidY=LP*24K1_&+b$KMOxNpyx}m?inYLRdd*>F-!`1^%QU>MKi?u~ zX;z|@HN8LO2(&o-`6sm}w(DMg^}T9fut>%b@_;|k#n<}9R}kVP`~nSMX^g2*zD|#= zUT@+}Q;BB|&M>i>Bs5iJ*!l(j6Q0p3{YRBn3aV67`>eF5 zR#lQO`IEN3Iw>Jps#W~WU-9dwze}5?suF(!KyGqqR0%CfwCoRI{!IE_cG&z0r%5mB zKbl}RMh~H7BxC&iUh5^lG)c`Wrpk=d1=}v06!f!o+vF09&5~AVH`0nw=*zLo z=f1SGV!PxWE3L|IqSLJWnGvrYv%JBgVV{b7k7Q0PkG8 zu(Ux{ej)dgDHyI8*k$@41ynTe<$DI8@P-z(`- zrB-GqiUG6LgypA5d*e$KmIgAt@oL(=dd6zOEclN6701ed`dIl7|NrC{TJp)FY>KIjWf#Xpr5=2ZMsLk-SIgEM-dKHJx(d8pNPJ)(=z9DV!2 zin&FHscKdvx$32Ln@YaHG$|*x9nGLM=_Lmb#3hEOc;*fZu7xR{=Hqn+oBZ)gZ56L$ zA5oi|T3WoQ+*BI_l8zX=`ORmXI>cjbya{AVmAA_dHFw$Mc?KVi(nbg9vB`%FfK~_4 z@5kt4%5?C)zz zrmRHvx1~)nbACnn<`kUOz9#5R7ilnP_xbB6!#XxW>nOvzfIgkYfZeIZ#lTQ2|6&Xe zBy#fd6f<3W&OoN!xp{okG(!u>AEU&XrnNbZK};4qr@ct-*SLJ`e{?e5xBoGVk)QI^ zvgDyJx=d)i79l1sXVPWNb&AhQwS>Mc#rFEb=CnP^Dq5&91ou4?D%&mOt6!BxE2jQ>;opS3SNA-S)W>)0!u{`K~iS>CU`$?dBg$$x-I?r3KXv#T|^dr@-x zPTQhn=b~iSPTS!Dix%weoxNa^?@6Ec74Q6-(L;tl;=6Q6?uY&-C#4Ys9+`A$o-CMO zGYJFl^_&we*6};tpDw1Z$F#~N+urTdiARo>Hly@-dHMv-mUwGIF5JVaGLu{YJt>V{V!!+{~j6b3S${l*0=I|_~>l7}VZ?qaWZMoZEvhxN! zHNP&A?PFD*&oWuw|2GlUJLQ4*NsD}dZ^+3k*}0a+6`Ol^*=!SNT5ev6EJYk9CVqom zF}>7xq>GKF=>+0_u%g;s@*${xd#9J)r_sd=F3@I^qSiLpWGxRbsdqbGzAWeSjvjXf z)AEukZv^tz$L;Y{QH=Z!JICkG8g`ahMxD$Gmct}(4oj*Nfh)Lak`=9v##&-IG->!_ zMd*@?0||*j)g|XWtgQ;04tjt+^3Aa#S-+b%d+g+BldJ~xHV$N9YaEyuo+xfi6emV_ z8&C2^8@UsL&V*WT?LOL%587nnXk#h0O@4!5*4FpfHLbk6Bx}_~#Z_1x;~O->%egfS zt|hKvY&6h*&x?>zo%VY``*9PhA^jlQKL&?H$>6U7W1}wYAI82*QBM96~8{p989Ws#)e0>IZL3{Q zgT{Q!F1I7PO@6!sru?H!Im}wJnfKg_fW+{lgd5EZbcGJ-{v9=l#8lL^WIUVgt|eZ^ zE!UD@D&kraZ4ozd+J~G-bK|`lHH`vaP0F>TXz8fbQtqI}NXdudAoV8a(!E7jx^TCc0-){}6enh05pp9xZU8O$OY<7NUL?hw0eLU}$ck zCXVAZp^6NiE=%MOE9mbWd~6<7!nyiZb=$Op0o)OJka zL;p!-peSN5b`8wInyGq*E|F(<1?ud9B9ntWHu=j8D`3<1FjTzp==*AQ1?qeDg zV-lmiji-8T;HWp?@5sC1wIU6bkaPo?|(lFw90L}ovOz!td?qHC$? z@Aa$`0_px90!@FPfa4u@Zlh1Uu+!0(R)yC4q#O@=Sxbxj_SEgqJDJAb{cWG;d`-!1 zON#QS)g5-RpNG&TMN5kHvA@(3?fa9L(D!p3vgceSfmu@QnoPy=PME=H-+y(pri{M7 zMEm|Se5Fh2`%CEiONy(Pj%bn9;2e1c?XIO&ypG2*$RQU{&$%bj_TZrnK$ru8C(MRJ zXp@TVrsMb1=0Th55S!8x#dLg&Fr7E9X!#E5dw}W$mkPUi z*_E350^eEQQAvMlix>)q&#KQkI*pxGPY_?ie1h8(WB8uj|FBwtScwn@i+nR#)Nkf= z8N}e?WFvKc&e=Ab)o&Nmwdl&7VACcJK|M@uP>D#E-#mn12BWsG;&^iD7yBZvkQIVT0wnndSBCpkMbi^Z# zk)lM_#^dAOmil}zd+_!7KHgDEd3|@4d}W^6%nwC(PPtI$@E+l!Mrxq<^+ z{tNDqA0YXBfacMpv)CaQF%Kny+L$}{fOdf%>yRuWY@0S@7*$HN5Sx|gg;?c~3=LzC zw#5q~&#iIC#wNz7-J?QG*vkso^sdatXtLWoDlx`&LlG}bl#H-mumQ8)9`UaC8m8!~Xrkyj-s^)QF=A1oNOgec>b9?L_C5~8F7E$h z>|NlSF0aS`e9}-NMoF7gQ>|LHYSk*OqM}v}Qm!&h(=@#d5fv3JDsDJ&-cXq`rxtf* zUa>80Avkq&&Pm0l1wldfi6#Xv6mL^E-3|&Wx=R1=^L*0E_T66pUtX_#p8NfF&Uwx` zPcKH&TL*V|jMUrYv_lM+^9H;j`<6Z`4{GYN#}cKaxx-1*j4?DW;8)}J8kZoOzCSxK zMc6~=HTb2`H*}ay?^(Cq z*x}1eGf^J5QdkU6Z^22%T4tdh@fd?#A3&A+2{zP;cU*FIT6cZu5`R6M_NlJ#kLB{d z7Y1`Gj&rh6(1&u1tjx6a%PcNlr?KKZ$jq>MGm(7%2?_t|pia2NLIR(l86j)1*aQZO zPcZ()ZYiLT0(oVQQ&WH-@niF+E|HteAsUg(ehSmcEI#Xv2SF0YRhbCId*U-oB*g0nkyT|={(Jim&MIVBeT-ZTrV?~sg&8cdB2*sI)6`pCcJ@sh^-Hh$f;<>63YH& z<2Xyk?62601@%_9@#)BnDUK7-TVRNoe|}m+-#?4c{hX{#1M>DGw+X|!@t~&}P38$O z?<2*GCaMz1e}N^hM|x zSJ@rjdfOdMxtRzTTI>AL2utL9D1J6TF;MKw3w5_e*=$(i@2(kapOI;wLFYfO9P7N~ zu!pl^hCU#EF@e>og|`Prz0=e9@y_~a8zo)A-%*fVa3EzhMoazY$~%uL zD^Vl$+8J;BI}?%TEIR!8lX*`LRb&6qm)s8X5;Tsj}x8w%|ZkM&E>avSHhH^n6|&-_>ii7L&wDmI`0iQkq|qt~Mxxm1!vr z1gI6xQe-Byj(MLjFYW5^WqIFcziTNj$Wv<9lk8xQk-t7Pq!AQ>7;b4FWu@-w|cW1by#8L#sh~BXwK)i zmoc7co<=0Z!+Xix*Ehr1H3t1qmW3wOot6FE$3kz&Xj1KLe6GNw9YVj-fr>?TAtpB8PC@2 zcq$s?T$uf3p3WKBaG&Pk;787-;j>P_i+cx@DTn4GPQc2&1IFppS>y!#YVQDZ@zLZ2 zEX)SjVS2tSnbT4V>4f@!%p1bsVT1;%GSY~d&lQ3v5z*_Om{KzgHSvoS`_y5&{}47y z!)6=GhenvIq{UCS5Mi1VK3~HZX!y;98)66Zfun=O`dm_%7t*hi6PEDxn+X7g@Xd_Q z6TnSV!|W)#2ENxX3v?Xxo~H;Rwl`7QFDFt@bU`7#|DB|l=CKkQgT#OQ5EeKkLi!a+?y3;QI!j+CN#pumZCcbk~jyoa;DJsS>cXQ zU$~>bLeCHfr0%FPR8lBEE3TvR)Rzb|%JwgM(!*PQx$xWulsDdY zZ!c|JmpzncG$y+|jT^@e=H=1nm>OtL>zJ{zl0z4g`y~gN#*n;YhLyix+W612WE%e5 zb{~wOB^w0ssLiodcw=}l(XB@=G?hs+G_4{MwE7vU^^_$&I^iwWqEk*$q;FbB#BM@{ zvla^@GNZIshr6-As-vnNzVgfN33=?xRt{i+u>p(ylf^ru)gNgG z=$JCN=KRq8;W6gr-axxO%3QwB)=AS81?*2nM-%}5hf-1a0@Gb3spvjxmg*`h(QExf z`V@M+=pH?KT1%N9L5nsYwpo3D`oErPvC`+0|Vofs-l| z-s*Lpu97GWQK^WL#Di}k;mK4Ncy(4LysLfvliRDDtIOU6dSdH+*Str~J^)3BeE^<= zbQf$&XHr)MZT_@%4Q7O4u3?zD4GhM5YvkB$=*1e!0dNauqDV2SnkI9IhWfg^$r`at zJf7Vpr&{8SpAECci#pJhTdd_OLiVS9q1E8WPw}_i>YwGSF-Ti>E*P8v`Q{cjsS~s@ zfV3x%0i?}=XUJ~%!~R~MY4;c0!kS$Ng@hY|NRKgRmSTkEoVFJRuUY5ES8=tly!a6A zvgTR3VmVQ_KEobY4HFEy?`Z`xFWY6)i3a}hJMa%H_^F0O{iE^(Q4=#BOQ^b!U*QV` z)Pk|IuKuoyQK;rrImNqS`^|KEIns8+Ry#)?C{$=-ffPa-wmUeiCnWF+cr=_*rmaCj8PeD-f?t__KIpFbj*HKs|xQ8_F6S zSUe3yQ}NC+(@&MM6}P!HeXCtzaSx@Ort8`z@G!V4j;G4Qg@%qZ8V|3h4|*dOV63m9 zXKK4&cY^`YBXd(!$&ghPD;_p>P;oN|VviSaR3Tnr*=!}!eDa>b%*JwHcC$s!-cI1Y z3Xg;3y!aauKgW=HA(D6_bVisY8UDKe3~uonI4SmECt!Amf5Re|r;>TT z^bzJW+s^`en!UOyl(V_1Db-{)@({E?|1nKK!uk}fM~V(+c)C2w`WuvEDs{$`%59F0 z|8yD(R`X97Shs9Y`+7b)TW>f_z0g=}m&A;PzCX`c{Fa<+EajG* zZY&W?%8g|n^e$sr!hMplJY`9Nv23uU=s?=QJ(C0X-9-g9dO$@7EP4Kidc1Ls^z{K6 z<&#Cw;3g4nThaj{gVBjm=8+rAzySR`Kw~@~ay(}{o@YUjD?3mc)y0yPZQR(U!tzRht3P!e8!49<=xyb6kpUQ#SOZa_%aisltEC$)r#^32@n zl#s8IEz#}r07Y!J^r@?B$nB|UBKD{}N4iA)V+qx=TZ%75onneYgzW4=b6Ko6&ezd8 zTUMXAXRym_F1-e>bZ|1=S9PB+*8b8v?5}1rRPg6C5yAGzxI0zuWuEK?t})yLh(>@N zj=ym=y}o^&_Wd^VJ?$yApTf6a{sm=bhF!cWXnR+M>=APOz6^D)6k+tsmk`3?Dhc1b z`8R;WxiU%vtrkE3V%r1yh+>2&! zX&cyCUGv43aF2`q0LBSnw=_HB?-fq!`P9X=Y0o<@u29v^HPBX<{DvR{C*Gfhr3_Qt z?Zy`}N$G4-Mq0`Yh5TU0QJ$8mT>n%yf0iTl`_PNU(y)ehc_psKsv?PErX`{-v_XD3 zBf21JMN`pY)J4J*i1*G>GyZ1qt~m->A5|000A zqUNB+EkWgM^~?28#Pm`(jZeDu=5+5uS00zXx+%8=aacAV0I8O8(jBO4Z9+ChHiE$o zG!E_(%>2#S1K;4AA9{!IO}!`fYCU^=+z%PHo3W4w%lBU9{R!nU_yI(K%0xMe2AZ#7 zg6j>*HF_{YzUD0!ER8*lGfNvMuPz?C5@{@J1PCf$Jys7!WnLR;`nWOG=*}NqmMaTN z*>yDB&gE{#t7NvHuwi?71Hj#sa(8)yH(2X<764kfKfJoQ*q_*;D>!+n4qJVoFZw;eFk^$<@DMp)YCG@z8f&-h@8Qpl}`W?swuf^fuH*$|734My&XyWeRLovf4z=?{eam z`sbKT8d0wYYsYzbH6Z(67tcSwZ-qCt!rMGsr$bIfKpO*%yRLeje}y+%R&6S9F72&2 zbFcdTN2+bS#BM6AiK?@;9#0~zc3)lIui4Y3?>DA1>oN@m0qx7W2;gMrjTA?umQba{K;E0=+1OyE8shoK^bx1SX+sch zCv89j4L}4r+W;M~yqkKhhHQUdpK53W%T|_WV0IQC}E{yrSR^cfB`2SA z0xuG%c`3Zu5=xPE!4(c|Pkw1)=h+UmNmk(GK6ss<=zxr`(Tw>c z?qEvffh1<+LrCd?!-tY>)Mv(@amk#EVD2!u(lVD!_=lEV%0MWcvtkbl0JPurob+qI z_joub&DE)wbJEio>X9 zvr?hH>&=)V*OyRISf=xJaB+MM68`)z)qgf$j-Bo;?f%c67_jPR0)dW|zW2@I$hPm{ z2hG01V$I4&WC4OK9iUn-Y*Ow1lMLU7a>v%ox9>7ris@U0XNyNEs{2lWjW@BiUmiaa zk)HGwnZ#DwzJri7GwJu3Z^KB}QKGCCdU6!*gJl^|V*#ky!n5o19OD-F?4KP>e4I6R z7#jRb-`AtRfqN;dxn3{F4K25_!2vy12d`PuTz_kyfjji(9Izvk_#m*alF^o&Lu};F z-K@fn5|}@hxCXCfEi+?t?e&YTjuIsacDn5PCbQ*iY@+`gJ4#p_PW(--!E^pk{I{7w z0GhcqPUO@T?tFKe%O%!&=8AyZ11{|c2lm%FbOHZlSq+VhaRsu2vOwSDAInOH;K0@p zeo6J{DIJAyCxIEk-E@4#eJ;cQp)A24yJV_%1lewmOm6q5CzFtl&p#E4RF*HrcTG>; z%+fp=I85mjd3E3A>#(VWrG;Fzhw{e$-&9-vXR5i`RNAPxA?r+%k0ClaFke}|L)qB_ z$;bxggF8=tz!b^8Z>Q^L?>VJ-Tv~2Cd=K!FzJtSpmw#af3l(aH*?NvVyq#0Zl3I-u z=b;5N4gNIJLE1g(jXS>Wm(q1;M&|zOdVqusK7nHmQhqpeU0YhougR8&G2*?WR(K;l zupld`ZAB>DS(4s3@GYXMUemYP{iTpQ0!1NFi*DH~bcgGlVzvqnm%rBO1Z*U1rAx!? zaA$E{D%@G=#_MjzX01!AUuQB5h4oMF9HwJ;l92&tZ{Z%N5;t3N+eBJU<1(`RFm8`?DmwSDpCj+Gja}k8w~h^-bCh1!7uRlQ z5KI@Y2$`YjjibSSMQ9rIpcSF~(kFZvB{G26kTx2DOv&G&>336O=4$o1vH~h|I3SjWOjWUIFMAcG}Q;B z+F?ohFXViRW#K(ly! zSxwM+1xMnC41~Ea`S*FXlzd=IJp7XXm{(7^3n%$CvX~Q#p(q5qS(R5N}1`=bxJ;u~Y8W- zE!RCe?^C-r)txLsB^RYdaD8i;R5~Y7(DD=DE z5=OHru#ep&JqM#R#!4H(#>5n*uT58Ab?2kH0+th)A68PC%022ap+{( zwXsZTe}MRUjf$iU*QmM+KkVW~1gFvTU$Jv;7`Q~oEiK=0k$^rN_<=QdLoxYRiI!VS zxgo<)U0ezHK(ajC(>Y&cdiASqP~*$?F+DyAg<6-j;a8r#4eq8 z_f4T|89D&{u`-8N>6qzH@u8)s9snTPlv=P%&=`nvImR&G2 z7}$DyRY_tt-X)27_Nvmv0<5JVD%>Z{gj8okf`mDHceuw(^9RB`KC4@)lqvql7AoHP z&`)&UJ>f+ch&t!rtH}0`uAqmx)BAGIV5_e(Q6I5Ah?w)LEv&zGHS34n7`aIW;<`do zPi$0KNX(eU=-0tbvEkJ*xp&w;PbH987(ckc?DnGop5!<}^A1HRdivALUgCD1ikX z7^?2VONg6*Tv0wm1dZ&H4W@eoI|~y3*mSpC&F0dNWdR zeFx%(5`ONN+L}$5tr^TeB2ydKKUu4_P+sIkM244Gowe}(;L1DMwjhM_8Q?O+E0-LA zpWem;e;V$}{q)qW4fr&Zn)C!2Pa{Ig#o#U&^+SuWK=M#&9bP{=UI!$A6Qv&Wb}+GR4O^Q@psz(B*CE zCr`5l$X0B+3CQbMy4|uCBPDD2I$Ob#+ns4%1s^TdVVWbaecoQvoLL)@X(#A7-|Wdw z^9hS}n$M64yVU#DOU-2dz-_m(J%;5~`c;{O$=cT_b>1;-4aCnP;(rH8-QZkn>vXE)XMT20m9fw5kx zqVT4unbQ5?m1WFhgKeGsJ!eT&^MdcnGUiW8H3?RfS;<%{j0WRZ0*GwkEb#?CG};Yr z+^H?eaa!_yb4`Z2H$iV%HqGo{&)KmlEB$uul$Al4yHoWIT(5n`F6*OSYSiwP zGCQq1r&ATp-3cA(A(BfCwp_g=#KnYUNUEQVg@lv(D4q{1tMwfQ-Dzl9zkUpMG> zEB{mje>o(;Vmg6#_R2}*Rtr_QHde9Epc2Gib>jA5B&}Gaw>BdG%-=KEC(q!+lvttR z&~#FGqE~A(M#X_-#T!8J6fN}y@||_970`SUTZBIyg?TIeF+SAFo%cf%r8m5?q&Aw2 z4|QHv()brlDD`xDpnp8$w2W?Lf4S`6LTqV3?-QpER|Yl;aI0l1Zd)d2eUWxHzNg`? zDBGi>j_4J+Dd_NlOODsv)RQB8Wm%>!Fv*0fxE;6JU(=l$T4P5htSm#`$c0X-R813Q zHSyN1Y{PBKTUlSqGQJ?J>_T#HJ-1KvNuS(`txp!1?=^hck$#a!&#@!jY0Lq+4U@Gz z_Eu*tD;>A$*Wba@Sz#u&#$lO!pOMM;B`W*n(7OMp^J&X1I%EGv?aUee6kAGVqUb*k zZC%Zi*oV*~f;y_2j7(*$14@O|OG-lqy4$Cl68QVASa!N&e>eAy*WpMeLM>HDI3ReY z=k~LlEJ^W_f%=A9J+WlWv6a_$*Rohz%ava-Cf9?d_e-50wK;v4Coiv!bhlK+>_}B> zc`u(*^yKx@ZK&ExctWF-@+=M~AD@vUl8fWId4*B&5KL;}%mbButB$Br$H}eZl29Da zB2Ha*H7|KhZY}F29OkE-NZo?m%Mlcew0lqn;M4o=v!=2Mt6N!AJmJ-qObU12;bxDx z5Hu@8G0_sGa}J=(LD#b4vN3YMdWj2(dbZ%$Jm#AP6c(Zc*!-ujdF9C{wS=)GE*%h?9-!plX1cP$oXa; z7tK4Jy*Szo@1VL%qj|>~_xBz5v4-&>n+@S7NAvb!uQ9nIady|vNcFEHs=7?i?1`a> zT=?yt!HLKoV958>?viP0SAA5G=10!>@rj~3srqFx-?;6*+|FS#c-sA#K6^xa(9UfS z*|||z)>QFGEHE!vu{j1c^wEZ97JlfU;Wnc^Vm_6xBJ>XdFRmfRl zm`cU0KzXLs7Z@G#Y6N|lk=v?l%v8)B$j@+ZwO=TgP){Dbd44L>?twc=o+G{di4Si1 zSJwP0YwpBM%V&HInYWW|&ah<-a8lClE3Gzp521IxY3+KV(7%6#(uLB}neIpaYfcA5mDid=Po>aoqjGv3MPkE5$ z%NQ^n1K6zm8&PG34?q!Jne~`JxlJS4cd$Z6n>7+9Z!dozsyun{GG?WDfS?baRQci8 zj94l%DwMZwR8$Z5XsR*cDSW>oFftYKg(hU8WHl1|zWJj!TT!%p_#k*vg@5E~L^A(- zuF2>)CnF!n{np%6BtR59H}53oC=Q}6#WNpsb#5k#@eIz<`J>mnqt)Hj@Jv=y%(7fw zQT6xki-OATFBp<&m2_ctyJK1u&x=*A zYEGvz2j0q9FWa?eu#>~|VKvKB4eoqTx3?4alvS4eZi3dH&=IJe=~UQ|NAD7)mCd(% zL3qL6D}L!lY4e37Rpql=BI~@wHbl~&WRn_d=z?JfS_>Moj*1#oQEJIYWJ~sk&NP(8 zX~VIhBA%6X@HB6UokI&CC>}x!vejv$Iu*IvmoOkLkFXAE$g-icyy86$du=?@>N)hx z-8A)&JDsLJt!6nA{Fd~e`&|p^vd{^pmt1c7^XE=4tX}pRygXmYc4m}HRpXsZ(q*Z*pJ3`ME|}1(CSZEo+=8H)#}iY-eqKS4z&sQA72A0guS3*lX?m;C=6_x2vRv zq*LJiWy?XG6QKJ6SbJ-k5hIz0h7+@7?9)+B^p?v+J(7NJ8QGGZ6zWN2Z z^~&~yZWxrT*dyh$>8#l%Ie^Nc5C)1LmWrck<66I1*v&oDI8&m0HbULy@X$H#ca6Ks zl91z08Mh}#ypB7G+a}NZFqKSEoTEi3y{d$z63UTo`l=g|Z`tx5%jwtbLmAn{x8OwH zY{*rD&Y|q<5Omf9OKmfx>8r6lmY56e=1y$TZkAvGN}fPFJe)_z=*cnKoH9j>thb_n zH|?}!AHw@2$9%s%Z;c+)Ut-veaxk?^OCjI9F|?bw+cY3YUQkg}K8e&=Fs5I=V(vvqzYwf;RLF-m^gqA5XK>j--e}97lIT?R=#|D}XJhnD z?u~NfLRMBUx73lBc>mNBk&7wN=$HaOt<}Oo8g4Nq-^7k3*QgaFX{Ip;q!AM&Y4ml& z1P_H@x|@Nc;a5=7XvlytXe{$4$_xlIPD!I-tkA<%$5sq$Ffj`q=+lOPnUAp)c z9AV^y76LTSmvv{Gp$90*h3=!t07=eWU4j^}n$u+wekr+wue*>a*J~6R!x!l!ITBM2 z;1lg0g-WPqL=Oi86AT13g%VQ{d6x?37aGQ;Q%xP@Ez^(;-vZT-(B_gqf`#ZsL{@X% zp&uwB2eEww3AkFzsqlMKXdhC@I;X+|jJbrwkyJD+$1{&O-gk^l$uFy^%Fp;fFMJ0; zZ4p*hVTPdVu{OKDF?@3C2)fz{dY+(_SQSwC-ALZe#13Zp3yeUuA;Fiy`-8S4AcV!l zy_EnfaBfnr!yV478A5j@D zt{8syuBl-D0Z>-tR+25pVs4rc+ zS=z9X;JBnURhBu456#Fu8h$`h?!c78O??PoXu=ic&8e6P71~Q^9e}hql$Y-CRt&Lr z>26TnACvLn5H}Jafzxy+ipXl>!m(sF{Xxpo$6Zw6)=umi{t)4Yk>EW%6o|-6$=&!x zn5r*(;3A~&0kd-|x;!9$@bhzfG2N19OjZgYx3PG0>1KIhqB91+`iMTFVo#_$)ex4a z@L*xw1e4WK zBHvpxsKYmhCeDD)%%W!a42)l*wU^CA&`SD&gXIvi<2?bq#)%}6R1mbWIe{x4M9bu=balWdvZ;;Bi+CP<#TkJOOS*b4Wtb6R|PMxMrM@u_#4 zCcP@Jm>a+rm3vIK__CSyX-K4x_(mq#jpba{OKqSsDTh+JO6xcjZ96rn?QN))dx&GpBEDgH1y63YfDYwnc%bn{?!=6+ zg<@UcSoPhaq+E(a;(Th8wK54anzYcVjwLCl@$K|RyX92%?>|@W`YS`Z(CRj4yQ-+u z(Okr6!gMm}EHj!(U#)uHi|1lX9$;7%oQTLsvdG9k&ZC=7Nt*M#(_6=!cnhD%I#1+@ z0W_~1LH@|$s$Zl1!~m*ds_l66fWc{CcKy#e=YP$GAfYpv0CZ1PH9cPGrg?VhTYLDRyR zU0VfFK8l-=C?V%^OTa;lW%amJCs%AgMES?SAA!j3{lq|Ir_f2;<^YDh4T89>Q+1fU zrnYwFF4$mfGq9~OwprMoF*c32(%6*aV41N6vHjZELfG!b23YrJ48Xehxqx-ZmH{9` zXVcl%MGKkBr*9uZ?PMiBQcGY)YWULa`QP@ho*wUShqaGhY_nwaSaPEm$taqF3U_c7 zUALdwF2=@scxS+td=j^NDl!8K1HLidKtHcx^vNdkeaU>gHDDwP;0kJj`7BVg+?M%jjyDX*Ti1-r&sVBH9`v7Obk?7MSST5ybz^xNUXcp5=lBTc-mhL979i8u08@s7a z7xx(_G2f*|Bf+z2!s>^_A*Y(K(@dDx37ZS{Yc7ILFkuIqFki1KPJnJj`mk_e^} zK`Y;UD+o>dS|cjl^*@_>o7Y4gEvWh zw9n=#JFl7v|0KyVmK~F1&pYa~Ym$7e7P&~1q$bHuOmxlfV^V*J1Hbn%qDtqSBwMj& z zrP~38e8zSIHeMWIs$Xp(K`t+!Lk}mTlEh5F10o-vwT4&A01=BbPwWO%qt8dkQh1wgjCuKLG zafxE@lU--&>i#F+?i_UApi+$H%MqGpqMSzU5gTkOp|40Ntr_?3D2_f zq|8*CciGsa#MI_nHjcTqjO`-0Ot*_=x?L>O?cxwFyY)-(Jb0HMHv>s2OV?4FvtBEivWFd9zG+kJ@7s>=emEXWl;N%6EGJDf#3^I=j_#ztv%)vZ zm)0+jE~R6Y{cl}4jcO@Vl76u~2h*rZ9Rn71dCC0d>$^Z)lgLXr7-=2*CyV}T7_&jm=34yO~h!%syJ+_4jtY<2sc zsdH6tD@I~7=Ytyy=flo3^nCaSU*~*yah4hJ6pTm?UTsc=Wo)w#yW|eWQBmeB*Yqdp zq1iDfJ3DSRyS5#EYl%zm`oN~29Alm@ymu!Pzguos!*=hz%lhE|c|SYdj^iW*$ICb3 zyl@8WW6Qy&<{TIwVln9P_2``d$Qy+ll;TlmkKX+nHIqv?m{3NcsPkR>a6QC^-x`G7 zt~CU~95nDv_9||aqz{vAu+=ZN@d+yQx(=&CuP23!64`||z^ z4tYd<KI_EATjA_&b-my()I*upRq5MegYH3wy=wY=P zA?KePoAP8X#`YLPhxHMx%)*rz>^K3kQ4IC&Hvx@5U`W8lCm)+d{-=49;?}y2-~+t$ z-s!3mD>7bgp`*Z*N?_6cJI4pRY@s(LTd)pMC-xy|qZ71eB)bm7BY(oJz40WbzGYFw zMx_3&S^tN!{%lJj^>5Dl-<|bGr|JT%DD=&#_^qoq5j2`EYkK7pe485j;2coqk6XDS zku$Zb?9IN$%o=m{2!auS%;cu$xG3Q{-7RAn=)XeDP93r76 zfunIbyT76ts$yohOGdHHfo5dnKKzw@^)6;oZqqa34nC2j&!8$kqI)gg?Hs~Nt|O4c zH`JuaOashaNPz(nF!i8WA|?iU04sdfLm#G5k2-e7UGP-(o3_;QCO5gnGs{Cv@tIa~ zxobL?CB*QS=2#R^CA<(}^n0HGQ%?d8grBS&wgaV4P1?S{mW zRWMq9ys2ukiAZ2LVF?foBquEUW7!N;H5P^T+}uDCSON(s&0Cd#QeZ$ze!X;C$z zVhU=A2<(9=14e%z@(U*Nuscj&mJpc546}-T<{amY4&YXy6Te-RYLH%KAf{NdFZ)rl z#*|uwceVn4WID8^or=y;8NIq0>RsNW{g75rxhoNi@P@{l-aYfX-t`oonC-JrSUv)n zWNaG}rJEVyu|}ri(s#78c%Xm*Z)YpY_SBcI-dIgcqm4_-nWr*;PUI7@>8I-{Fqs(F zgo>qcfypfWkcK#ImpK%%aW>r{?!A1Y>O1G(J7q3yMvq2~GY9GMg2PC)=@iY&^otZ} zs`*5lht8xE=sWoxp?bgJ){sTRb7V1bz`T7< za*RP~&#AaqPl!OR&gaJN4llwhZ9Z;fhvxE9>Upmvv)LY4rOBoaXD+vy;cY8n7VBDW zgLzqJGAQ;-{f@3GSThgHMh}JCP=wDImSxAV(~`%Crizgg>R;`L1;+EU;kK|7UD3{vNlS zf0Ujo9lU1{ncP;!tah_}Q*1S@Co=_-(Ke-h$m@F;=E=)~@xbxPc$>E&HFcS<3DQm! zGcN?d{lrP^wU)G~5tolXVP9Q?zOOime06~_sk)}X;mJBuYLcMRI)LPjn;hx_`x7Dm z)9yBOGe##Z19>Zs#<#@#&^ZugBRJF2LXoW1sDC%fdK2T~HPj+llmCVt$K=gmOG9ex z=!9i{dje6Bj}LTZ>heF%dsb;+Kj7eurDvzxP?FciVRrxxESR=kmi$A{?dRS!=k~=X z>rvf7GdOrX#?kILz5=^6=k`CM!~+dU9PpyaVqMphkmasY3)*19kYLOySJCSyUFcnhb}Qk z1=4lQmU<>(#4_edYE0&c)?9uS*IqX`X zbgeE{t*yb`2^F4_Dyq3L$XxKdWf$wZ;&yn1rN3OUqOE+vT3*GlrGs5tKK~maH>_j- zG^StvZp@H;sHQB`DBF#fN8U1~SGHjG$$cinJ2j^!=`=yRWvMX-K8m zn=aOvYA=E>?5Q6E|Mp8B)t&*syUO3sy9)r^DIRNLwmxYk@l;mqo~>M^p>yN3VqJHu zLdjKr$G_CA&$s#HL?C;=9H#iqs$l-q z;UJ1Z%%n{I;sk{PC)%Xq{U-k^ZrX}gl{zs^@zuTKd&XJeo)28B%HW5vS4CD8C8d`3 zNfn<+GTgJ+1Xm~JJoP=1PmNs-GCYAq1-Z5wvEDGb#N>1W({c=7^5Tt_Z0#d~i-s#l zTMb+Nx&O7^$m&dy1OKz$MOtsa)>}KwWEJbJ`uBQI*LpuPh8o_>2VBh5n@T0+7wY>X zKGk*%m`)oEf0i0Gy*1i&xt+kYT+3Jc0KG5#OH>ODXpLr7Nf&(TiuA6kimWPQTzUS2 z7%;f3f){92G4e(@+&J7gK#L5&;O+(g6Yu>Gd==cROezjFw;AZcK2c9M`MM0SBvmsz ze`F>ano7M=HJ5R=*dS!=5`KF&C)09In}=^zn-ZJQhpu{Nzb1Kge_MInzWuJ9zR1^h zTTI4tP?Aed#r<4uR?16DsDF2k`Zq}#{!oXHRij3ZR%4yVssSyWu+E6OOjxI)F8HPRJLuq3 zQ5Gh*&L97k*ogu80F(9lTf9ArpAOuR9fuOIPaf>bqQ>T3fh++c3=NaFvW6vblyIpm zb-~0On|GZQg$*S@VT&9Twg?o~UR4bKNh0O&!na4MhFe|o72~5`ksg(c0h#)6 z*h5*!A?0L@#|C2TaKW@Ws%I-koVV{dK%hCK;p)+<6qaw7Z6E1bG8ZrC4h4Wx+bD@+ z;(73eto2E(oEUFopm&mbJ1F^lZ1induEhdMJ_{oyjeMOkD67_+X;6<#bqkZTKKK?w zq@01Fml`J?#{&F}$OhdgDFbwa9pBfM-0d@nC+JUKbJhj+=1wN$NdVMUSse~?UlN-`~>Oh69w;k-`7 zl|;XtIah8;U7-)UKveDL=GPgf6j$;vr*bO9f2mwECJ&=qr!@=Gk+J5|-;{l=!ZCyO+&vmD!x)K-h->S3=-hrAk% zm(mR)#-=wglZO2&hy6}6wsC}(8e1i{Lyc_;wxHS)N=F`LY?_}78`Jd!47*fbqwN`4 z%s1crU~`-F823|rY2V*?HGKtES?0Lk$VoQaNbJi}+>sPakVIOWlazZk(w)Xr7O8>% zsvHMEc{B&Yb@Sg~e+Te;ASYF4<@28O0mOpN^SrA95hnNtavk@}jPV$(vZs_>ln?z2 zEWGaWAqLlI+}*y74Y=oL+V{uk!P?p#x9_a)og}A{us+s3NiNngNy_sC$D>Jk4Kv!9 zs*Wm!xv{V?RUK1XeW7<}Z9})-#{%fSKqSDFXEA_&6BsB8lAZ6cK~55vR_PPRzMJyp zee95z7ZWXSKlXK*gs(s3&fBL?eu!s9C#-x|9c^%(;|Xf8uS7l{?GPS&g*V(@#Zxga zEusat5mu+HV*TMO(7tZu@z2ryz<8~Hc!YVqb3VEh+3k=UNCa1a>Pz_sh$psO`IkMs z4FmhfEMxKYx)VWY`CuWn;q~K?h*>e+4z4h+vB<52QI4VCt+Y41zSIrxylbH=ynX?; zLC8ko^>cFU#E$U#k4HeqCWqYK<|T-qk>pdCt)KAvu*qSG$>AO93p4Gukg2bVv8*q5 z+d1Rc^I|tym%GNVx3gJ~U(Xxg3D5ZT%SIrd-L+?M{Q4aulGcjw`1K#VZ2gR1&uh%J z$ z_5Q++nOPCm3$Y+?l^BlN*Xh>&)##_WGJiy7nJ2KHxN@(oe)ynj?#huqSP@wPZ_HXt zZa{|HbZnw1ETb4;4i-!9JCK$~YB^IZxgC3t+IR4VnDIw*-EK>+H2(F@fXZK(5=QN# z%PkjZ$cpkvcLe#UB2;GC`;JGb#2Vi^*7Qv4ID5so@vW71)A-h~EsIyvYpqrEa_ba2 zisy#v$Ln)LACGT^;PmNuo*S~hqrmDUs1C~ol&3T_YD`!y;ybk}I3j1_-{XD>QBiP% zo)X0ky7+eQBTr-W%ahy8X|&-eZcn)bU8^QS$6gput>Bm9@0^rwlJ?6Y zfV*hAr`u&0wv?q2S#Ck$AxaKa!(pby8$#J3>JRz)(`edj8HXyw-#g zVcAU++I;B=ZGQeiXgO2pIHi0np$8_kg|NM8Y(<0@^Dp6F+DpiUwxa4lHFEuW9#wN$ z$auVnRh5`t5K#K3>H+l21jn9}cbsMCP!2qYsU|srVge!CgNNcWvkG(ywiM-!*lgj| z?XBr+;;hfn%A}eMv&O&ZWt65CuqRIgM5F`wbkUyYTs3w9jp)(tHhK=j^B9*jN>dT>^67%%^%ZB2>_N2Hawg&F1n<_zd--Wk!%ba{k`h0)+t zd%E0@Rf(`ilY<_rQ6?Yv2sC$5z9IJ>-z}pE(~Fe5G^|L~AwD7ya?Gv1TfME7#)Sbst;c?xLqzX5hpqko&3fT zK;{pa%#U{*i=51#)+~U*9;b;Dn~Nr+o8sA*_Y6j+MdZ{sza4a%rEs`c+m%&P^?&5! z(y|YcRi5kc1L(%Yu0T#Yu_KfCIN<24E?QljkslqwIqQRWZ`&JCaIS}U$d*g+;}H2I zxkqVmYY*eF)cas%j{IIuGSXR9of+Q|f7MftNb;=vxM&#d$YIPt!kwPz67m{e5$iY+i6{+lWm zU5CFWlh~0TL|1Xy&_iU?8KAs_X>Sz4C9bSK?H+`n|2vYAL>0%|HPQTMNoKA@A3dEr zD#}o4<1@}w$Q+Dg{HbaIrC18|0yIMhdELA__rpEBhs8(X=*!5ELr7}Xl;7YB_d^5P z6AEe9I)8)F=v8_(2eq^iWoNwM?-0>Ds}OTJ0(ab=+Tm#r+EcGU;%aKgZb*w$J9f4E z`R;&N)G&4Z5|=ixe5D7k&{h3YJ0e_Q7{uX-(Yp3m`jYKln#6k$TaXa*s}HYS3lZHe6lg9J4Q&F3)L)>E6^`x%Wp{)HS${PlnQuu zk+Z*^yJpXzqlDQ-Rv$+S2s=W2LD}WR(1i<(qraY^DSU0R`ACdNogb-NgnJJo_v8^W~m6}o#eu>1Z+87 zBUJKHVfR(sHXA0T@4ukJ@Q2`?mc#fWjh2#^*oB*Ui+)fGf8k9nTy$eb{{v+?h0k;f zZ^B@!)P1seuYNV05m_w&hG2o(fx!#F4($l)Ub?(If{>Am4l(^58j+C?u0;Uk0JLlW zozjZo43`J$_6%0cPoZlw6JS4pH7lZ-f)&^=y>)3!P%#2M8Tvh-r1AJVO-j&#$3o5c3it zWNIG30o`p80wKGQW#E)s=Z84`Xjl%LLwdb(^;*))sb!dwADqD66P4StW!$D^WcQ2( ztV4okYY@%uCP*JdSc}<^sRj*v&}~;Et`W~P;uMv|YDacNg$YE-#lFL|dt}}-%EjC#bsqU`VDfi8v z>FH#!9{Ho@*?`SKz|@KI%M=}<-TsIxRju9dD~hhKU5knbBkV5gNwzV~+esppQ!QalcR`VS8@FwQXnXJ-U%$z9QnZ3lD@3} zuB59dNuNSV1f8Ac{EWOvr2I($GJU$^+|q4!oTG{7unm1`mVT*QMl&Y>Y0BM?N>dG$ z8|!`y2O!NW#9%|*f&oS2vqmfaqi+rVx2{Zk;D)`5|AaWY32{?izjqzZ%+{gQ)ZyzF zO&wZ@?9^cnHr9<>e&#iG@KcA6qSPTs9sF8{NyMNIH5hP7eEJvY#pCEtW?snwPKTB} zM|{0){M3>|bot5}_PQ?a&iXKiMq(NnIC9fdt~BQrm#tZ)WLe^GDa2pa#ZM{1R#pcY zO>LF0WF%%}bB^Mn;F_u;2?+Bl@~*e%#9)_d;(U9nf&&1OdK24Jx|3TloBR31+nq4a zr90^s8-8Yqk~NG7az190DjE&ia(34549q!Xrtbqh7Z?o%7sc%8u9?F%r^2dlvy|2Y zui3~7C8iJV3!Z&~Kk-hnS0>T5s!du;^>h}i#+p}oHCq*$o}hbu36O*VYuAr3XBWsv zCj@{cE@>xP9#qgymkcUwAop!HOST`0*-Ia-ssZ)XA^=ui)v->yFbTeIfTc{~NAY@Z;6 zwbaCwp*i^#tjlL#h3&4+Sr^oEXIkrdwNvf^PS!gW<&4d#cpo0_i7S0Id4B{J+MfdQ zPcr28eP2g6kUraV+%pPshif_fTLVnMbQn&1tJ9nAL^czE@Jl8D+BvurHh>PVA!b#> zvrEfA{=idDiRbd?B;}hJIb5tc*mKB2XNccup~*sUmyBdU7SrVo(;(a@g| z3UkKF@{L=m)CS;Gc!M%>+$J-{Zi2x26`PIB)J*K~EBCr$KnJfZ0ezpavb@uij78K| zRzsU3X1{#Lk`XytmrmchPEU=)FYQ+71j{$`f1@-1-8*ZdT}g>+9xvn>NRp_fL`4!i$R3lF zGc@dR!gNr|5z0oJBde<1E#0iQq#UH-w-1L;ahqt<$%5y;Zvh#j{cLnHGaDtsi@9*% z@E$OIoRqgT?A&bFl#O!Eb2{wOUP4czE}I5J}WcNjW0#FiifbAx{4|z;cpnNnaRCm98X@}p*R{DZzWdREqjg{9QpZ^ zTDdM0!`V_m^E#?vM|p+qeG}PW<3*E~uyGFZuGYtweTTRCIQzV5xsSk%9LUt6JMM(q zA0xkL<`P`jpeM+-PW+wK;y`nFvy{!EK6|5#{!qbV4`FM)!}dU^JD z2INBkjiNOnz+4-gPa*pxupii0R5nd(6>cjx@A@rr$t%$KGt#x*Ea>N)2z9B+ZDWme zgE8}7wrni*rYh-h+q}%=wsCN1i>+x53 zH;|-3>^N3F+pNdR0e>>b*^7`dII$j9Y6kl;)u>Q;eA}XkZ6~F!LA1cGzlI6B3N^LV z`Wf;@&^Jh}^>n?Y#i81~eT-pBzvWmuOq*DjCOwrdk0e{XtySo|Tzju8-W|u%R$hzZ z{2$pK(;x9VHr2MW2KYt7ZI!k8ag6fB?)>vH$0i1N3$Y;r{s2E#x680%t#$Rr>)FZ; zL#Wr@JAZ_Y2yUX$kT|KueG+^-~k@ zF$_nC<0hA!%eenrqu+5_;|!Q;^nx5!NPkk8X(l^=Te%$h(h%9u=+P{l&3xtkl|;GT z(1-fD^*mtkCI;jDE?_y{t`A4X%i#Cej=DTR^EbKEFm?9g);Ir{!7VKBML6Y?eLify(?qyh=Y?W+aYf^=R zh!{lV^lx+YYDQV+>*aZ}%t$3U1@=pt$(a~f}1DS&Ky^_ccylpcduyXB}^|XMlX~eW*8Qu+xv5(!ZU0#-a&?$bzh+eHk7qYt2N+z-KqvD-B` zLU$(zl7&uj`<~%}TGadP;C6{_)D>Oz2eYF8L0m?Io!}S7rkB@0RhxlTZ^K5LR$=5_ zr+f9G=P}c6--q?G&fVpS_C&d`0cBnrmiYe>jAynYbV#XjiMccQ4P~ z8F+Kjo*bTpRqvohw9_?&kvh!4$TMB706KV_O@8z#(>GHQMHj|h>zA#G`ce80}AYS#lk5v?=a( z%GsWX(8CvCGqNv-szn773e;lyd|zYJ>wnAGbZou?m!+a5cnnp{7p6#ZAj{wV1nB|zB5O#?~Equ}Lwa;;tL3U8dT$B46k`Wjhn+%Q0m ze#3Gy@%uD>Nw@mo%otm)Dv$R~?t@G&GBRM0-X?K7P@h>Ec8H z&1)IkR4~d`!_a_}YZgaS9UeVL;~W0Y$Qo$|+T&}C8nq?xC0keJ!_EC#3i1yl@KW@=Ji%K>yL z+9gn3lWhm2BwkZ1qjyf_1=$v8;ihGZRdbKCYYc0}w3m1Uk5fw92IM(kVD{}Bv$n+6 zjfg?A-&o{OD&L0Qxm!Eqtu>}I-g7x&yR)WVra+~9glz3e8_U4GgI&F`eG@?^n%7!4 zA`#T{!O!q|EP4BDvu|=4!bMMvi=Jcqd91U}>c0Yht9AaE&ZKcQX6rH3&0o2J41#q# zdHw~R zrd3Qsy8c(W8M&RrSntVA%8#(hwj6_x$hPG`1Lhoma+iT+(SSG3Xu$I#;erH=?r9%T zAUZn?JUgGas&w~Qh`s`-a_H00?C#g>AlpUBP7cQh`}t}=%CVoV_WiJOlEMld99U z&N=5c^{9A3Mf!f8^GyqG`@O&SpBHV;`JT&jo^wCvInU*AfI!#fm&2+vkNmuPLgGt` zbtxrA)5W)1R92gpek`rW$+BVaHA%|9+u+~HU7%EwT02We8yBc|wYOvw-Z!+DyN)DH zla%?SEC4NBpt8iFP>6DSP#JO!Dddp+LtMJ>T~-(&wGX7&W{Vbd%0?Gd9mUh=u>n-z z49=u0x@EMy$A$*EXfYY-``I|mq+ukleV@k)1AQt13Y61hQS?X-%^z6$E>JauGsv|j zv9~a$B8Nxy=y)(5RmAvUQqcS(aL^QUheRo>**!-R#;JC`guPh|JD0GOE0e;^AojgF zgcPlsB|(%QeX0F^Im>kmbNe0)WdgE6Vjr@y#K}BwX$}>}se3y}d`&(8)$0MgPz`O~ zVQ^=8>~R0_bToxs{8}O)CTZigU!8T_s)z{#{#RRHqO(VGts_^^isDAj>1(T_-ji|s z+T3!iw7hTp+QzW4Fk&o3tz!iFy+nux`%;V{|05F(l)ND3=(bfLg#xERaBD@dE0_?~ z>m>k1h~}LJ4(!>>Ry77u0~XBKL{&^*!`kXW1u!;Fcv@pir=^FwbvRcw!nEh}ubl?E z_c03}2Y_+{3{Ja>0I&XQt373=JiQ-P2MNCAr>114^qCc3JeP=jN)2MsWdk9V$0(hk z5nXNgvF^CGrW@A$Kt^_gR9Pvu!YZuF+0B^4AZCVE%c}FF*rfxQ@yVnrqDEq(6f%u|hU>@Ez-qT$;{_w< z_*HfPwj|A^4yQ@_yCpqLKTB6I$Awlq+p4~Yb!fdjxg|v#DP)QHF)7q}f7J9C*}pE< z^cejv5r-aw9SFC)QB21_?WQyepMwzXd8k=bl$%b?A!c@8YBu-aYoNd6)k{wdzFjo0 zGT$yP#QpBuMVmY_I0|W3>8M=Vr4K%(WB2Q`Z!Oz%WJ^?aC$YI5hk3CCY-xXILB!?Won|pd1C}{ctN?pOrFrW zTvpKqMrw!hoyY2(%BxCT9y7eKgqD&4ijVsCdlK}57e+isi|DA;#F$ayb3-u^Q*9PU zOGyoLC+|5%-Yo|H%t^oaVDf`34<_gsQ%?Yf&TJ{+k>`oWh0g?@m!%TeqSomm9KP=f zZE*j3oviD1Dw`qg^EyyzpT}{4Hx(EH;ws!)tJEvka$4D0`!(*tI`gM&G-Q6z%skJ` zTq2oU)M=8p8<7ZWp>53Aes!SEkuh^zNmBFfbCCF2*cgW6S;3INdl+7jslw4O;cu*Qnljh|!m<=LmAneh9w)ob-OsEbeR0HvoK1s3TT2 zXA=mjpO8b{jkmS}B++E~bKo?Ww9EOdkD@%NW__3UFrJ9@$@1$5gf8ipT-Q*t93(C# z2k>eL%d0c_)knx@==SD&k=NOOKx&OEbYR=4K=$YWyH&c3 z?W#q+d+uJX4nsB-CUYozS_lQR+gju!RoUHw=xr5ua2MPMsqz#m_A61JB5^fYdkR8Y zh=+#e1Qud6L;Pg$l`cmcQw+fB*{@`>svGh9Rp*1|Q1tep`wage|IFhb+ATADL4*MPDvnl~mQCq?kSpS7a@>Tt3eEq*md+^~9?1oEKtQ>n<+v=eGU zQ+1duF7q8HINmG({9Htad$g-~O5-vNctAGsA8nen!dfaq{XyvSrobQozDu0e46N?^D3*@Hgrxk8lpkBwrPMsD@)CV+G5UOo7D3TH$Sr&<(T_`Xc`>>|qTMzl zF7GfrDUbbJlH4OnzWUOv>$mrlq=KgywM%@Jz$&*Xb(Gxa*OCyiT6KwJc%hi#1xZ#z zvTB<$X#c$W1<_gu?*STv(f#g6uQdKXiM~;yd9U!Rhe-ephQLx8Lcfyq zaiH_;^An(F)w^R!f1#P)jm|a_$oWIf)}9K#xdE_H zu42;gim2A(H`L`|jIKEG3!*FV)TgFld3$zv`R4fv(IQ@-OVyiqsCq!c(H&#=;jDs? zgv69F=BL}#GauP4-LY_BV`?_fUCaHmw$Gtu=GxR8Ig6-2okh#kej$S%NqX!{w{+WD zLcBiGjz?1{Z(Gm^Z0cazk=i< zKB7ev>1=^iQ&vrr(z-sE(vA{^)fDo~Zz*IYh45rmK=R|0xHWb@>uU?CAfuf~4qlj& zjWO`!#pzO#$FV?Hy?vuiJDX5|ZIU&g1ju^cy^hobZ`zfkbD|I{J%_mo0M4z|ftE}H z+o}nkYcE(+EiH~>fU(;%w%!oepRE8$+tm4B!Kq?bEb&6t-j;$nG1({v5~~L_{GLJr zR%07>gIR=E`GAf5(3y1D8s4n^YidwhUg=*`XQV2Ct`*&0s8g0DIl`;kN!hrjEWgT? zUsaxmee-hE*IU&B2w6Bcna&M3`XO*FskP^Ay{?b@-Qc-qNsG|!(d^oaV4O2GSCqlb z$LoUJTvPy4Jl0?{ja;JgO9(#8Eb5tU!f51BXAGnnYMW{4AeSgq`^;@n_cIni<7lGt zDx-g&)xT-|`}B}s6LMaqDU8(HZAR){bsMQ(x3^$IQ-937t%xN~#EAoS!9$8%O>)A9GRskaViEBnhAM;PUWy z&TlOw-JV)V2Y&~IkPd|XjBR((ug!yI(yfN+9BK;*{GDYmp%~WI9O@C>-Zpucy*x{r zzkI6k>#WgIb#AL#2AQv*ez9J!&%LnF{F>}?zrPWewcf#+YOHaC(+riA%zS{OV0bT| z&?dkw=kJ`ehMQC#S7L6|3H{w`EK$SXz21`Y$(81`Eb4BM80cPT36>q3g&q~`9u<_j zjHNC$R@L;Bo*xDEWlEzn)hWPEDFQtutV zrShhWwfi^*+GoK_7nd_cw>=*0J|e)R4|Z`ysuAG1PpCuYDD%$QSq)jL@69_b5Q6Ki z>i&1^NXXhnU-G3*>cUgu?L5@l*L3Oj0Ke*7zBTJE1k@F1>)q87|7{`4BDcuKg|bR} ze`dpOkPkcp;L*T>D;C{TRl%*nP$m|V@`Jkk5EtIg8f*pp^AzD~5drhu9lwOvtR=9E zH#ZE{RsFHH&W3jO#mLrLN++7^d74i9!*x&-Qf`yTa(usf@(J0suV-uIzF9?5bCB!p{;2y4!iW^x*V8R-9!w3qBld%2_)jTp<2 z6V-`C1M6G0q&jzBs4mcMtcLXwdlj%&Nb;n-T}&{O{{#nn8eou` zl2c7mqaX0&^=DOo4IgJJJyq2?OQ~C)x@|=U_8pn6udmV5<5ZZoN+g?)A43fn?*Zb) znw@nm=k<;9UWe+CQ!#*$B+t+=febNOk$O4F@z5Ced?bKr>RRQm8hm z%B&hENImiqNcC|3T*?^)n>}TzdeK-|rdydUChcax2TIS%Dz7B}i6oby#bLrH+D+qq z6V;VVG#z8_NuszEQ-tU?Z9%u`RZ8}*x2)vtDoK)ZNs}6cuz4KLi;AQCToRiSE z%|{-aB54u!o>FN+s_JDXBecoqR?{#i>|f2hHc5A0!`4g}lv6+Y=_?9CL%-Z#CIdv& zB;A0URrB~&KjsH>WdXF?IKAJ`g;IX+cy^r`fF1&Ta=(gdz=xWEyMSm8iYvtzqLZiN zp{%>5tTE8-YS&{gTU`X6Eyh~+S}b;kyRKA+@?9LDp~3O#lkur9H0m2Bu8wXhtVQW^ zPpYYqYAP+!$K_vl$mRo)5!J@TdPnB2RNF~kdWK=W(oh+^sN_tA*U}^5wGR3;yw;gb zHKni;Mu)<)*<|$$&#!gnQ}Gfv>(o&6@;NB*R9yf-RAoI*ly+XYUE2AgRo@Pv=jpm% z(RF<)@Bs&*!c1=)=YNvPVFT79^>h5Cb;eA{r3ahAniI;+83uX5W8Rh_d@>QT%ar2M zTFW^K8Z9%?)^7E{8RztPk#{|r0aQy7Ew5dmHvEdWsT_TV+#2DK!Mx-|Pm|cO|HhJI zhjMt25XXsDsWqq|Ep_X?&tqpJ6#h(W>lXCX@W)WTPC)nkt2j1;7y`g-nVd2aXhlUX z&0pr$Y(o{`T!ua1emRgfNUoKVOOnE6Rio=wye!-${n6&F$f_}tZr)%zou&~uew(^Q z!}+S3`1oKv$MJP_B&y?1z-ydM&C-eTlIZlIMDXd2l_%jD!c{gF&M&N9ewBpJj#3>P|}WOEd=IVD?$ zY#VLbUUWgNl5CJ6&3B_0Lh^3px~RwoTnAAN-4G5Tz3FH4J_xD@4|urG)u(FU>(qMo zOC1j^9>6;M4*^x5a&OpeY}g&clh~5Ds46jObA@SfK4VK%C$6eU)oiYVTz-)-KRxoM zY)FY*!sa=sdbpak5djT}H-;y2X{T5_p+VEm4WAjdHm>ZjQXv-J-L_$OpqdMoW+qjl z9i>IXi6aMRRfTrA#4eXTJf46=9W8ZqC8F^y@r$bBDRq?*k1aX%=AKx6qGe0VMO7`E z>#oYQ#HwRgiD1a~kM{~@)uvZtl1Yf+4KR$NM38%m0LM1hCmTMqwq0uq^2vMK`$0{w z)D0#2C6}nlx3bFYUZO4&_pACgX&=aFed_KLnVrZmgqJpAG}m*65svyk#wH4p>TIF6 zsgwBSWR&1!Bzl*+-HC9OyK&9OjW5h`?P^J=+HIVp5}e%=w=Koad(#e}0r+Ti)+7f7&hqi)^K`bNx?OOSJR<08%~8ZH0?g zU`rre9rgp+{lWrQ)*4F~iCD?h9?3nXn{@Y>=~}v4Vu9*_pdblrPqpdN;H`MOO%g4N8E6#*s;hNscLNPmVt;L|vvxi3_SJ35emNFNdquWH!Y79(=n{rW3CEaU zQbHLem_T&`6R6N0fh%p$6RQmm(5%#{!BBUdOLzT}A9N`3OLxWSE>E{RCh~wU)C~9Q z&We2`BgbCr!`c_+$CgZzJ}N=m^h9!T*KOgh>m8CKT=TKc7Hd(+yGsdG{Pd|qr39;# zz1wpX=*T6W=FpIzwrI$KCG;1N{|p^65wo6POMRn`*#K>~b|}5{Eb{?ueqmWk>Qg<} zlQd%&!~#_|$^T8sHnTsD->~>+bJmhxSd;J}A!~D!aVMI_YFh=`QAIFSe+`h{Z{0h$Lu0`;LG;~x)wRJ{> zja}+_Shx%7spa~3_##`@FDOEN_^v)lkzNk=K&+1EqH(Ve_P=Pi`YlPKaBfzs6V?gg zwpp?~Em?pIX+*T=-cpbiOwA=qn;VMz8nWs{Nw`!JW@E_PV7wD#;5HM%CDlNr$)wUz zBXV>Bb&|&IaB%a~?21R9L)s~;-a8f;-v>sBx=rgF*HHsoo7GrE9iv|v;c*>vjmWr; zIV{|w-}Zy=3ta)L+oGL`>oo7S2M@Hp2X2G~gy1l?FI7iaj4*lcIE4r?Whnl`vWsQ? zPnCy?p2p_ft+#nbV|MUMjMnWb5!>;5xc>(vndX-@q`U%3QS`lkw7pNA3BD%B%eQd6 z1pd0O%VzwXE~CY&QLC7$QQyzYqa~xTV9Tko=Ct$Cv{xHj!C^&YNST8%F15uXlQe>DHJ2+P;um2OJ%F z#yIU7m3EcpA!9fb70aO2SSVsA+sdnHPncHIxrBLgkwryx!IPxmF*=)$Ttg)9T1x;~ zo3~g$k8UVXrkvDu;r80+n46y-&DanAh4lD_z2Kq;{hIMElFG+;v$*W@B*vET!?@^%tS-&PwslcsJ#54omG7AT;|HgAS zP4nDcEV-T~7wxT%BbPAVVHSA;jCYZ(BM>1m*EH4sGftQ*X_JR6>cmm|c<+XDDcQb} z@J%Sh>a|;X!u#{y9iLU-R#3vUVhMhr@X~`Q>%l*x-VxPLZ0&Sj8{W65E?$IKiR9ch z-P|w!(kJVr`U8oYvmL&67}wN?3xtB$*jXbx-ru3h$oeUi@XNYwu|TH77hoPyo6c%h z21raCID?+?nY05gmAG#dN;^8MOKQop{HFk6{2$xr@2pEX`K?a5x?)kdHo9%XA})#w z9jfzHO@S_P^u$W;%XVNynDwBuCY((>(po_(c39(uum@5A!C7$NWD6{AIh{4N6Xm=b zYsp0~=&VMK(mlK=;S1!V0nVLiC;pP_1^>8>r)m;au{U~P+3&0ZiEtuoPoOj!T_d70 z+J%fi6J+@%+wuxWtJ|p~C z-OQQ2Rk+bNzGM=pVR)OXcD*cneQ0ASHGlc$Dpp2W=LQN4W{7IejUg!Y5-#iC8q2^l zIy_47CLJscZCfn^t8{P+!G;bNiS4&71B*>4=u(z5Ay>b;torNW;51oqV$S^zoQh98 z7ve>qHn+et#8+hI{s+rjK2dGCs$x{?Gl9VSL}JO}aePF*E`3OhE?GQ&BHu0EQ9X&* zi2T81{dEHG%1~yxY-qI;yLPhIRHS^Lii$S%z29nP0Qa4{Mh14ncgR3uLY>DZKOX#A z3LHo69R2&$T*z7?ci4kE4wqFOzFFRF;SKU>PqkG&2#TsJ3_WBV-i^($rz}^0I)vI!hhuV@M4Uhb zWTi84GRjznmc7^3Icpyf&N8@87C$ZJJVo$OIrFUQsKIh(nB^R+%UK}c{`{6M=MKub zRLWUIId@1zmI%su87HG!i{+G@&f2+7^EYSqKx0t9{xh5}C@oj>Wq}RA;k?AE4(*1D zpDK%GR2}(5CyV5P$2lWB&KY=|1ABe(o^?)v5CnpB{8K<^CLPC=hVAJ+BKn?bE-tob z5C{2J3n@Os(C>BwZ@FLHUq$#P!k>aVUYg@MoLa|T z8Fz1 zURv;2JXXw#JK0u)ekU{H=7}C zHh@`wBf6(R_8))NewX-r@q_qqqOrAY3zykSYWvmB!EB@U%l3!;vaK?+?ZiQ5s<2~^ zm>DdwmKapuP)K6-ap1i`U* zL2xFHF$VjIqbMtEjE>|+i=CC_&>TJFiCT?ch_0n_<#>^VDQ66hz^0-FMDO`49-Y&# zE;Y-w51b*;MIqr^Z{UgHd3Dh9r$J8^55<0jQ&P9$QQD+oF#8vhMzTLVn0VQs=Po?t zdJ^Z8ur)Gk09&AgwS7(!sbTafSufQe4)Y^o=DHQ9pxo^F-s%17@WFhy6Wp(PrHknj z5}>{}7=HzyaA@;3BwM|;Hw<{YB>6d>y{{KNpX1pzJp321JCw*=Gg5W7l2JyxtGRZ& zy!GU1?mTS^DYy1zi={^$3AmgZ!>^<494u(9U?jD>7)oCN3NWwY6xz!mssM_Mlo@Lzjoz#QH-bfKwrx9lCTmtEPT9>LSE z9uHhr#j;rGvkDzjHAtrWjL>?^CT8~ zkbBH?6!MQ{f}dO+ojgW6Qa-scmg^_#s{JmC@#6i^H+WI3YL+$Z)^MJScoa1wk;wMN z7MNthkcuEn<)T)PkC(GcuYuNqskK=AAx}K^LM)=3W#KRv*&RmYHg1`+beZS5%;f(s zczpl=9S>(&B({AqPbC9kF)nEN9|&#_w6;7{))Id)PT|83)rG%i7XE@RyjcD`DPP-R zb*6l}{-}uNRxMBTDNGZyAaAZ{_Bcd$jG?Y7$RaA{Sz7UNL59BsPsXOgI3f$j8EdR+ z>z3D2<>+|^!oGxRjm{q;^I-x+8>{*k8Dxc5=P)eVjJA}c%i1Q7?#`6!WowHVjfY?D zMN)0plMQUxBP`8l{gfpUTXJl=MjI*y9cryWF`66Ho@-&QNq8JWYsrPglG7b1>V~n4 zb);F{s*^RS9#V;<(z{~K<9{U3v_;uRIGT}5x-m=O(sj{5R})OVbD6z)z%3SVV{Q=I67`7?X)Wf65EC;-pldG@#kSx-^RT5FP3k;?#n#}T zKWkdqy!#lRo_GJk!@PS7C#QP&H8o4F`BK zu%IAx-C+r2Au|Q=6uOpKyqJyem|N(&PkeUqJtRIy$J|_p<1$eou+gGk+{@G7<~iY9 z$5`8#7JltxH~>t4K-TUtH|Vu{3)dYsgcUgb>H-}zaJ`OMLr`yryqr&!Nd1Q1BtCe7 z2)qO@8862{U*0Rvh&`5h>Rf}W_bK;JAWa(nRr9+Tcm8b)EM1k>d{;GpHT=~Nnzjik}il@si)^9mTK5>!_WH4wR&Wisie?uq-oAJ^eBZ zj4^3j(h|taZ!RFuRA)MJ0UK_@Ug#+IAQOQQ_gu_um5i1<7387cVuz#vhBVGG_5!Wz zIY6Mz1{xQDRdcdkC@WxQ{`dqr+04wQw zgFct89~{ocZ)G@7zD^HXxf?Pn4V>CdLdReJT3YT4QlkU&jDtM6Yem$LdhfQV5i7ZO zt#$EYVO#4$M=nnuGW-0KI$JgT@%?JChW}4CGmbjH25|3{4F7Y)vsMJg+3^ng&2HUNAumv*8r7MVVN6cH91%~<~n{bR)sS(LytaWJ7Vub$w zmF2j567|?>@m3c5&}UT}kx4cCupE71nCmF^;l&Je-OrU)wP+{}cicz!r8!qP`FDOX zl;L{-ZdN{o_X@@yTW*bf#ST`HT z(zU;lZh4GNj%J(25?pK}tM=+P`hpu*Z-l7XwXOYi%)t+A+$ z1mRn6QR~Fl;Z{$G%g9r|*B++gdhL0^qE_R{p4K^!_FZp+SXJFsE`lW0*dxkX@eAwP zJZizsduc|~#d54v`Wb!9!BX}$l97QwZ;K8jvUUpXAkE8R<n;WhiKtH<+c(V6}W z;F$WtYR1ccuCCR9<>32ce0l?(g@+CJhd4PE;n&=NkN;RU;7i)HczwIrZX{n`ouPjZJ*ljUQa87ChlAL_7fws8Ie22o`)KI8-Aa>?>iNw-O-lh3StFoe5N5KVSI`qbY! zPoOjG^<3JdM@fo;Kj~%?9%{{_Y&Pj?6NLsHqLZe)`vprrin(p8(NWGS)*%5*70CUN zM;Bhq*wscHllE+fqge65N2KVJY~%r0Mo{svU+faLc^->epQd3Xk0_bPs8uxNeF(B; zl|c`|gyd*Qx^j47m>si#_PF||6xAvE8m}Ixy$j-xq{App60^CG#zc0BI%E=r*8V{5 zEYKSd9ZJ(t4k5LLDh#H9AbLusMg97f^gvH*x2-jRc`Ymrk5esd&;B)g@U0MEtI--j zwB&`AsNkTXa{SSjG}ZHaYtKXn5&PZwj7}pk6C6K>R1M?9URoA8eSW>zqn~5!*UqS) zaoau3ppE{X@)j^C0RurZBuze!;Yj$nVny(~rWMhfW}^2ryPJug<$p(S%&$d*-g8wb9w zzxTcDw864O=SP`Uefzkc?JwyF68VHCG5Fz{1|fYYM-@3(<+ke_o;OXf%oA5Aa|y4l}Oj(d*;XTic$2Aby`k9o8yiM6?>P-yS&hk+G;NXA)!f?e9pfhLKUk zNI6a~2b!(ye0Y$S;VWF>#YQ2U^3E#;VR6Vjk?dNr%hKNnwfhRe1V!mzgUoX`adckv zwQ+!YN_>#KtcFW%z;9fk{v=MDdR}7wz*DcMLRYqns;YN5e4tcG?4{#tDh@_w%o&W= zODF-mkS{T)^~j9M#G$8D1>5jMCJr(<;$z}Ojh2c3IdLxk7MVth?J^f*nTA`mAKr^YOvk#tc8tVZ6hgL zSXbBJ?OVSaroZ?9!@U%STV+eR2R3 zgMBJUMZ?95YSK}>sG5eXI5ThMZf2e!F?FRqbGGgmv1-^xz3Ig4!`L z1+mqIK`DQ}EuWl{OFCxA#g&&?_s7DsI*a``mP>ML#i-ugyE#v;7Bc5ST)s?}?#H<- z^inJ*$aUgP%2{%y_SN9~4ZamAv3|22ALq-ba0==|z-ko%9>t9-0tTh0Q;I&$jX9xT zeSfH=P5~ucIzNe=iT+M#PJspZxe-##--hMX zJtRSZv-S-b^m1w;UkO2gn0ffEr5GwHLqUYTEzV+5%2E&x)a-L}GWWE0RJp;lZe#(n5 zh$pd<*2;=it62w9*Yg_2K0mxb2CqY!gBq_SuN(FW8@{X$Tml)|iXV263`qi6nk>*p zhuS=s_N(o84Gx>vxkBmAyhS{!ls=+EeUfnLGkK=H3^?NoPLCl z;WP`UkSzC<^rb5Xbsj9=#VPApJsR9*yX4yZYXh`$J9npwLF-vxek}*Eo4`RipX2Gf zXY$%s!>@k{ewELX7ppbE|=6zUwdL0Y(E?DQSjOh|t@0K91ipC|Q zBw0gWzasIkN_JN7g;xJ+mhu=M`i+&SF;2I9hcN$VK54`7)GxY(UGsN?8);eAtBfih* zhoAhEy@~M)Jt%Hf@3Q8W94O;ux>d!%6Wp}ngL5~?2?m1(utD2v7h9!b2kMGx!)Mhb z-I=gD4zSF#&a;k1w?yqp1IB1t-73h?n-PyAiz?SFdmeQvqfTNHO5JtQ-T~UT38&b; zx7;yUx09%gZr@jUqS7nxi+E_?FL1bNnH^<~4%IZGlGmEG@k(=xTpA<_*+**69zX|M zg{E56BMbQ@LC10E*0!#Gyk5G?eURsX8!VPU{6>lNhdcz$i(6K_5cf{vC3rjq zu(0}Zx)^`O$%a57FZHVxjW-II3#{reO31257(S|cGj+|Vk!10!<{v@KlhG-)y?|2P z-edaJh@m>4B~_K(rZ26lI+}0ZZTr=*p(MV+B%947@8jgvt#g@Aw@H5iUOmK@esMqM zU1l5`gZiZo*@*o-LO64T_%>#!YjD8AR(63FDF5uQI`RyOJW!p6gG?8FrLK6|oFsQm zgA6I*oW)MXDWDxqCO7(mGmlgKpU9p0i)+3`allIC!B$G%lgP`J+CtW-F#%EzmUJgT z$HBaAvSz}nI=xVi?3%D@%7j%lD=Un)m6Zl-+sZ0~#cpM_F=18f${K#>t*qmB{>riB zUSL^SkF(IS($C51PD()R&fzGx@G!Pqo!$22KzM!-TbthRTkp+mwRq|anU^fyGr40` z=Q7=vE!`GOi3UPOu(|l@IW(7f(X!=5%Mm4Jc*)2D#2TGMbcknimVjpy`2*->@EKcj zmaZJ5#t}jjO>@%0c#*IfI>e4O;O;GH%N91F%wo)!VB|57boOp}Nfzu1?vZ-6I0R2V zfZgDLqu#{(XS1G!A8cmGd-(E!uegVY1!l9EZ-(TVA@*YanV&ho(_wVF|K;Nx`_?<+T7mClE7n18WJ1_QrZ!SG0?M%3txxgbo!V5Sl5ao7!|x zn?sC9hohuK>Q3loI?SHuMS`#Je^jcYSgFeexyy}k2*Cp*6p_SY6J&`4IP=ZAbzb=- z%j>1h;M44FTRt#G@L3AkfAPhh09g188dR`ocQn(ck#^mtgk7pb?D=+wqzHF+r7d0i zH*CAJw@VuKa?v{o4$Xo?b3_uOL&Nh>5r;Cy#Zll8;dL`wxZG1yZ0Dt1`u~r1?sN%^ z-pl*dnwd`y^l$i(_B)Sk;^KCSw660Flm=Tv&^I)oc&#+ zVAq)GU?|+MeBfqDK>u=N+8MAq4zV0*Io@)rSC&;DETfmkD)W_~zbAS8Q2m>F+wu`pxi}dJuR<^mk2_qQT-< zj=`~T{}t5HxGdlWoOK!V)0|$P%Ww^Y=7^6aEqjRCap`&|(B_j%f z8$$uO$Ad{%$?aCbw9~PCAW62+H?~U!S>&s=&%FYPZhSm-&cslzP}tU&b#tCxU*4U8 zYz5Au9^~h`#O{|Yp40w6l&QDnsbr}gIY9jiGmvkJ%rGLXh;F`lm*VA_)j&du&7v1X zs9Q;A?Sm{qSOm^k)|YdDyW5iQ?zSwkcB9)^hlRGTFVoGa+wWwv{SNzju>D3XBPDHU zCJvBTH>7UCp8adpOKoV&M>k1ZO3f<2TeFeUnj>k=VMA?MJAa^O5Sd(MkL^vZ(06w{lG;$DF=*|j%FT_#SPQ& z;zr=x2=!jt+uZ-s{DI{IyB=f2ePh4OxHPcLPY9hPvElxg;sZm$ae~VwIMV-8)4t%Q zf!=ggZ@RKKT_NF;MSzMf;t@M5zGWZtjL4^J^66?;@@`AI#$YpwD%%lJLQKAvic^K& zka8mI%R^7v!Kgx-%>f51^w^fcfU;shBG>}&MuW2jDL9uN&t6-}h~{*8sjZ|$^!|ut zmbDx~+iE*3)=BB|7A(t!ngeCF*FwFqz|l9;A-|x)Q$xYVXmA2$xk;1=`klea!Dcyj zz1BRnc`(k`6>3hGQPMDh zT8}bjWEz9QL%}_5<69D;scp@Zn&H`vBZTtaAMBRN$P>k#KHF=tmt!}ZD8EW@W1OaO z`$h!ZQJ&)v%<$m%L`#koe;NL=s65gJ1Bc>2G%E6#0cW%)HdyV&z?cw-rps&p&fC2H zw+FeRO()a-MSgs(-@Pc{sD&C&PB}NbDlAudMhf?A%Dvg==vw>&dDBke?yeNx3gy!Z z7&fN%+`MJjMODMp?wcMTxT<=DnckFVtW&vmnFY}kY@1!`EfRR9paVYE1+O>!4E2UB zxV&MrE9DoXCCi}{@JMkIm%%`+K4uCi`Zv3-s*lFD#4f6eZT4N2iJ|#vUkODv4yjM0 z)CYaV&q6QiQ?DHGEG9nS-)C6xBCQ^(CIH7#-!&R2{!mZ11fsGBPU|8Pt1}J$pCe$bAS(6PV^PQ8&x%5 z!62#&D}r*y58W6Hg+km%+NAu@wxF2BfN8!lRrYntxAPOr)Ih)ivNn)iZ4smdhiGA9 zXQihMX8EW_mr>%q!sRfO+sfyF{8vF4cff{C%< z#8{{?6dD%_nlV8DZr&)pgPc9MRDF$+q%AA-ISrdb#6C>yq(I!PEQ*u%$eYuk+*1+F z3L%jQ4Fr^%kQi`aVwhil=M>tF9Bz;zI=m&0NJ(d4P-x08Okaq9nd8aD6R#E-7}!RU z4of+FIqOf-{rQH4o51rcofRvwjPq`q_r9*Qvq6Pi&klroLRnjbIty=bYe?!Ge14?^ zZ5e7S=|UNMD7O;+*Hh?%VDpWvht17hRuXJ!4sKoP3}&stHk56CF#1^(UeXVbdZinz zyllK7K}G4V{|(W@1yQkYS7qXP=jJqaUDY^BW*n(s0m54?rc-D0fMBQ-B^>tjTLm<{ zsSXuiE=_;yt|Qz2B2TQmk-62_)ex=LJJ&mQEU{$yo4sQZtzogur<{*Z)a~&U<-n%( z>8NMX>xk}~4S&}Z?cX5Q=1|M{Fxo3AsG|v8C+%h8nT+P!x>ofWENIRFbT>%m! z1XkHzWwcj`ucEy=Yj3Y=pQ1t3$RpmP4-2B|$)^Q&l?huj@%_e(=-5A^Ny{^s5x*j$ zpce2edhwm9u=H|V#QR)Al4!4n)PYIg_9?+2UE0x9=E#Rj;@UrD3<9QD{tcHj71TH& zcq+$}BJGS!B{bR?jmRekfrAr+5x+AsnX}>%v~zov@T!%=dx>mZqzHG6qbFSQagH{r z7;`{2jK*Mp+BKo0wd6V~+!S~|P@!(zn;v*xUCVDc(j8H=@i2SC!L0!qCU6Kw+N)8! z($SilZUm6bo3`^Mi_sQjzyK=Xx3JlyoY?$s&^mxhM;h?tp#~`B%upJVXRYWp3X*TO zdWvq4suyT=9)rTA<53CL?F#$J z5SS1S2mL{R2lh5P;)9QiC$D7ZNIS#PaA0~M^|9#DT}L9w?Ue<%M9{F-F&9fI$F8+_k9B+H7= z&N?bdcfb3;jJqRQ;NaM=h3#p%osJuX;WWLyNoB^3;SusI$A#qmHJ#-kIX#qkhK zyBa!XFs33UHQL{5=A9nVd1s_uQO45rSYs>-s2TKaY+@|xcSa{iBVDxp^hi&H<)s59 zv_WLK!shr9N#UVUGhvU6n)CS$M_6RS(Hqrid_<#Bm?Nt21-BsHS{y<$5;Arf42`K8 zJ&39wojf>(+}{~PehV*ru4iru3zSs`vaE6bw0m4fGAB7LFL*{;ac}KOkJZtZ$>X;&{GqhvuL7+zh1y*h9`fs4@ znCZ$$cX)QhU^;WGNIF;z+$yjyp|7Lf8#OxW*^}DqgHway@&0z&J<=G_K7V^_U}7Nb zcZMg2!=)$Z!a-kESGc2|jiSA>gLP=Igi67rN=m4fgU3f-5251j@Jk7P$xF1$4`hWzbK zV@}fUl(J)Ju!{YWY!oD$1O&XyeszHc(J1X&+0p2Err37QjwWv-yaG+Ya~9#@?t?dk zQdqB+0&kiunO~vYUb1O;O&*g!T=s>rm|NuB^T^|RUjErpoR|0hg?V|IOtxF_4b4l( z(5B#|Q)k?-r{&O|FrB3T*PZ|iu3J6$TfHZ&-z1<1*KwJ$%(>}h8lLvgC^cd4TjQPi zFw7By^Lfe`lqtwMuhnB(|F1P(wklcRE0G6|=w&kMk1{(lEP!dZUN6UhlGpEdvM$Px zUK3LlvH>3UAUJcohz26lBYH)|D~qG7h_bE4MH;(W?Ro(2EY?U?_QsA@J#|E$+4Mfn20heW+Wn*@ww? zMzzBn)pHIX7$`ycLsxTqrHDz@k-S~DW%)QpKB~d9y+)Ab-QsLQ)YdQIXf?O%@#=Vi zPYr6+0l(1)>5e$ERjW$_48|XvB4fm3hN7s-IWh2&5Fb`p{ zE10Yj@#;$99{9awXVB`LVVPm^X?oCwmLFR-@Lx$FJ0e+?_6cd%nzd{1a5IRk_2`DI zDE(gk-;>l?w3ZF ziB`B-U(k6IJDSv$c%Z+G&INgP*X5TP$QPqM5RNGAx=sGCImhVvS4-5i-1;(kuXuGt ze!VNd-ko31ia1B@DTH{XGjWdLgaPq7|vbjiK)jF6;iJKZ2-I z#8+xJd?G=DboTLmm&T+mq9lN$s}Wvk>@J9P6qwR_7)lv;Y*!@OuT>)6Ls|Mc`%dja zu6zf@X%XY=bVwQ-jXDIb#hDth-<}OSL%$k%H4h<|b4@;`6H=pATJ}j&d;!Q2f7ib0 z_hI+9q2}~s?#AwBO6Iuk48-!TB%$-;a>F_ii2XJ zlf_z!QGqWXWhJoJzB4Qr8zJ9+=bH?#4C{*NeJsfi;LYufS%)8Jxzh17$Nl_QJM4NG z*`6^|&SssKjS-7A=ok)Qrwb$`sB5J3(_k;@m#iZ|R5S=iO3&zPcZd!b(PgmJAzBl% zqdKa@#4Pr-{MgCuyAmEyt&MLC^EBgb*%gVu(xY6Tr3d=e*@KPra0g_2_2n+~mRSe< zd>|^VA@|=&!080+Iw8KR7kxoG#SxOCqdL&>E}23G)>dKu`fcn8D5r%jw*bc_C*NjwR|!jUco`a@W;h2?&tG?#lg67 zVoTqaB-CH4Rg0kANH{tpc9CNxUKF@m)nD&}oL3K*Xy|vkgAOpCv|ivHt=RrEkbA!a zT|6W#hP0w4wuQ#7qe+nUL2t!iTgRoXTg-Xe0!gZhoh;PK$2nJ9r+{(yq4wXK<{Vt#+DJZof8jbyUSO zEeM7&K3|YF+d&s>wW{lXJ=A9XYQ_H)t6&GgUiBkNrk1O?JPfuLeJt(uTY}8?8iou5 z0k=w;ePi>weV;ObTKPmno(b-U`lH+Yn94_Qs+=@_?GsL$arlajEW`3FiO|FH@O=>i z)x#%^d9DscpZ6%*sHI;WGFXZ8B;vb$(9g|m*Ww^2<%H^26HFZbL||{K0*GntE5rA! zT;a@)ZsqeaiRh@tcfa^V>-rKrl>Q8k79_SX(Q9XZqt_ELccs--zt8*kM?4IFe<-|F z+Yoiz)D8(hL&9aRPu6RSs5Moojf>n2xrhnXm16ypSoD?WVh+#gg3@@#g+JMvm>8jd zQvW0x;b}FW;RQ32g+iGK9 zOMc)8lLYjUAvg{V$ED%$^a>oxM>pG(9||1X5S-oXG@OGp99zm!`?s$cwJ-xN{y+x) z>o)ZYQy%RY*i$70(SS62XO1sjol;BP<>Qn+>Cb`#bnoO~1-liG4OV~2-QwhD}) zut%VC3^UE%1A4eKMuSWEXh^+{F_d?WXxdfHt>o>BiqzW(xgpw;c9rpbn|4XX9ktK3yRiaEKpvLRsL;dQ-Su}=vs1}AK+dwVo zp~4})T-iyVnr3nw{fj$0?XX*}?r9f0=L=S-qTbQ$v<_s*YM+7@sCQ&+%b{Z(n zh527J>?{Kzb9-JULIwq`*8Pe#?8h?;X{Tq}l3K`XtMx{@$`3Zp8k+-6Y&s~gSG}0m zYsW-BR;0$#l+*AT*5*Q}^kA}bk6tx9qSwyjiP#ltmbG&V-dY&GvEwF%wMtaQ-k0OciiOP`+Qa@x+^%p)%6kiM zEt)_I>Nl$?Z{Cu{Q_Zq+7#6YdHsD{?ifXP^GeSsL5BdAmWg3MeOcWjiNUwSW?tW?+ z<-H@j0+ zrs?f%oO^)&svLjRi_I`%c%g3ADO)wO)yg3pE~^uIKk*A zxk${k@u>2d44VQ;3hH>0aBiDwGt_KJjZShLl#KokCt8SmY%tubllegNGDqNp?$oy( zd+oP~IlXV^MNC9(MCAyK#W$R(HC`9g!|;&#Ir)1@VpwIIn)mUBtw1O?1*`OI+vK@ zSf7r!R`4AxbPqM&4Iisn=rld-rO?q7%CMiW3te}zuE_ah)`h;unaV75kDRXbkUzLs z=v;HWJx@e&ynld~chh}kiWN#H@?N*=iq191KZWM}>&)@LPR2jVLuLFA`uo+#mrDa2 zVdC-_Ks7E2JSHx4@R?)(&}{QspO`dm6dv~e+n6F}5ji;i@oIx}_OW6W`S(fgY;oZ& zgY9klWX&FB?TH!Dw%TRi%9s~={l-K0t>2~|s#&mbb=sMMNGOu!hEpc>LHu3O>T@{I9 zHJGuS*SS`aWoeb1)@aGG^us51{RA6-b|4pX`wl>npP5PIt*YGQKzrv0Y4auIecaMh zZc>lVe0(6avgocs7EIzHWGs1xEr(m(9jGIl&9P^-@Zqc96?3n{ErZ`}y+!@N#T4DZ z)%lB0U;yq@kG9icLR%^L*Hj>HgeoDGs-uxl$>V zW+@vUyh+l*2U?NQfkBNM$60(A7h2huKR%3cmsh2*;}>Z@Rm$<6EO<78Sellyj2uSQ z*huq_h!hq#Q9Q;mT-zOrqKo;V8 z*kq=bsMwviH@|)U-XhG3)pLPdzPgp)vemQs^{t*GZMAx?6j`x)Mjm;aRZ}>3A|xIz zG{f9_AN`UIt)78QkupAhX5je+^5&;RpL@i6P2U8qdz)U=Yx0e^=>=gL!HYsPmkTf~povsOM!Jw`+XLLgyupGwQR1wS1^KR-rD#ufUc z*&3cj8_ebXb5-SP5#By`pa2}NY}*o85$YSas&V_MWY7> zbl8Huv>V+ARbtN7L*1w4BQnx|`{}O}GU#VilgI5m20e~iDH0zsV@(;VxzJ6esDY+^ zW@BsQjZG~iMD5zneXSVKOu(8dV~up6n#G4Hs^`B|H{B+Q$obU-B8Gbp1i+8+B@9hay<19E-WhIW9UOibm60J)=Yw z!UE>=Yq8o32Ur({zF8slTLca^hvp8v7b4_*2mD;pM+8EocJqz!HS*gzOtg~s5Fy?Fu$Zfu0I+5np=ygX_siyl5j_c+ z{nlx%bQxX|p+us5rCIQw$5B?x72YC!5P{#;VJav)1j@TUSll;^vuBG|f`8p|eT5DW z%fseu<3oM*Fw0LyeJze?7)xL+;}ad0V02ziqXg6?@38WRY75>~VUHy6{8fI&%>_>_)dR(`S&(dtIOU3^y!v$>bCv zn;QPr70GHt%_La4q|hW9_!?F>slN*C-oHAW@{Q-$zd9bA>R%lQObP_Ca6WEzBky;8 zlW~?|@e&6i8^C34q>(d_XqH@LQGLUTmNKpdKKM^{fRWcxh14OMsueC7)ZgZMQ6U-)b_@j+Ze@Ie%3e|$u)1Cg$&CO+sR1b#RdacEqwEh13{ z5>R7@kO&JBUkXiq5Q&%N!@qilZj>4R)veMf)Awr>%-l+&>@ksG7#5M3E{!7cc%PxC zf|16I$VG;EN5BqhukF*g0@s(UA8dtsT5Fqyrk7FzMv_OYhSl}KNkP4n^Wb0yTj6zDmT+qO5fi3Al0Zbt05Vi)Cm2dd;h=oFBkao058mq zuzw`0f(;FwOy_8&M4Q$;KhrOIa@bu_$K z)7Pb@5aL(6lm5Y`_EXc0W&Fg|;fbr`RL&ot+O)c!$Frz`yRVWDS^lGreyNVGsJI=1RM>TVQK`e{~W`?ordDAw_JgLQNz z8wY!{kvhUBflUYh*@k-We$cuRh zOhCo}wq+)+ZsI&RarKm9mn<{Uh43iD{~^#Nt&F<$pu5DXnr*sEdWKM_Og1uDuA+CG zMa(x2V(v^f4fakGm@~JnscP2CWYc$XuNK@-5!`dV`!)R<{qb*2AD5W|?(zSEJCr|Z z>K!KT2Dp<}aDN-zp$7gIwqu4_to8 zXZshcY`d-MnVY#jL?PJ7qADxBykEY5F#FDb*N4-$xh#a40)|ri9)$jb!a-2`ktGYo zgZuF2vgX`vu+@!1UDg-aq-QVb3Z~sGoSUnOZg->_A${z8j@^N`Z29H{9N76N-*~30 z*KW`ohmM&etQp$~U@17TYvlO$J(29F=4i=S_Pwyz)iqj&xCl884z7yFqz-|s^*7s$2q4$-?w&hpYBb&xV>D9FB6Rz(dJw;Fa2?U#SNkAl1J8vP{|$7I2!9MHZP$hb+JA zofvxN!^sf{_D^)h^5J3ugg2B0k`Ff*I9NYf7y0mEBi>Ly`z^Z}$FMivL$T#Ckksb! z$k3x^@hjqam0Gq%PRtcn^;Id?D(Ef0q1#<~^)hZ=?cmpNs0m~+)Vchsj|gFhJsv-8 zq>jWbsGA5xmjU)W_S8)$jS`O7mLad9?~}K&|B0+?_+pzz;`Wb58vdpGY{0?ImYI*7CkL zcUWSaswOd>v_Q{_*Es0}Ry+Kw(b)t;bPzvmsUp>j-52%Qy;NdY^J<6JjrdM5xY_{| z>uLvbrp>z&sq(bJdRjWJw1s(xO_UTt>`tN+Cg4m}PDoXT zR@0`pN|wV6^$~7@z{zSlnG(T-E`ncgqSr=vAZjXW%Jly+lmDdd5ns9#cMJ zF|LdyWKL7_iBB|l9p0RBWAX-L;lpUjlsg~pN~GM)S!=U8QA7A(8Q37+=|t8`FWna| zV7jTBioq`ZDAtM%NP>+-YD(XmZ(CaW-u%ph@fns^SR*?v(l=51r6;Ukj)wI}&oo|M zP^Sx&Qj@Q-@p4!f-_cj##w$xo3+n0DiG(P?9qm;#aFgT8f*K|{2wY@U&zga)IW?b@ zR&Onh2oPz-A=oYnyV49J_w&Cd_pruPU1O^dVf~Glr(B<;+}6~T%NUSnXfXELM{LE; z-zdrH{7MRCHB%4$^?&VrLw)m_Bx1EwpWrL4$XYG%Yf5M3z6!YdoDWa;}? zpL(2hVcyRO_rQ(g&~IM%A?KQh1R}EXSu0%RhUz2%%cB}Y@qPeG(kFGKEc0o)Ws_9} z$iy-)abib`5zu~<{o+3VV4YbULdx|a7(2DIT{n6ZT zS*hEIMS>AL4x{0+h!Kf_d3QmrkOUq>jRu4{L~nYBrtuZjof5;~{RG#HxtN$(K}{!s z2|IyxsvvP(o=zY}?^16eZ+5L9o2+;~=}YlNDwVo;=4YU(m2d zq%y~`uU2r^4G~>hIyBA##)QlLZ#u2JnnSyG1tV|5j)U%2X`9ci-N}liPZ~~WiXT|j zALr>iRv*bD1@-Mx@c;f`8G!Ybvb5?lqEg1_9!$Vr>7Ti)Ir{moVCZiG*`m$hIBY|Z zo$Q-?tm;Q5efwaR0n=quBa7Ioc?AZ~R;ddD^aXXL z6k*4hd!Kq@39DpR`y6XoC!BPE>VdYy0#_S0LFG z*b)qEi3Gaaj!vgG1i{B(ulKdtR77~ z%G=aSy^?W`P0c5x^l75dE;NF57Mf74$U|i5QupDg+xdxP)FN@VF>mBi3%!U;7ap09 z=xdOX-tCC#wI^U)CX*+FC5347=Mg2C&!;x?*e5wbn2Qk8MZ)hOe4$M(+!xLck@7JT ziwRazSvSvHy*38YCO&-I#s24gHkCm=8uPFl;h*+-0Y?0-8tZ?&Dy*sM9UPV8r#Yi_ zE;VmcJLStzFW?~aR$AUSffY2`?Fh!Kj5UVw+m;NRjIrqF&EV|ZzJqdJu&J%ro3mSj z-qqNAW@58jW3z`;Z`ssoCN_okIxyKlFNlhPPK{Aa3hYt=9CbcF(Tr*oXPf$-IN0&Q zX+c~35q%f1oCmud5uxRR(YkN6CWp>p-P_b#h z(}b?=b#`gOM!RmpCO&-Ir;w-Gu5P0LX+l`EK=yT(JM>NwGtI7c0!=1rykVUqoL@=PlC@blPs$Kzxb4m>cLf8x4HYE~D|u^*!x>|aQXSjp z0K3($9-Rwp854ZYvn$I^#vY&d@wv>dBFww*43Q+^EA8r%ec^GA=lczjw65O{vwnuI zU!FXh?CN1OtLgfUWl-M_L-IO@UY~98oGt0|onkGt`#hr?P|&h&Ptz>yQ5vfKZmOA$ zH>j81g5t(r@F8x~tX+Zlt7Hw4cHAss_S*hFMZ9ZQ<6h=mVN1=INE7XGvr<0Ox6Tm? zhQM@~K_lwn?&e71-d@44Z+jIqU8h5R^`^!0$%Z}Fq^tW&Lye%>QZfK|%dt zkt{d0KZmyBR>;*1gq-YTtr9vTkKPaN*6jV919n*8qv!3~p$>Xk_V9MVH#(r54h&4f z>|i_eT}QjCpk9Jn?>&&^!lB-KX<%SNAb?h5R1&+#w>#S%fyO{!Vmln$f$%OCjPlvW}ceB6(3ntl(CK@$rv=O6CG}@@KE)XDK zihI9Asu&Q#P^D@uDqd>wZbhwat)+rVNCFEjTI-Eyce4Rh6rZh|cuhjRThRf*iVEcS zJ@ae=wSE8Z`{zS;&NFjn=FENO%$aizk#mXg|DTrYfnU6>`C?~58KPPuV&7bcyamiI zpjjdtSEvdW3G2>nwWqvb2v4h}Os?30keSsn5MV7+KfYXsoNBzAmCTfn1PL}-jm?E# z2Yb9)ZqQ0TgC537Hi7yesUXNVqv*U%mrsa{ZOa0)J&-eFGBtWl3Z8^ z3oh-S(p<+Rm=p;rZU|7&#DA#)Y-9?P`l+8vBI|S_=bDLRh}|c$8rK61#KorN?*)=0 z&*CBA3IKAt2WM9>x^h50pp)2}uS;~l8G1R+f%A@KJnfT}$NX>n!Ke&z^)S43PJ8w0 zu_mc=-i2?wlS!#x{q!zo|9-Uwt=rK9yu-IY$np+n+ubdM;RJkdVH2)rYI zm=Sn3h8cz32Rp5>p=TbF$eLx}QPK_{t-Y+h-e@anEj8MOnb%Q=(i%^P=Ja#~JsrZy z>+r^=#e|awBrLp19kpC^^iO)>V=IG0^X{4lSn@XACnU7x46*Gl$mUZ#luu84xohhG zpUgVV%re=`#Hh;?7IiwA4YA{f@+c&aQBsy{9;M$YkY?+2%=L5#7p`OWaDirHZ&()y zE}Slqh0zNOKFvaMfa#Xt&?4ib!`%+k&CEuaZXGb)bfR7Ze%s869W^A(t3UvKNb70f zF!D>`MG5z&IR8qRZ6Fd}+Ft(MifAvB0I7L?Cw9QVnb?tK;0*JhVq_#dwhql>i)kJk zSZMCjh2Y4m3n7PI?gpF`VrK)B(+vl))pS{~Y1EP14qMGEi?G!w%k+-m^bYJDwR;+7 z&gc-fTFk&bP?V*bcX&`)gsEnh#jJ4olpbW()^{r1WPSc;Su`(gs4TNlPNB@)6(Y9)|akHkLQxl$n{8w%6w0et=B|JFLA-a&WZ6 zCNn7%HrdTtHW@V5N$>$0%k!bptW6=_tWANWHu;_2G2c6LdWW#bgtbR0pmBz#5#KFK zO&XVHXj?`>GMZ8>CR&H zXf}nfW=IN8nJLt3Y+*rt!B(TMQzY3w){scp^#hT@SdiqtC@z-Oy>TAfsD&?fiV1O3 zvi#Thv*RGhX{hUNWe+r&yDs#Hd(>KfnF7>uT>msb!X#5H@m$|zA9sX!#d_3@OZCa^ z6U0D{mZ%(ruP219gGXH_fL=nbrh;yW@9-`OV~nOD5O=#^dAt4d{N5Y9;YP0f}dN~i0nAbIC3buyb{%Kx+!+=_;t75HFSLQ?t zYp6d6_JBw2dJRwO;5Je*m(6~G^g@-$FGB`z3Ya@WgXta?}vT+*+2X)y!-iF#3uNZjO71jXH8T`AjnbsC)aGelth+P^B zH_eOrFKKFuHOBnc__KRBKed*)Mi#_)A(E_h(oOCgtLx@?XgAbNkR^5VIyyxuS2wt3 z-E;}0>*i?0|c+Q74b#1UgHKu*dM1>5^ZGncrUpu~P&r zFEtVGz!}>$F=gUQg@KuG3sBv!U9JRW0PrT%s6sK3x=kMQF@nNX;tk+lKb;~BrVtn-%!nS-xe*L^h7p6jnVBxb?t4)k?&EviV zWZvwlXTZZ~l?q8ppso{CuSj0JeJL#;9YirhzR;sh8!Q&y@&lh@Iz!8!d_3yElxL3E zs}*4=vpl5-mFFQE8y*%}N_pPJmGa~Z@qK(4>h-MG_r&Y*jZzSc1fU1<=7Y*(A1=?o z*pln={03wx&nMtXd2W@IC{Ld;RGzW_Q+c}nDdmxU@)O{pWLJ;Ngs1TKcKYr)K?J- zNr&~Dkg$Gqv3`L>63dQf^f%W>k>iPI`FA(gHB~#&kbhS$qQUj=pU+NAZ@t!B0)r|y zioM2Hx%)kBK>c&7(DS=dx`xUj` zW}DHz#Crw$)EU6f0v?69muZ+P~Y}mpJ0Me zAKXBHADbMbmfy%30KGj)r@CK2L*0erO{!nwcvR0TOtxKO%?>FlIB@8&olk|kqv?pd zFbDA|5u=Y5RAQd~PoOqHV{rHl0wRES$+mH>zG+4{?zFj5?LnSBypwLp6-9`M0_gg` zY>L$XVP;v@a&)5hVussHZ(u2PcOIVEcL9q4&H6uEN82_+SHaKlUV(}~kk2wx2pR~? zHP<6vY0Be|k~D()%|$sNTpKId*A1w0oz6pMIwyZOvOXWe)6jAIT4bVshA&fj`k#U5 z6Yt1@H{?RP@S9pGO1+uZKk#0`)?lRN8m@hNk2oUKm z#p#Mh*yD>l5m(uNn@1gTFMV(m1Zjd(X@Zkb!WuFYPg#T6anOG&`q+4BQ^Pi`3LW%~ z(gv|z(cn7+YS(0;b){X`-|1upf!Rt!$XCl0zsAgxt+PFV=u{@1TH5k?kt9#(1THcY z*iCq!Ivjapiu*f0IB3g@t%2M5zFX39hIb8UQ$>#oYKv^Qt^lH(%WJcXcKc4Q3^^Or-hQyug_HvYRd%M@| zMWhEVQK4kg)5M&Rj2EJtZK5*9p@>`?!&NT=ZdpmWms`}WvltGdW&LsPsM&m$Bv}ZH zp#oKx*bORCxxoJPM70>rqm$H?{1#kGUL{fTib7em7jn?CO+bWfd>ZXMevQaPH5peZ zIS)#(&5Q)(k>u?+>f~WLCiIMH&E>#G2$y>&rdfF*RRpsKW6;x@D`;$EUck8QHY0Es z&!Bdt<0WDeu{T~)*@$k!FSxaH+wyWMt*G)tL|WPhn<>|F`-ebFjpUrZj5=U{kakk$ zZpw@>&;1ujnNj=RHElRfA+w8I^_HTY}Fn+b{ z$P8ruOz+G@wHa@bq9YpSV0aB#JMpiBE8_L+WD6Inj*T?wyXj0{UU56DyeN-WGWnQmVe$ruT8k6(=Mek;C{e_Rh3sWfry#a8e$% z`!fMy&4#eS;K7a?ok7-cc*1BIyNzzBZXGE~lp}uk5yrGf?GY@RM~m`Z<9h=}WU_kj z5RA%D#@^sAZ|Ef>pnh;H>K%is3TJ5FUrf1X|9{Za>C~5y80s$luXfK&T5bs%L9wN_ zQ`;Z*45jy9>@Ml@74k0O(?#rJnP9{kQX}tL7H}<&aVg&3bG)ehN@8y@;pE{lvJ+NCsqHssD6Z3K=aU4+jLbgAsMOa9iymf)Hu|suJD1XzEDD` z&n`lBRX-0oknP-@yr!a-`f zR{a6m+&@ZD#ddF3v$m1km~O09r{Q08I=$(wc@(sNvO0x~^c!$ykE2Mm%jwNFj2C%y zv#Cbtn*N{a`94dwp6$%Mb{KIRa{asu)vAzGyR@hJ4VfVTt}Yfg9e~FJp@m|b5!fRn z0r#tG=0d*FZD-hE|D;<)MD}{nYMij)m*&sZ=l=b>W$o@p9Mi`2EdzhvQ4D;#aHF{K zJ!+=P4GUn4e6n+hUbm*V#2lq1bcTP;kl*Qk~bZ9q6pbgWqe>;5UmVTb83yPkAr4irTCDkF!4ZD{2zIyQK|7E^!GOM@@H8B^Er?w1bR-OX{4HLsm}(k5wCp4rbgY) zS7E8q;^pDSaQxc^WkjzR+b)twso>a9$;Nyk?_sd8D*T6?7h@mSz|gJkgxI!~PWZBP z0{qhanVn$G9Z;&C7>ior&HNZ@oq!2``qiOqto)fqG{2%3hdvcZw>Wc(&P7kk=em6x zQ!RxpP9)o{5SVVx_2!Q-TI!hqY|I98njM+uDlzuLI^k5EW(*$07&(nwt<8>pbvsE0 zS$~-*TFN=_2Mf5lYdcfUA{O#ztFd|xJyVJ>;CXqEoT%#$^1vFab?|IF`sM(fB7i=W zm1?LQzr%spflSkmy5pQd8AWGuU6p7qYbj@vJC+OKQnsguW6;T&!yNc4ZWrx5=;*Go zdXS^=b+y#`QZ03!ZV%PygXiGoC5$(mY;l6t(^8l}Lkf6eQeD~zuW1H9zU0L2JBWOT zH9|7)TPAi_ThW{q@u4-HHk1JIjwD-(TkO$Poxr}lsf0;N-^1p)q-5bIlAM-JDVmxF znunAksYuk9S7;?^Ne7Q?I*~e^k0dSL-c1g#QOK6V%kkVB=~A!L8!$t~xb{&3^p$$C z{}2WY`;zKe0@0k9Q+Y4*fLhDfR$sH5y4vWnSW=;Eu&HjQMfeXfYr{Kb-4Rle2Q>gZV&EVuxTvLn;0g0>>xfO_N%sqne1 zv^4*?*;F`B_y?c32tZV(#|6+PDjx-s^Dj;!zz$<98GLNWdnaFp#%0EbkEms+@SzcMWwEkUCO6_d>_+ue0SGTK_pjma!FZV1$@M zWDTG*UMELMFCbd8I0LhCy;(q!vwH=kG4$5Hss+uAP_v<~rNRVewN&wzT?}WhzCgH1 zExeDoP4gpMC}y0kHq9+o_IM$dHfbIe~dP)8!cAT?gp#>L^BIv?)2g>f5xbd43S_d>Mbun3kede5ZBU-wX4J*)+<;g)2Th;} z^eV1Ofn*(5M+0CYC>JNK#_(&>_|DCk2;zJ{SK8>X^JGV&))Q)8yl~84q<&v6GtZWs z?9B7P?Xn46q8`{K-o=bF*2C*_40Qw1q=OdynDyu#+kSW-Z^^GbLA=kR9zUOUQ}?*` z4MtPyS2%3a40SVrH<_dA8sGva)f91jsWHA#W`buxkn=o75=DneE*5VDQ>eo8Y~HK1R@X5)|`q>LS7z6X>bH?4T`+K-D{ZR8^)_oyO=tgMvG%};0-&m57)ebVlETvouY=e(5UGs0& z$uxVi4&~uzF)}Hz8g_%S-J-6kqwHcpN$&=5qfDDu(HCa4H;Zxp6$gkcB(B@4%1t86 zbpW~*+(GPkKg=9qQ(s5vB3AXeI0UF8^Y;zroh);SI+etNsC*6jD>v?Etx&I+xLr6X zDMpi2t=%^&zUs5;aFCPrr82z!(W+Z7e# z+fb3LuiQF_?=-%3rM^Ityn{WCrb&*8JA@gTSnY@;rnT|@Z(3Ukb5*6WFWIyjo8FUX zgiFAekj52~|ACg(}Qv%!p9UyyTdaD>HqsI)m?CRiS@Rkgz6o9zn86 zIa|AX)emr)g0?R)kA!>A<3074df)$b=>N3uf1H^8s){WJC&l&1bE-SYiTVD`ul9+x zkbm-pjlapOoDqI_ax{4rcMV>O3UO`B@DDxZXXwIQjS>C=bgUk zeazmhD$XL5`nv2{90|h;=>=GGi4dVkA|#@MFTaFLWRDzCR`KtjzUd9CtT1+U&Q#`o z^=X(rEUeWriRd(9@az{GeA9>}Uzl5d-y$CgcrgJ?D=-gu2HAHq$}z*(wcOJ6kOgij zHmm_e-d0K!cAerr8^8(wIGl=5&?Z+g)&Q`?x{A32D2ys-t@$-qeafIq*d_Jlmi%Pi zMUqA!Y)#lX7vSX@iGFIgdxsH@U=c)2^*X&N?=(sB*yOZTZf}(2m}*F_6bME15N&3d%p(hkABr*ZW)+4tCJOIxYot#ItL5ah6e6;Z2Q! zD?f&`^aOIrc$)90}(&}fR!CY|v0;Ct*KLIc!uE0sF-}7rO zxJ{73yIoeSfRS2n31&i>aHfSPD(YHDXfkTmZ+7n^ty*ElvF-MUrX1jpOA(}lwxXuIaYHbueXurzvNw2Tmz1quZN%k}>y0F!z}M?bL4}X~ zfH>SSJU}qdqaxYQU&<#u!Cdtl=?>zZ2?x0t#BCysmCi~ z_@fjh21rf~3H7?4IiY`=a#4Y%7XG|Rdi@?Pj2)<^3~_s{I*AzYPz-OKa!TYnWyRsm zF~8{2_a^M90BI;1cxYK7oCyuJ1Z90>|4;pR!q24xt&K4vdYVOSJuc^(+AZ8ySi3L~lVWoAHW|&H=IV5_ z$HwlqOx_%fFq&(dtBH|c#3wrqY_gj^B9*w=0u#A(8^nzVYwarxteyXv#4f~`f z-zCpZ#;0C>!_r(w2hN4WC^QvLPB+&yd)zfbS4~fIt?N`0s15K`v}AH~Rl&%VRRZv< zfxeZNuC)}_OGqF!CXh|X0k5_+?Ogom6kv#X=lcyo>odd$uC7L zk&+cs#KQzY>8M+sTuWaIG57PcTz*s|5N+U_?fit%MseQU)`{SvsX`7!jeBBX_J%@+T;&ec7(nK(oErOZ9c z_KDe>vBWMR%Sz5cDU|<9=3+s4VvDn@+k%tZ^@8@&HS~mqzTn$rV|dd-)DgXNs=Tcf zI&=jtx1fYL(e*M;S;B#Lnm;1ZDd#}^5V^y+B{Dzh9J67CM`(DP3L1+e$G?b?Nk{VFL~2`0o5%3Q75L z)rIGp<*QtV>N2zZN%hK)q|0y*sH@ha#-0D%pfsu3d0AgApUL{Bb~01WO0de+t8YHr zH`tVJQfJ{+v>o7dfCABNl2E4r)~jt`^wg^!oT4r1di64ZtuSfW>Qxd@D*L@33NdOV z_<0>#pVW5A=fJ&bznXWR-eqQ4(f=b)?C2}y2rBEe=85fD%nGkh#BKxujRHD+p=#Pb z$i@i1yLrkF*6iITYZ6c4D5cn1QkU2+ zK3msipbnbRP}zq$KBYag!Z8lyDDP&@OwLi4Os6XP)mfkJn_rGIK`mB{%RclnIF zyva_7&;L3MLAJ`3PgxAKv?tYXB#qs5@EQo7*gD&r%9+?YO9ThpMvNOTP&u0^Xz_ zds)cGK{EVYWJQE$<1`olwH0&|9ih_!J-Oc#dQ6>L{y-|=4Qw)#k%D=z*D!)+n>9eQ z;@#;Cn*PCHu-nN=KG1!%#V$Rtm3AbnnwGWrmXey!>P8v8zz@P{gm6 zqZ@2blNZQ6=Xx(18#Aib0&fhJYVbH?HSAwiiYCt=ZRDs4gya=*1#U@@KuBwwVv@Fo*t{|K_xK8qW}~)(PZCS6w#VJk zt_9Jgm8YHwM~oNXpZ3J}LJE5b2Buu=QjZrWK{@#V%Bg}P;zBQ2)HkOKieE6s9>8!5hG=Da!=m2M7%{>4q;@!)4?hHB zI~X5Z)RP(mp)J2!26dQUO2_o^wAJNY^VP1Ny;1EY9B6K)7PHpY+SN-^JdSvADW$G`kv$*qN=v5p z#STm5veCx69SAVRc+q%y|JyfP{Gtnc(-`l@oS1LpQQRL!d?}kRUY=CfOQvs4X6{QI z z-Mmk9ry9{f`qsM;nD+U1V+Po|D;Dgme9mE|+lma<$skiTajnrK5s@x)f>7>(pcYvQGb(vUFH3;rA zL%5#S>LKkOcWNYmI$n!C(4P1nW;ZH4zFTyy;qJ}4dr+9=?{vUQ#20P7pw^kFc#g-^ zSKQ-eu`<14j1L9#yYH8@eZSg25xLH^KkmtQC09E-YGq^?@j4dEJP-R`5;|HkJlqVV zUVNE}t>uY$wRfvkkd9y+>S*}L5{okWZ_q-b4rMqc)y-t02FOC!f~U2L7hqb4$e&Nm zjyCmULFqgga1}uTQpDW5=rEJtGZ$c{R~0>4d_v%R<~N~ zP=^*TuESV&yuPlr%7}aGTB}9IG`Al>Ytz_aRrfQrOnDOeFm35NE*-CnxQ?Vn^K)gY z94lR`Xarpg-JS$hPT40@C;SsVXHG|T5`Fnu2B9hvQt8V`k{hQ5*5tBKkV) zHuWo(UnGo@szze{AsY*%m4YM+INUZhUn516>M%jN<^a-7f>Z`lsZCARNdBbyfo;;G!zH}hw zDop!gF4xYmz2T@qBgW2QORY^kb2PJYh*B}btlJ)=8esRvsD}4hgp%qqq2t9LN*JJ3$H{Z7TH;pdJDT*F%QDgX*CnB=r!Y z9%A+|^$_CBu;o6Rdh9535sEy!k9vsDwx;8=<4!na`L?8*D^#S;ky?n)mTLIhz=3Y{ z2`uvX?34rix#{>^BGEsITlog{H$h_FNz8TIlkvIhwoBw&h9XnzizV`0BF}|(qQb~_ z2C?;JVn&BC3nbJ%I)9AL!IhJ+2jTvSXTiuW;8(B@FgHGX-Nz}Ci;@WQ<>&o$@pLTe zo}E<9H$sOMI=sB!PkUCCn}fmwzrK9SO6qgDO>H_^R<~f$Non)|7apHn_pvu#hwP6; z-Be>n)%Z_4!U`_Sj06?cMT5hWSr$9~N95Yn&qMnlH(cF1HNj5v25G9lMn6 zRuBQP0GZem>ps3T(GcOW%twhJvoh3l+we5O7i_6ue6H*zM0pAP>B*IHM{yyf1*vj7 zfl0Ob2J$>X(-)t6plot!PGjVc(v6W0wQEDZz z;IGnzd55wOly8_lr|!>l5NCGf2m4r(t~{HK3393A9ZIfpw6Yk*Ygjz5BcVu-r@cIX zesbN8cn#d*v@h-`oWPfCC)z8vWNiI}W3V6RG^x%f*psbekdZFs;D*D zfr-qn`C^XLmvBVU{_t5>ock=bYzg)D`B{`BRogzhwtZG8=?kf6cJCcb)oxyC;YGn_ zdq_RT_ePB?@*Ap+Ps68LaJqa%+q}#(=@3hZ^Gj{}{BHdL9O??ywo|*#T1XD*@`fJsKcNpC7QyJTA>dcc6a?OD|Z=U&hO?Qi1&YK(G(`UZR zIYw~4I}gXeuEs8?YEu8e;jHkd>q)bKG-VT1AZhL<%^f!N!G!OoIZdbeQ!~xO%{0Ff z&WKIjM`9UuuOzAEC!g-;oRdM<_g=U-IdnHh?-OX8@Q~ZAo`rn1@pawX%r^Zha3)-t zp0&>W6XACH!JwJt8H`hX{pNmoQJq|ip*e4IAp&^VrYl5Yh;b_H8|{mFjS4gxA^+q) znKujC4{ae;O$CSasIR}+J4m0F&pbmMU(Z^HYqX>k$V_ftlz}1McWU}_Epc->xkhzz z$dP;1Mr0$%+kD6AmGWfy5bS(^aUGSmt(r<>ar97%Cfpiaw2!E2T<+LB>NEh9kf`sA zha9*j05ss9fXlX;9(Qk4VPIaya2-;i_J0lo4CM_ul{q$%weH=wAI5+~ zGQLzY=CzGSJ@*y|DCwYv57{j~(hYt_-!>SOYNLeVCUHZut@ag6z-VJspWDjujAeBV z>AiF)l88olD6=3D;Jg+5G?n8I8Vzh&f^NAVO{#mZCFjq6K+cJfbd`U;39VYRq_a#e zSzIT``=59z^S@xG4qzq(bFqkUy|up?=3zszl4Rxsgepze%>qbRV`o3 zEh$V=%RC~_k~m@?WhMwtm;TsJf%q9B`^dBJDq2 zI-0s$;4grOMqg%P^CEe3gRM0LVY0^7zWkeoA$_~r%UGVn+A-7GlUitXjqXV;5*?D~ zB28Nr)WW@~C3x$~U&S2Lle*fy0oh7Q>?EZRDxnRlG0xd@q9({qz+H^#Eyxksq7dT;?v|8Eyp!e0J>?^~qtT*#zX4 z)gOrQ|8L&UUhPs=iE1!vqv#&n!K1w2c=p($cUUMY@esI9$u@u0S~+IkCyZa|0wrDISn4dB>3>Msd4+m%g&EG^2Qlw z^0`<(m(qDv-_OXorivD15@_w+CrS`M`HVbrDxV+AaPZ+p3+ho-1SdVI;u2$w#31+# z36_WB55XV#ba3$DWrMu`6QB&<(Ih`mq0F9lT#d@-p&v4T-Gq4H^=K2v-5IRIS8Gs>6k#Yfm$a(9^@{ z)=%69RJYD^fYpjT?=z$P1V@IY;5;upAuKC;yGQX#5osg!>eVE5iX>%nh|VRop+5EP zaneoLiLVi)(Fc%@5~Qp!(ZBvFRdk17e01t?GVf==$nuC5{&*0(X)`owwI*p_a!wnF zUro7EKz;F2AUV7B#mj(h7f3W?+z3FS<2XA*R{s

sVxpC9mtr3swE91V?!slP zPg1=Au=C;-XaKEn7nBXCrw);Zc!{-zO1WO=QbFR!n2FECG2HQrjkY*;uEc-^Je|a` zFf5UQQpKb;#K~%%C4zBP5#;a3Ns5cj6i+nM8HuA+>aVnHnKek>W87y9sNaIQB0iUl zC0v>zQsD02vUWc*{rau;3OF9SBHAucH(=$X7IX;A9*$)QLqz7(ih@J4(ad<4O1yZ5 z{|oBpZr}lRiBpJrj|Gg}9>nVr=zx8;0`?ij_2UEXMK}k@98g~p%Ovm;LO70Bz~+y* z-TjlcbU>u0@mrV*SsF_~(xdqM^o^v=3vyGz*#qk1v6A^bGxNhhTJJ|jPgCHut^kAr zgJhtf59a^{h&HaVX z2S+G8C_*t2c-_tzFe5C$8Bmiokx7<2LaxMXecS<4PE%P*4E^?ef*IonI0vZIthY@g zjTlT|(Jr@cH-qU(w$9OYI~Y&#V&47kU_`Ck8?S-?_ftCPTi=(#z;>QlrZ+9jx1?&6 zfTRv+VA1(C-eE0PS0Acg{*er~A_-o6aB!B( zR&AzGn^gZ|no*&HQD%`z)T#4 zRc$+3Qwm$>UP1W`t3<-%pfFV!U1aV=Z?}oUI@u&BFC9Rs-trJA4_ej3CQ2}=>I9|z zV3ZC}TCM8mCQ6jskWoC;-7D{PC&c&8j7L$&7cWn-A+07ZS{KrI!B>vYk_W3PFJG^- zjXXjEWg9u`Kx-N!BN>i2QAQQbSS>uCbR=#sKubl*Xz9m~yso>*`5dLzM_{e#9`ZCj zM>P`npTX39z&Act)QAeFt@Oe}+QpaaPqxL`mTLfX1L zy4_?NblR8(Bacjzw#y{}r;T)T(qU8((KA+6JpETliwkSLp~0)qaF zaVk4#-=27V{^9|3&3AHhACy}mxw$2`dYy{o_Bm$)GdH{Tlic3I&s??*4M}dBG~@;9 z)KDGJ<3La_fRm2T5)ryvn3D6pLqPFC1e8EPu@Hb()@*Tq0%Q{KOYJ8F#55$uJzqmY zz!VJ$0cUGS2&mLhJ<#`nASH++q%a5k#*&QBiq{L1ljVImZFq1SlnPPNN9v>v${?y# zh&n?jC$;hhr#F+R&w5lbR0%h?kx9Of$0a4}BvwmX6y-woBJ+5)-xTgWJ8-6Qq{(9%S z-Lg`BJ)qtjA!$ARcb(P(kl}wZeAEdiJ~!AfFhezL(Vaer5Wa%u*O#0<_?l#XeZ&`3 z=7O?OJxSyls+15hJyI}!%CZ+G^M0p1GSwT&vt=SPXQ)vUSgZZf>8EzT#ELkrK{l6D zBE|bV^veR3_p9>(hdAR^mUzY$t$!$2Myo<qf{c?7G8+o0*F! zd#JMY$F#_K;@KCO2%jJr?HP9!YC#P@b3wrv?$`9ydchCU{$cjj<+@TvvT>jb z{+ZJcCBFv;_SNxZF5(VTgb0Ov68!)9WaN{K*n~VoMwr!8t8gO)*9?h!6f8`tmjv%b z!Q&9XwWhCoR8~<*u}~*FeNK(yKc3K-X>@ zZs32l4SFtu9@w)F>X$Lx8;dZDJYYg4JG{0TlK<)xOggP($ztXx~M7)>6P3rdpLTXwjd9yO9Lw7Q~u z9NQC+np9Uygx8KINu(~|rsvkF4>lt(KFXgM73ht0je@U8Bm=`=dE)wcMU@6DN9<8EHGm4i=>kwZ2`8%`;&403dvmKgwTQ0X;w&hZ zWWO#oX*p65R)VnHs(8o7Oj@k^B#aUxM=Plbuz!;X@XQt>{zj-THDaRr96yixnBQKH z`T!@s#m*6qhlS|!FC;v>Lo0(3e&>hAzF ztt326{G`n$0o=c#=3Lk?CtGXc)zO-GU5vkY2_gs%7$&?+BeLcab~@;JX*oYjm~_q# zbnF*1{RLy*_3=8{CVDnRF^!;ib%z{d*2HVaQ4`!E!b}Wrw1wp+jY{3Pkve+=Ovddq z40>+f+-KiEbwkavNmw4h){us$1KUhk3=(9)Wp%(gS(J&u_ITN*G2x9lLGQ++!XitQ zvavIkW(e!dkC9t9GWANPACu`etD1k9K2iry)!ph8@PdhmFc?n~q&-%kV+7i3mF^^L zL9xcOo3ZdC&Ha_s_Z(|>fW6%yx(VhIv*S<^Pki_t*eRUUubfM3r?Y5jK`Q~R*rr}O zR3^jO|1kfA(bkz(?dN zOVS7CeP)TBb0EN*jq4?@sgN{ZPucnNWY2X>k9r*}7xzxD3ih#rjTxI0z;@Mg+z{P2 zN!2c4BeN-ul$1Q8k1AK_Q@2RqC&!r8@kxq6Ekd}ffZf&_6#E3#$3Ku4gmcv%au$gi zb-qM-G8^SdiLyv^Lfn!6ZRo6E79H;ITO-!*%0hnU%$LUjFv1sDA zUIODwfaU>l0AC6Vz#_b?6@Yl3F9@(D0c!z?@58|qw1c(l!?)y)LjH+1&0t@cZT`N+ z;kn81DhF#Bso6#6MnfJ`pVJ%Vg7r;HG8&eHUaOrq+F)^EQ7-o%wWq3_&IoBk{8DA(Hii`dzRdy{X5Pc(?j>`du zDXtJLQ;U?1q$m%}?G695@KxHoUp+IM6$d%eBafC= zQ(q*6JL+lOlYh9p4*2EYScO`O)!;wGcS}G83dxZ22v2LR zuDnayo>Q=x@+zq6$3JE@?(`dXHl#!TqS5J4gWI08awij(uP|S5IK%0Xh+)jMlEdE( zo5p0O4p z*;%J9eQ*C@S4`Gc>iW2(YPpDU{P~e`vX8+qMC5iZzg1#Xa<+Pl(cFu$#*KpXB1m3V zt=0-I&kaOg%8f7|yk!yEpr*o`4teu2@&%Z*#SmtV`qN&2;lwl^Gg_Dwmueb!IPUZ1}P+Qv&2Zehlmbmi^8-&&y`x2mEFx zsyoE-A&WU(-2li%_Va>!e6g9)XV3A`iBJBRj4Hek3BB?3!Raalu5$2=G6L6m>5$qB zj%!j<-6OF-I)a?~C#p}09lzQLaXgr-etQU9<0f>p-rA$5h1!ux z2b;xi2~Hs05EtE@7g7_8`6kL?C@apDo5AtcWJ5>>h-|+SmSn3FX~ll^;|(U)xI!ya z$ zq0F)8Kqs)U`9zj?1Gjv-hpn`k?e~xkiVHahN~A|6QbIKX)5Y4#X}uYLr}e&nP;KNg zVTyF>hH}n!S|0fO&TJ(d1unahK3hT^O%jpHmxaG8&4)@(>hqCBqJgIHSt>D&r2g69 zP}gTzv(+m#CEWO8t9l1rk3DK@TBr9b8~enVZlJeBdR`hRO(_b0h71h;>Ys-Vl@%4T z!UoSOk?nO#MK2VT&4OZ9Gy(;>^6K<0X+0U%T2;%TQp*zsb$K?mDoh$^#3(3UtGa{K z^2!+JB#=~x3hGaXGoGsxn-At}s|u5tuH>_=W+k6yh1!Co`bU&VH4=&2_n{j8*r0~l zWgS!tiw@LrR>buXDau?rAIupPltLJ7r9?a=A!~lpZe%2rj!@RvK#wuBmZqfKMMsxyJbT^ z`EPndznya!`|uZWMEscg&+Vw?)jNd~8++($t)q{3lGYPewQLNBwsm{04NrXAqh^w$ zYg`|C5cKB%Z=@iqRal^9efGX2>$7u6f9`D9UbAjs27~Q29~k+Rg=*m_*%xybNrfSP zLYDXm5HFjFtJU5Sn)HIx`_!*k_c%4oB$Mn}A_tHo#yyrtHq2&n&mJp2<2XcdzQHMv z&)P7%WZ9sYmFEeMn9!ah`ElB`ou9Dv_l_G=X@#OSqnim&MViQRwv#N`7 zgz2c3;NuSTsa#fQDnAW1**nVH0QH68YMO{kf(om&LKRmR6>>p!sm81pa2BA+oj(^+%fQy_EBlEH zf#Yau;QFpg6x6Uyoo`j0t2t=JOFNxA{no&p`+LxUorAihgFBpNjNN0`y<TC?DI?1ajs=B= zVyEHhs2Z{fy3;BsBFDQzaQ5dOz$w=@YM5MK(Ky`YE)kTs4??NZ6WG&MwML^b4Q+Jxs+s#a=)!nBD@y57#!&c=;Ddjg*GZ5-yz}7LP&)X5HST&dy|z-k5~1p^Dbep zC;jAD-X>YqgYC@r@luyN-Kd*}2RDuSsYkQDw{cTRs8^mpWXEBhjKf^l?4)|q2Wdx| zq?w~a#QLbM?GX5}RrOkihQ#7$^pH4{Hq&>8+>gO&=!?s}PB+jBxMzTG0@7PP#4(4& z2}$LXOrAbO*W$lrl6?#CjEp7tN`rWV@p|51gp8m(0P%)6D6p?Sh}iiy^~nBVVwc#sijd9|>r>NAVjY^6 zr9#Vdxey!Ma*xjXK8U?XeB1F|vE@Mlmjjx_UI_%TE6fyGa9?@Igp6XSzpOa>%(+6Y;VTahN7TF77_# zSis%4c-l;Q4#632*6Spbe~kOjOtz89J2v$$HGv=#_QdzI1aQ#3fe4`_50WdAk$6R@ zD!R3#j*I@RRJKtzz%h>3yNl2pZFcQ3Mq|JTVy?WmaaX)P_%ZSy zGod8}Er?py!kOr(-Xc~x_t;b^Mg8~5(vhPsqJOcJ_I5fk=l9vreEB2F%Y2!eqvuO^ z4q`Ytlzk-LW~;ozG2->eCiMiliOTL934H-STIx$2;ue~5#UN){j`BXrm<+pe)8Tln zYmC8fC5lOTo4an0HC|lttz()s=|*)LUj;|XvvYg?NCPFvc_ZW{I$WbhbU!%x%S|?#ys5Z7)u?j7A38pdB!f_1 zjyCi+uyL;#`rEUP@}Sr6M@+T->ZP%q;QCe0Jg!H3l!0f#B$yjkR}C@O*fVP!M(8s3 znF#-M7$6d%?%yNS^O6KMQ>|)c zmf?&XN;g_XjY)2^GZ8|kv#KX=2IUr;8LwZRuL(t7U-ooCRW`VP5SxNIm8)$%9ZpwX zaz5mwJ?)E{bMx&PT(gF-d+BJBhf-2UFl|q~gp#4C9Zu|r^&C!N@Nmp*A)vVkTt(key ztd1k`{38#Fu$CRua*G-@MC2V-Q79{@d(H#(@ct<+_MsE?yU3L5TAX9eJI1O*jBMuF zrey=EkI{P>OGZ<3E`QEM+}X$8E zRSSKGim8!`@uouFp~`9WBEIhQC5S7JF($T`OAT-)r?%L#>O*<)*;LR3&bFa!1#Le- zBaw9%WJ9Y@=R3}ldU89dq@q!oc^H$SUPsKs25VcHvBud}Zd}Ike~qK9O7|H3w#31L zXSb>^zqTFxh5{9*zy3r{Fu!=5dD1pGfx{Q0eUzNRPUroQQY3^fp!gZXfL{JVpJF`Vwwy;e@n}HGa?%aNLEoq@+cD6G1$ci`bAQh6~xAtqDkrzHH{6DTT zK44ElAJ}0_x1lNa!ZbfQsQMmI>pzz2JXMNj8+>~-Q|mlt(OU!%`G#u+&`J@m5J)6W z=4men&@6$}H6+c)`Fzk6OK_5rHV%6pRl6EF3*0JP9{EJk7wTf$RM|{R!0}D46c)#M zCS|YkVoaR|ELx5``H0(N$(WhW?hbfW9Q*w}!ThUnxmdQFA^n8-^JeRDY7e+h(Fd~y zI^A7|=o0^&*Tp98liiEgPzaG+16jUrV)Bcw-rV-ndK*lM()&2Abjl zba09L70Tq04dB8@E(E+$*L6ml-J9kr_#;{ZM%@>dWLrH(JJJ{{UW!-YQHHI}DF`>p z*P#NH2y~2LZFA-wk+QaVQ9WtxZF45uoY}O_6NJyQ-`vhizo1Hf%i`-%rw~X+cd|c| zbH^%Nhl>roFlah>hp|Fx^y~4@4d#nd0P4wUmi_T|X@UH=U@?*(L7{9A_u3B=Mzt(6 zQQphD7+siAE!JP6lUpOn+49aZtm@p6(nnR5ILuuO|F#z`h!gngRVCsVRUz#q_Mv)J z9>1a*iu6L#C3xjor^?Bur@=Ko*&rTqXPr7!d^oI)*Ca)ahB`G?ykf3Hqyt2aNS*qk z4K6sk3*=)%m6=$qPQ8bBG9);GI<=J#S5R6zY=ow&%@Sn_x*G2q!T7SPn{d#S+4?*T z6j*O7#SN-I>Q9IIy>|D&kC))R>RMdJ`Y9)Ixobs(*mPp+sY3MnC!W{i9(^_pcI*(y z6i}$AfYN^T8f#)!&0lV#Ib=&Qm4T`iQ31~zTcc5Z^&GjVUx0}rjD&-SSKJO&$@zeWFI;yKzS zD|aP!Wonvu2&|2>74ZJT8`koUUQ2xaidS1KEB-uxKrMY=YQ{6_*}-q^gKu(VU!e^} zwg6Ef>v77$@%0<*+`^~T=>^XY<`kVGhk0yjYUEs?Yk<~=v58A<%)R-A|f>ZA9Bz78|qIXa$*_2uYz zcbf5fIj%vh`003hHPiYiEB=@INUKKoK}GtEgjU3*NG(9$DbjsrE`P)suEz54273wh zONIXVqycrMCU(~dN|Q4v_-18>&6sm=s7A9sW@uDHP;G;kXjG|>lYsivW8!V)Or*;t zeg~CH-~V-H$KQYI+06KPhs1qXUjviY4;Ux7D#MSVHt|b;RnOuUFoYhLZ&(kRNJi@@ z&ZzbHRQ`_nN;PM3@6F=gmc=#HQXmX<-H!uDP{LzKb#dPuW8~@qz_4Uf8zdy4?PHc% zd!lT+##wne6?`>n9r=Of152J|y5&XO4=k0tu{O;Hw-$w_Y{{ixTi9=)LWW7#ZRE7% zvf4#j-2B3Q@XT!~Of*FjO`@ZFv#lxa;OU5?!F7&KBdXKT0s-Zp=`>tN5ssE8m{`c_ z{Fk&EB8dinxs2*T2=)l8Y*Cx6#y6n3;A- zp1eQQc`np>B0n-;y~94lBrdN?hb@sX?)Suw%=x>A14Z|gj#Vvk6#p8Im5Q|3TbyZs zm?f^+lCZUur(4QfN{g^rfi(z2mB*yTuor|ImD)^4N4ZYG;|M%rE(9ejui9^@52Ack zEvIFq=;mB*m{I*|@AdaaUdKifN<*UvR(=c->S%+}z^}oE$J<`PBmeda z;g7Xfh<%!KKiB*VyD_r8qNlyOsNPsMb-}_*;(JMYB$i;7)n0t{#qqrZ>a78xrJJRh zasQJcTHFH2ldG`+EA)G`V}Ky<6r2nX#Z%VyiusjqbD3srE|cx>YG(t@^jBUvA4Ctv zFWGzzkHYqfbc5CrAYy9maC9pMJCXm}D~swxRO|38lvIs|j`c-y!!yidyFKTU`Ubf zOi8!z+s?s;SLqPeQMs0>mIo|1TAsALWO>WdOdc~{Z2h<1q57poPBrs*TauREaf_Ms zpK^k02X1rYM))C;0QSvSEdS|S@+UO{oZ_Ge{ zQisFXTw(1fH|RMX71Dq3e$Wyt%!fJGuyrI|hTdZ|FgMH}9x0cv_;VB7Cta}Pq+mIN zFYnD($5jBPYPdUr2If;kb0utZsqk1s;@2SuqVs{0Ih(7nQ(&FNV>?%WM;ZDev|ZBE z2-_8zCA|A6U^=#JD_S!}uBTe9I8JmXs`tO?L``jzo+xCVc<@P?C)6u=n`g$&f*@zc zE&$Gqf5Azsb^Mz1#7!kKPsCc_>%H1(x7tQpc8&OGq@m`35oOL*z1B|nD>ch3W16@D zSpEl21*|yLYCMy((G*L!R_7F9$9-oXonn=fG5X@Sl*rm$s`oq#`RI#L&N%{fqiNJS z7zLzAx8i73G*^yb_)Hw#OO#}+y9B+1Ij}>mJz%p4){RI5jArQmP(yYmuSJ96Cu|y+ zO}5sG6kj>5xxDA6A7i6I4-!=mtv#(*bB6T{rV*E0L^h`kQi#_drVv;v^QzBS^3v)g zipVZa??~on%aJq4qZ;0P%HHYKZ-$_aK#=|tPFl?;ny7lJjM=fadm~>B8HHps3KX8< zH+ic1f=Uc0!|KL8P?X_JN5=iC{PDdaadUlH+GM7;696zUS}%@JnMIPql+0?azm_KhA)P598d=x1oLs z4wg(JC^dKYtCRT(>NlO=BXn0FB4eRmBZdjWgk{xmd>`I@>RdCA-Ruzh!esU-0C5Tl zWT*}!m-3wnU|RZULlsLVT$&{w5>6sxZz=JfBHkBaeQzdv6yaMvylk1;L)i@V0Kd&u zndWLk-7R1Z@~H0uRQ@4{_{n9pY^c?jG&=mr-|pWa!ZQ&PhfiDaFBasU?u5nl<21kL z6i$+lqMDxWZcG071aL!!9}$^AnkEXfq0K3b#6Y`TaB<5nMpNJ;R(;CWNU+(cJiP3_ z?h32HS92An=c=rg?wmw>Z9se`w;|Tt1SRr4xsCIuYYf{$zA{KT^mKPx7(}OY9b$JK zpS1HC(4pw~Y%2>Ov6m|Yf3w^>!z(yq!+sP~h+gqku;W-)GnS~H7z8p&HNfQQ#ZI;Y zLzBY~2GlEW4RwUIUmY|#oX>}z9Ht7O7x5Y(nri`$o*QP!-0=Sxdl&d7tNZakNmB?| zp-EFsXVr>z)>&PvxG}97Xv=L(pIn+IS?5qut7Tp$+jQRVxT$5E`|6k%q-`iXura4o zM|2IPiUakDCWWcAI&V1L4hlNv3jM!7=SczGzTe-!uUB(E=RD`~IiGVs=W{ux_=|G` z*P!l-gUSxa9Z!Tsa<{-7Fg7c6yXzOaow;OxGQk;>T2*{qYFJ~}%_0C&LSC5PAn)tK z7Zl{OQfml&l~LD6jHLHS>bSJ?XPUNYp>2c)PfBA8jn_IQ_e|%_($*6gT0AwaXlk`0 zvr@HXO_WN59+Qn67pUrsW3rcW;6Iv03+_NkgvecNcc@D#%NtnQ`Ey;yhOshSlE2}s zkGd)U9(C)UbxbK=`Hg61so|G%^vF8+3I#NGsl&y^z96yc4+CY^OZ6>0RzjU5PF$TP zpT={Zy<1Ha@Dm5?tU6A>kLa-Q&Hj7cXgITegF`K0^TxFAM93{t%W9^#zMA~(|J?Z}lk8amX1rYVp%>RHi>=GQ^uvf?&GOuWmB$>o| zd(y|r5?ki=zq$l+6X!tQOG^+XX{2|)H^?;tCZ=oh|PZ& z#)ehfPMaQ95v1rUK^{ko;bfR9p@T)xv)vAy(r4*?DX7B!@0_h;RC$IqGUI&Krpmc^ zhV@zh1|Vp0D~_hc1uiNPbPW_92p09>j3HSmyJr;1W0kUvyUXL zfk_fD9y&xvxvF+zK1sCyFYUbj**c8GWLX!(Vn2@kw|c`I8GVuJsn-BFcx)A?=vn&Q zd`=PQu1lbwlRujTGrB61a$6{UB8jcpj!ayg3RM{I&_ncKR3S%W(?e5$%|P3hF$$!) z3))A4@8Z$@A|?R%(l5RV2raI{(X>c7soqqlrbXfll%Ps~c8n3@MhyuuF0qLbxJ-A7 zWMJ|bDZVx8Js!NV0pLHn&%*<}%CaDnBCuDYcznh6A2E?F?OY6zybG3g-hsPbbrUK4 ztS5}_DD~JXI#O#=tra&JSF3R~t#~`IoJ1c~@BC}sXkX_oG7Y>6m{s%molxfTmM!hP zQ$2^r@~AY9_ZnzgtbQlpH%Kbc@{eOP(EiRI51&S;Ux9PkJ(G~saZR4Fl{tf zHUFH|dbTqJ#iPcYLnRsH>=^k`30wx_xX+0dTavW9F=Wsz?#^89>I z=PM~W*gdbO*6OK+V_TwBWO|y^9QlIAO5RdMkCIE~O8?T%9cs~TYUNfJtCngOY}` zbv6+@n-NJop!e*L01oTBu0PrNY{1F&1hWH7RE$J)h?_c80>j>Jq zsH@I$cCkKpO?%Oac`|nw@+GrX`(a}Ucn-2$J$3zLQPjgu_6aDiX8r>b3}CG{g!a<)uYdTr%wX5#gp$U6`bhIH*79Lf?%JN)2R#i0CD-HR1}dGo zfq;{4TLjKLJJ0(&<0q3?-o%!-yH+rOCbzi?G>NJQV22hRbI<|?acJTaTFh5%(8_L% zkqPoXTRTKM8D#G}3fpg$SZ&KWWasO1<$^(10Y0wXeU+HvJ zTqEVyXmsdIQ`tQAOJ>5Od@n2hT@FiW1z;_Pat$bMu9#0fZxf3HNR}1A*A3N zka*JhOU88DTm(lmI}*LS+H^5j-sECODCj;uLYT~TxzBj|$tKY=Us@D04Y5;CWD|r* zF?=E1U2)#9diP~%=8IY188AMU_HCd=J3qmxh}L}@XIM>nMItrXk>tE26-tUWie$NO zh+4G{-$9x?__8w@m;L!_9f@*=)o*kOqoT1BIuR29C`?h+QE;l(66j87jY^jRPXXz$HG9$@WP{1WJV6(2m0?5AydAPt`M#8CrNct;Iy6gZyK{A_oP!Pb2W z^V`aU=_#lR(E`bBzs1-Z7sI)pd3?HuPg3!UvC0JDA^(gGjv9gM*n13Pfg0!R;hF2I z*&nTjXw^=&Mi{m=?%x_`l*bOS45$90VoRO(J`=DLpPX>y zBz*;mph#&ISILEwhHg zE;%)YaLyh4@*%+o&gfDU_ZZYh zCdFkXIRRhFA`$-_E}7U$5q|_@kt>+(R1uBP<0J#29Yy*(TZ9tK8o{-z{9C!FC_xRg z`aV(I6^%T~y(p8^!%OtcF`J!&KKm;!LHgCXL{bU3+G$k3sUbDox0wJ)T>uivwixWK=Lg2p@!sv@vj<^ zE61%sRJ)ky@Ei5YElvxBJ|7OO095!kyjlUOj+kkT5YDo(Ft=}aJ2UNSdI8-Mc#*Z5 z@ZkA$Kv1nIe5e~^((TkzoFDyN*R|F~9%Sv=TYsvY zq1S1(@U|;xT)l^!;)5nAo`r@v(iG^dOPhx_OyRs$%yGR(j>Ys zZbrT(Z}&xVy#@9A7iLh#0dxSXyX~=UkDYxCfYY}AE`hy}QvW%3+{vr*W(hZyn zWKyMYU)b&OiX)$r_SgiANPx^}k7PEStSBxE)&Gc7!yeTEc5FMBc8;j|0xOQ6iv z)}3(w%<-f2-qVSR@X?A(GSRT{Vo<$x%bwAuP3}=IirEmIUi-4{LfN?A&uxFTT83YE zI#>r!fylm-G4?4onbpbo;$9z@F&6`sPXJf|P~o%UD@SsY!+*libaG}t zm|yHW+zMgi0^13ps5M=;8^b(_0i{^d3bi3l{hDUUy45j=D(875vy#o5qNABMc^g+B z+>8ZGvwN`BO3qSK1ihfzLf9;I0iJAIqU^?uvyCB{@CS#2qz*arH~H#OXns1_C4I+A zu8)iq@-)J6be!F1=h-(~-K}#vjGT5RjOXg7j_P}vo}wH#!he60)BTV-hgCH097Oa% zb-llCbX5t!-vDBas#R+d-j1!R4G2r&C)dHLwJPAN%B(6`C2W=|0-@V8vG(3ds~1Hm zO?c(3x$pZh-KDp(Kh|5>ZnX!Mg%{$VPUac)9t)NFJ}4lex$Y+3g{=}^NOQrJrTqK( z4_NBVA0mOgvIgw(5*y*`i2R!O0f_El{4FW?Q z@dlGgrKNnhDnuojt;Y#`3NRdF+*4xz04%85SCTS&r17vGOm=0}Q-H03FK5-(VF8fo z<;Jd8P##ZeOFd1n`Vhaocd(xm@89vVH<3s$^TYmx-)JVbVXb4slUzb=SmxMpKihS+ z@jZ*KD@tNvG+`-mttflPu!B|#jJD$9J53=g@5nD%26e2ruy|2jl z1lyR!o)4PJ8LO4?`>Jz@87u6xZsu?;_5-l25;!Nv!o`0n|9&emvpXO^mH4Xoujaod ztHSTfTm?JV$4;np1JKna#}tcW?P z?fwyh0*I9_*U%RVd*>Y~3~hcN3`k)AU!QoPz zK2PkUR%iU+QO>T+xe-_3eB~H-N$i0y#mrJ{NY!V`%~IBDQ*(oCz&<)FJz_Q(f19li zzaEYRH<%-lNu$keC2)p^Oh-!%%takM&!%3tD&*4;5|8?Q(waQfm1Y;_i zgyUV1Q_HE+i*7ZFlU2pKeqS0^O}c(3-L8rG8kZDc{UnaaYg?05o_LnJfh^Py|A)S? zW<IsSAR=qf^bw_QZ-?s6fA?w}t2jxBv?bTKXQ-tgFH1}v2tJjO3 zHex>#{7)nH>s)dtcf#9rYbzCR&86a*)Kv9@q4a2~2|F^^Arp|7K~yi7Q}-7m+L&tU z;bvk^FDA0*^iDN0o}Q*qU#dxrwx{Oh+6eSVbE)~bHK~RAR(H;@x_pDQ>#4WtdcVbG zi)Q!zi2z~R?wF8}{A)!x`Kyo2+8UVPo-Sj;Z)MDe?P;(zZ3{6~ntNc&&94gVzZyM3~1 z-Eb{adn#Ub2)qp?*=OM}@fE-5#I1io-b&%?^->Qil}RlW>?6V65~5y1<^3-2qJH_r zRLSLiY5@waQVWouOSP}ZM#?_)Z`^HD6aPJdkRb(HoHX^O-wmqKAuqOmyi` z^(!^@6ajL4z>67O-j0bFPn&}jH-G~D2mAM z7br>y6xDSQT`MVr4k2M~c^;b4>*2W}56FFGF`%YA@1ol?Liz11zfk^XuR?hlKhp%z z{vakT?0Q9_qDG+h>|XMP4foI;OxX#9*z2$F%s?Np25 zDrOaF5~ymoS}H2fHI%~56zpiFsrmIkCqMlR6PN>%sRsYqgKgQi;=nwH&B8EwvH40h z9Kd;%TG&r^nm?JrrCXW@RcUIx_2XrJrrXpxw;b@_*ZB^wE(M$?3eL3UIB}`Data{N zTDjCh*%nd1Asx3}4K0zl3)QRQO1s;m;zbu@NBW61PCDp|vi6Mi`%dZC2lRVIzdo?v zZ{Z#Iz9;DiKxVmAJHJfD{7y~CY;7=G8<~WWn)mt4y|Z|y``>0Pb=J^2Js+rZ0fKBBRhtH? zEY+%kYD>Wl%O?(DEIT|@yLs^|Figw(wCq0Cry+H5K0VrIZ7wWKn{BS^&BBC8SeAeL z6gFjKFALMX+c=x#d6s1yUC2rLUKXZ^7~nSK$r(2)R@Os9>f|56g~XgNy{FXoWovp* zAm+@+0vBha{SS!Y;X|DLO+6(|J*BOEt+75=)caS)DzRGmsf_o>TVoSwxSQHrv&ANJ*6l$>S2r96Cjg5I9(I1iM~Xvq8~v&?t0jL&@cNj#13!h zsLs&0&@#x^3SJ;t2<8?*um@AtT>&OJUki=hC5Lt7R65X6DECgM53+MK-$wBHZF4*O z;0~CMc35hPxVuDTXkLQ56RZfU51=MDd_8Qvd;H!XTSv(=c2rHfhu%rC>SO`r1>2jj zs^nWtRZ5Ukf@V6cBkeHHzOa*oO@7Z0WK(@4y9?jJmU`lDxK1}a#2%qFMdGV>SR7Q2 zV4aUuyuKEx1)9z+_9?7i%02{11&@|j@Qb`crIuHy8B!gu@W5kXuUBtNzF_*-mS3oe zaKu^X$B^IuyvDYKK53*lG$iw>`Mxvzqj3LYohzgTqJ{aH*-{h{o$&)`Q#aHES2J&Z zwd$;mOm`g!q$3-iGQ`YP1!IuakOPKJ%cqpA#`DWcW$!?(pZJthQY!8npGnP+7`{6W zuq#|iy@F%)`fqX=zj*oosMnBstd>X5f_O%kJJ;nw|JbWA_w;ug-O=;u+`mAB+I-(t zR=2?wpt@oam1z*cD=k*}!z{a0Rd?6OXt$B?D)qU%%^8)=$u&Pue5#+=`R-{Vd$A}j ztHR6I=vCnw?rXNmu3-v*&5jlXVl8OK$zeshtHgJhs8e%V*tE?W=QB5-4_&&UOSh&= zx6tL`PoPV8+;>o>dw!Q&g!^WV*<2R=vsc~wzqYqsV}C(Fr6z)*G4yw1d0w6W(-AS% zcrV?7jpw}7BJ|Y!g@X(8!#za_#|Cwx4fBdRPx)+^?R{JwV#B=nwX4rEIz9?7ZUqN2C#$*@mHbX! zQN{1H71jJUtf=7^`Bp1@5%Oe=(&JsMm~T1qE2_A#Sb=uTqWW7M`AEfaavc8Y9LRnBEl%2{iS{Uny8)WsL^~2$ix@fDsF061b^II!C%L3Mll4X_Fiqd6O)(Zc! zYHLMcS&g-#a#^jlqH0;4wW502RBJ`evT4?e+GP#aMf17Wq8xQKKd88oxA;$`i-OES z=$f-p+AdW+M{A~fs!!@#R-aWjY*{xtvFysc`XK;!co)lh`@Dl0ogb^{`4I;tsz>fb z(Tuzc5K;(6d#FR*L_R!;+$^7E%V5)IgZ(HP)rCM%UdYvQ8i+eI$Ae5~;uQMX2;7Ijwc5z03!k^o-8nEXUg$x*g4(m9re&gItAJQLuB~nJ;WM z<{I#Uaw-jfq{C@BmBSGs z=2E*=q)d=l;mnJq2q9dDyG39nj93VF-}I%7v%`1}6|uJ5iq0{vbJS8RsV8-gWmQ^g zWxcDAC4wto%rY6q<{ok@Wj}=7#(!`&*;V2nkHCueLZ@>}>z2>St9ZkV9l?E}E=kL( z)ICe@(|94PBHyl+Zv|PEE+&D(M=h%&HP*Kib9E{azv=&f$g14xLK zhMTA#zgNb1Slx7+mR0#9fqB)(ug{iM`5hpPh0oyhBda3c-CB>+#?C_j6`^KUarAz1 zC4I(bHaPm;ej1Rzw?7$xd;4mftU7{Udz9?>2uXJJSB5=v@>AoOG;S;!QYYb$X1TzV z3yd?18ahYBMqYOV$AoSSmKd?j#0{nFMvT^+u&-q{lsJuKo?AbRK=GTjTybGpf8uG` zmtDO{_GKG0HReWhGuMIn95?-Mz%wuI+xZQTIm(W+x@ULv0f})`{~T0edek5POtQZ2 z*+!<=m~C#b_&VEsJ(tMBD|%eu7|k*&u@4ib+A<#oN>wAPyN;TcsB%ldQ@jOore_dw zoTi0rck_6u)L$KldATP!rZ{FeE^$2M5Y5dZK`*=r>=3gPd62!5Hjywmug#4tBt0bv zr)!>rx$<5stjqel%s_?jrGT65sKU88;!wj9^*9}`c7HsWBgf)309tmECX&G1cW((v zKDF`T<#sIZ`TNtf?@x0%V9ri{70KtJb6Q^hpI{(M4JSGRjyi|oxE28~Y=TbU(*rWb z$8r_rnDf+MCH&UUg)baKP&CfYS8)j9g-dZP9X#w~5m;RJ)!=@LxmJB3k-xS-F4w(d zwS8=V+%#YTb6L2SIn=M^wcAa%gO#@PX4LBafNjHV?yNe8u5w)9?7V6LD4Ug0-@;Wv ze&DlFeXsEBa#E&4-LX;lq2}}ic`dcnDXhujE74D+H^OJ(A$xf8$hrQjtSzKyA%zex zIA(EXK5+_M+9mFM_dHfiH(e+V>yUbz#Yy-wH51YP!GOBJ*%Z$P z2q-*`YpD(jPM|wJ7t5>rvTPSvM$V?vF?bD+#RVrM;Z48bHb-52F?&JW3viA8xY2^m z+IS-)sHM?-y{`pL2{5gI;Awx?ke#5*f zIZ+6-QN3x`zdD zMM&K$fsaA9)lPN)I+g;{o!mLDwGS0{8)52vqyG2Uj!(8^TH;|{?;$ml6l|W~1Fh&w z5oht^&dH9iG4@wEK6TWmP%yr++k-uHT5>a<&10S%WuSJdZyZfiL7$Om_HcW{j%Itd z>$nSPE{?<$?oicG$RM5ak~ykQ-UWqmVqcs-;KUU$)Fqk=KIR5A{MCGW!4;+ zzV5*E)9`E^ixwm^RH~mLJq>jO`|_H1U|!J!^J+UVuLTF@wGhwiV;L_Z%)b5}|G_INrvQB@K?|JnJn3bJu75BhEMSiZH zY8LC77wmlIiPE8??R+RoM-hRpQl0bL`hfPIAE81w3jjKPl2u*RwaFf5Y*yP>!6xmBnzGgDR~dvQ!COLW|T^mI_^^vn7kk zGNTH^1*gMj_>xyO5o%j|UeFm|tw`585Y0b1RTTfi3C8_ivMrH*g~ zAACpV)n6pyp_bYv`x(tX^%&7OCC!s)Gz*nF#8r<$CiT~AnD0IPPTI*Vp{2^|sM|iW zVyGRy5J{vpguJFV2i0_E*8V<%>z|kPpccLo)=^Qrp{pnAVqrcXmYqp2{XGU6uy-9w zcWbpfLyXhsjqI94?%U$!FV0UX@A+2ze5TV+*Mqij(qO)m6XcnXYDStQ9q&blNIt+NwS`=&*zUtR(iO;b1-RaTSEj2n(^cAqQW<&& z0)@ICz_~?_Ebf7Gs|U`l9yqsp;M}5z#?^)7#2pmeKyT2xT8Hu5l=r$rrKzH{ZC3y- zl;^9{3;2jYkCx(TID>mUaH%eQsMFiB9S(Ji>^th5nUW+62s&uGDi7>hl=*Q+SODfy zrf}}CI}4G*>Z*)qyDCM@Du>#9m>JaG=QU%_Q+vH8ZDmwu0vQBKI9?)nprmQm%TgrDME(O6!DY2z1w zxa|5fj$4=8cgKGz*CZd*hoiSo^db$Jb>MQJrQAnj@VH~b@H_C@^9)M1~;|9Bl%h59jTbtUuI z5c3$-@(V7~1V$g#%A~8{1p=9E>K7Yz)%yNK)z~+K{euE(y(quXkksu?4N2W@&=GHx zh>HNJ=G8dZ^rF+K@YA}5UL((-dhokr)%*nz)m(xzw%$oS1OKg_9pv`KdbaAY&)2h2 zhy6!AYjto9%GIpZ)mXh9V*aK2|3Qap9sD13I9LbQ$uV?wEqdjKn3o~XryQ<7Po6%= zlS$VZYBJbwQ{Q`5lV~aNhT(sQ2tN`~ljwUI5)z%SAt6yhN7N)bOM{w3jT*Eh`7}VN zbvh2Vt?4%Ekae0`4^vW5or@&_n_8_vP%DZfeMj!u`h;FX>XASG|9ZztzA5imt7!}P z`FaM}F=e`@F2APnpr(fSY2f*8 zbyKph3sZ8TWLGqk=!$m5GhGc`@vixjuA)hHTocMp$t=HibyH$+&H$2bUA(Rr)t87^ z->G?Fnq?L3iYM}Oda7CFPi09361}E5(t5dol038`3s#YT*wTQn@R;VC$<6jrnYeIh zbv5`8l}s#>Cdy6#kvLCbT@BnTV8yDTqr5B=CrIuY%qmg!apUiW-fGXKvPQw|BvC7~ zT>*E%YvXFo8CdKH_Ecenh?lG?%M32AZ&3|?O zM9Q@~=JeEB#dCV)A``&Jc2L zbagdJqb21olQv3xk6oGAH1C&s#CbQZrg0738(aCsKt0s%YU`RW8?Ni%5Wv2Bvg`+l zj27~A>O8YacZHvB!^=xh-PNm+a?2T#6ZveyCrd}j({eC2@Tkf@sz5h*?ZL7nn{-uF zS;ahU_Pdf@K~x*llglR2Dg34(H$An#lTI<8*6JyVg7~t94j#3d;Cn02Co8=Bx=A$! zb+vih?Ep&j`|31_yBehFr4!oij-HkS+a2mx)5>BN%bCM0kw#B2%_jqg>Gq9sBQqEu zq_uT$tDpMq)#J#14Q0Myo!N1-%&-5;d+4|S@P8b!?B_H69;zV<6NGoN zwAJ_ijWt=GHeKGi{XK0x^9`Oyc@u>pTeDf9w!LGh3CaCBtEY_%0aX#_T~R-eewD7K zpGSMzvXYXM?0DWvy{ouuaPd4_SSaP)^QtlY|J%FguOI(E@E=m?$p^lBVx_LDL_dB$ z(8sN8p6fARu}nEqmq$Ju9qsRK>z;qUJWIN~q6O4!u)5orM%4UP5D&@$&xcXyK2?1g zZ=r4Z^UGacw|71LPQQwx=>1PmjJ=AkAqm0dY@EqqU8!v#OReDitF}RPuC==Sg=f=u z9+orouUQgdrfGVj@ND|QA7qWGVY!$<5X;3R9Gg1s;E>w-C!X8#%Vw9IlUX)f4L+t7 z{is(_*w6E{3qgxe-(!yavP$0>S%75?9eW5nEheO`n*t!$EN&1m0?w41-pgIAa?J;J6t|B}J9<>vVO}-O0bvkZz zIMQyTx$~COF7p|@n0SoN<&);mFx`!&8=`uqixX!W;B2M5BsH21w9|@?s-&e>lT8?= z$E+*tKMg$}yU~&kYlYLgAR3Gs&CzIU5Ebsx)=;Y!Jf@Q+w2$e)O5#iQd0J2#Z=I#i zBd5r$h|w}L5^8Q~iOh(EZ0tfT`sY6Gax_zexUU**wzHUFv=9;cVz7!k{8omn5rSDtJypu{$H5^Ka{gW*p8HvX8ssxo zUP};n(EY@_4p2^FcX2wr)uHCH>~RvalvkqC%+vXvC_5ar`rnpB_d0;)2*hPIch{;y z)=4$Y;Nr=I{3JeelR8~o^@>|$!r=^7&jZnQP!dP`^R3moBV^qXond-HGfj6W=n2gY zMf|QDw>y`RS&P+E6Us)WtG_dx%O_-<)74vgVE15EY`S_0xT>Nw6X~fng3+KF#t$nQ z9QBU_g`NvlsRjysPJNBvNO%A}W&o@y5o1G0)-AIp6DBRR=q3Tj04szEJJ;w!f zbqGVdL5>bV2T!zSZPu)%d~ztCKoPG3n6-U9wdnm;7f5pM<#qDa%#S@RJBc!0V3`Jb zYK$!QMvo(oe^Or}(iEjXH>!j2f0T5_|GhV>epi$(Xrq+-mM(npzF6E!yJxGvO@f4J z4|YufkPc)##JGOg=uo|3UT4)MG8<5X^?c^!ul0ev)D4>jxy%(q*R& zrAy?(s&4dCZY11}203?KU)YC#PXD)DSahUI_($<_+HZK$0X;Deap2Yy;xVlEaMVfQ zYzbuWU^)qSyYCPf?i^=CR|HZyDFUZ*J?xMimi-Yp@r&aAzT`e#uj}xUO|$7G>_;zG zAGze}{*&;oVfE9cGF7{0!u;Wiy>8m= z@*Od(LOO@_%{qrxJ~FdU#qlnpthBqx2VZNwj`NTmNAj{YRsL(Ojy+Cd&m^u};{NMb zg3%A{xa0XWELJNA?WnKez#%!TUemD*JJy@_$7gV)_ICX@UQ7KC&?hQ+mF@4`V;GH2^%8;_!gH~n>jwmG{6tK< z%c7DF%Dg}E=~2Gy|GtX=zE363ZGdHyiIlS=d0(SXjTIq7R=roPi&$tEAy=NTDKPSo zb_%K_yHNW*dx_vH`@SZ9pKb_0MjX&%E|ANqg@7gIRgtvcHzAS^_}rk&IP<3iLiN7-y0|5r%o$Q4F_zajw9eqge?g)!v=)R5fFWaS`g!NC zs%gCWmQ}T(RW++T2=R*(T2;HMBmj(qkkhMqHI34Iv-7H5N~M_B5kW`?^2#B86xNrp z*bSviS(M~a^ik$D-u-_|BulO2mjyE&KuaUDg9VgVA3jBXbMn|8v-4Xb`T6r|E#YPL zlHXlAza>nRiyi7VJHzvIhHZrm{XEe#;gO*qSDh;#(*gAz+`M{NGWrp8^J}_E$%l-t zm*vk;r%47=@DFOgSptpyr&#LWXf2Yw_R;Prq1_m{!l9ef{=me@@)4-CoJmaVbvAe2 z5Zp^5GP}Ue{8c0`hSHTRQd;|WWcS|Dke1u{5-*2n%2s=xr0QbtG_O7r@P|X{cG4sb z&#H#dsyd&~ZMZa40_4_^N7|xpRm03x4Xf%z7xw5b#`_FITCFziFE5_K*ILovF#4MP zp@!y!;>AHe)HKqLHO9Ame^sX$_X*(_;?Y-h8x8f(EUU%p1e|Y-qe!mncSLJUz)G-uUzn4MM+^*Lwdplq>6IVF7LO}6i3Q>-98hrzF%{((eCS zs273y@~=jEJ!M~!NL1@~@}92VK7&&i(cR@foOY@2G5W(MJ}`h++!h3b-=*? zYN70_#>y_Q_(CqP{Y$dH&CX>T@(Rp=+}Gonq$9U5bKK@&^mL7k^@$nMC*&9(_+GX(R6)Oc7lfMCuG66{ zIzFkt_P>qa>I}}9?7$YPvutv6*KXbCqB9)PxzSepQxq#5rDcI!X;n<5p+hs+w(NKjq z8#SZ@|7y1&JBti=Mp|B~AC=mV<+bPwdCmXtd6hRvUX6{Zk@hH@xzVO6(U~}Q*Q0KQ zu6GDsPvl>Z*Cpl~AkSpMUHNpt1_D6ge(!ak>wA}t;UH^d2Bi-ntfgWXrx@=%AG8>KsbZ{ij0rLZQIJ|CA5SQoIp*Ft46?lzuS%fG&8-(7LNo z0jTGls}hkr1635ia-?_K7cvU#j7Cn=8Ew@zMb7??gDDYnCC}~}9j*W7XVhVApCtFw zs~5?>gEz1`c~=SynX-EQLT@DJsq-IUI#vUpt{a6D$E_BVJL1pIj)utxF1%9h4=~SjW-$2%rm)JAC86T*BERWjO9XB zx+KA_KZ!-`bcz2I;`FJoB+G{A{>MBQO}4^s*c!fOVmPcXJw)UG40RDvrng&RV<&2J z!Opy^Y@#6f7U38=DsHigW8$AB{v!P1Iiuh~pXanr*@(aY4ZXL$p55cHIhAeYJRmI` zbr^tHtL-TF4w)MgT6q2-!gh8fcMi|obd~IE|IJQh*xUg0k%nZ`DskYzE2K+m{kpI4 zb!SIn=Ww%m7g09I21ZBmk#*A6!TZ}n9QL$1K+#JT__fRN?8GSK+Ndp(OMCTRNqZIc z{S9jka~kB6Eh0y`A#*luw?#77TPABYuP;O9XZ;jZ)+p4aJl4X!{=eY2OBO^Ar)sE@S@Z76I$U7b{>8D#4X@*a+k}&`Ov?O9ymoz z5`LKg3sKv-W~mv-nU%9TA7JIiQ?2YqnK7NE%tf( z)xm^0#W&vPqMMl>7V(yRwEX0u*KTyQ7DiK?Nh)$XSF}!pst>5GJGsG#_l3BTd4%+{ zFUlo$Mq9RVFCfn!nq9xsrr8{=pmEW!7UV{&g%C9>h!WtBmHl1*p0}Tk}8I^ zY2HLqI41(=HCJ<~iRd+dQs?ck^Zw=j4A0Y`enM;&Q0M(EAo335c#*I^OQ+rNHC?ZS zPN4DnGT=6?VsaN#M4_@?`L1&r4@52{IJA0A{SigjW2|L=SeuJW(_Q&7+skWQSbw7F zF2tjouHOhn$J#DLpi_L(c2U|+q~`N-gZXR6(7Jds;}orB>*6ha>dQop+Fk8}$aJ$R z5}sw2hDGBUy@#D`t#kC1WiGBD3cFoHnmdfz!09s_UKe$r|M*U+Q@fphkHkd!f!>U#1vDVI`StrzZ`v7gc-*`wWqw(G&pw4Y45V`Hf(dL^^18)XLiB)9Q z401Qv>r}g#ORRLY`L>m=L6R?BYkrtb*Q%o^x~y2b5@ufE{Bx4>C9}p^bdaOe@wj8q zf%HQCLnHg=fhMO4#rD;GhO*mh?P8(#?vw2H-;ZKagSzf#3#hSe1_Il;I8x`CI&Ioc z8`24MosR&t>-^z^`|3PFzUy2@2_zRc0a~Hftb1LKnN!SCL=}MG_B-sa}{s?la-Umj&;Wzsrrp2mGps2+6>k2F$FX zUczOMPGFhnJHJul%Q-0RXJ4uwmvm0`knQ|J9JqzF3s0_2I#H4yYpI)YnWuW1Np^_u*cUZ0=jw-RnQ*n}Ob2g?~+o#TeTjgE%Z6pG|=Bb>u1Tw3N;Y-pxE z3adiF4Tp|A^YK z-KHFyj|QP!2_!^Yfmvei%B%A!%XdXyohD^AdV}Xmi{!cR?rKxXkLTEA8=D zL8lRi+EVy95;Aw0BizZDBj&E1VYB+pygFO*D5HS#N#xGvW;wf|puu1_cM0K2gsLU7 zK9%Ivt0^KE)gR80eP3&^&Y?JrHC$Bx$jYaJK!onl>%Cd+^cQDaSwwPXLl&xgd-l(K1gmO6zwc)M6RxfZ%0|`7sN3#W;LuBMl-?%i4K075=LVO z2LSJvn$!LScF7>5z6s)@EXeg*vytc+N>^d+dMI7JX+G421N0;2Zr)j1%jA%0R`1%I zSA$ZBT$P1AiM+a3d>5jpNqq^7QMYAsAvqbaJVXu)&~FEl(vS5b@fAF?qF_vam0EuK zzFiqsiOEtKgStn|-DRbXv?7EtjG5+cBWNUcwwSZm@?vOcgV0?^+B1suC=O9FPc7?U zo?7oeN3L$KlB-)rI=gXhEamc+MS$B|uK(ovma&K(HSm^8WosADMYvmW8N$fr0*FDv zO%mW~45~)~c<xN3)CZur% z=LV8X_^vMc*;o22)k_k!-xUw_8KLKlkoqb9{=?OiIHsQ*#qT}KnQg7A7;jBXh>o_i zW~mlXq|eP@XA>;tc7&~(I#*IwsOxdiLzW1w4;jxUv}q_m`6v2}#B)YM9na@nqA`{< z(I}9_V^)P#7?-K#+k(_NQWSa)+-&vD8ut3%8hY(khklPn9>QtX@%VEJ{R7SI@4YMi z1BM%!=X%_X$Q}`LgAczd6bkjYnLB#iutzYjh0VInJELaJI_8;ae23A%8L}eN$=Y&p zu&5FUk_B^S6a92KR6AY}`LayQ@U6XezoT_%gsXH)fW)1y@6 zy>(bhhhQ@S@~Vkn#`-5kwk`9s*6iXXyM${~)3atr#uZ=lVe^_10ve4qJJzu7TWfYi zGtLAHI)RwMfzCDU~vfu%%|FFt~ptph8n;w#*l z74DWeS{N@v?lzuX!S30;o)17Pu;weEWQW*UTm~+hW_@HO>m8@ZsPu?4dzlq{cXwQ#AM@SooAjL3U?LEUNU?Y*`(s9v|#N+04{ z(QR>Cjbx7%rVI5-pX!w^6yBML^zTfXHGhzYRKvq6ht~X{Cp^^aM$af~R5b#7Ra!@C z-5Vm8`0g_Lkk|0YViA?aA}WhTbe0)qU^deOOO3EWeWH}TW~5iFuIiby4LNuX|%(iB=7QiftT5EP8E&m$V^kJ=`>6fyOP-x8zVj-bq*YIfUeWLA0*YaA1$yM;> zzol#0YzB?F?bKsfidyW19b!IQ2ToPVhK7}`Dcibc7uPQ9)4bQhJP4E%NNs!=GW#Ua zy=1t=slF=WIHj8Pe=Q-1FRj!CZNe*dAI^je0R^(dYm zyX^w&0<&^wYjjt3xwQHW;!EBe$f?h%-g*Q5RCwRO`?^z2`^nfHgke_O`vcksl2)_Z zK!s9uUL7asokoF%&ak-OX@gjW)}0b_y`bvZ5^y8IubquzN==Z57~ z%l-S(yVozTlAOe-w19$49Z5Z#g02DA@+#>*O**UDg{*2^>KL+uaYHP9FE2R>otPg! z1X7pg)neST6D`#QlCS`(`_avIi@Y6 z(=;s89piH1EOe=7hh?hSO`gJeFi-Nji!X~^s*TigCn)RL)^=IX+~=TA zPLD#OGD+0ONLgA4I79+AFqNep>cX344-xizrPA98d)=Y_HXfmKY!Ip+kN`DBY7Lq{ z)j~Yzz?2}^FrFc3OilIAZ`9l4`yPY3Ddk!~0#l5gPq6!L%I&*&hW#(2Ahs(`g3sYeraa(jvE^2mQ`@4pezQ z_yC(v=KfU+P~B*Kp!X}i^Ekb&Y7h2`=8@i}G3n8ra;%Z%)vO|;na(9O*RMBAs> zH%%M8lxa7XamEt0iA%*KCvC=Ja*o`9>gewa?shquVDIIt7P9UqVtv@Hq=Z<&`(jM4 zaX24uMrPZ~>sBTPN_?DMz-69|OCQK{JiZ8A`c^H7WmYYm*=xkCnZ%p=qO)UzIn}Jo zCY-)$W2nV#)L4j}>Z%*y)-%qjF~IK9{&OOt`lmK_AimzyzL0K7?e&A)j%;aRpufF9(X6q6xhZ zm#uLovFdW0Y(k#aVU@W0vC)T3jH8V{d=E!;F;sOH0KMrloMH71{e2PNZ;&NI{aqw<~ul*1{3kQ@pxuj2%!lqdG(Yy z%Uy%o^^MPlWWOpNw1s(il!CX7Z*iyZXzRFmTW#h+G6j{gMx_FGL!L2Df4gMXE@35@$fO=Jc+mO*CIRj?na|ayko{4SmSyqfB z$Ffd@#3~y3S+jfMjol+38P3o&%ujsi=&nWD9k+1->~xrDU~AjYrDzG3DawxRgHwxJ zv3Wxz5qpHQRs9TRc;4;WMAK32beQXF*E8|4VR!JyZV)!Qwb=S6%&xejXc**={Rvkx;21W!M^d=Cz!0MUb z&B>}wG6ieO;g>V!?jzEp-F{2$5;tI}9pYAcBa(SO%sro7z`;_$zWjx~NNr(T;|$k( z(NjXbCEu+&-+MLwX&e8oIFxlSPO0XWeNvKPcuwzo&`UM#eBHm$hxb;q4{|GEr> z=wJ8$<@5b(5i>bSv<_X}zZOFe{WejX;Dlmq=s|pIvSIhB2?IS<2%L&nm62anMNyx7 zteerH4(MiayPHMsESs8D?;S3214AoE4s638oXJj_Beiob;U3+#3#2(=>%f|4{H9D# zRV1D%itB!X0wO5g*u86C_vjnz9@Ww}7LtCq+?%$ma?(rRxK>Ofmr@AQ*8gkY7?JMM zxInr~V>kEi@MnEzQ0^O-I8>aaq2DE)BQBlez_yFiHx8y&cHe;g_y6h}uUFcAW2?CI zjhwiZ-mv6t_l?%E{Ns|*;+;n8KZPS$y_~l@M|AK1);GYPz5)KyHNanN>qsK*RrS1M zolC#?%q&qK$U28`fZBV;2po^OTX&8#K7$wT9z%$$*vM@pWqUqneX{M3{ca-!Y2RehI? zc}sfHk)Nfu09U+^-iosT;%ubY`z4auM0wff!9+GWTiq@tc+e1j6F?%9h)0lgZtI_? z?z|&C+Ni$DFGt$WZZ`cD17!YvO7~4eWl_b+S!9U)CuAeh^3_&k<|EZsa^@rRtk#*2 zECMU*k!i4QJkn6U#2SaEA?m$D)>4?A&>suYnk9a-#BU}(6?vq_uw2QZM{0$4B5T}& zBB*4G)iq-5bSHPz&l%<336dVINy_P8i25%!lA>7#KH#7#mM^$Y+?H&Uy6*H5PPaee zmq&xWyqtN8SmnH^%i5nN%U4PB0X)}Zw zMe07{!Kh#@MqW)#!V@VofNFt~xbtvD5F>Xp_j0%;w{TV%C>CrZ!PL~gr0~!l4b{WJ z6h<6_sRpYJqmS7H<^(NQE7h8nYS;<34_T=o?V4)hc%Q-m50LP55+P=_%v2?mV?}2w z53a7I%j+35J@sEg>B$ycAMRM(HyZ4?uj3NeSyqVqN0ci`+(-A)&iswc!S?%iWu9aC ztd+}3Sj*MS*FiS7+>={gJG5?E1hE^`RyU|auhg47x341G;R#?{eK~Uc*rs$X=gnn# zjo_MFUY%QB!{)jFv=LT=dFrk2OBxoKHnmZMEi#|Hph0ZezZ7$ho93A2h&q-ye(l)q z2)Z)PmR6Z%RuKjDaOIYLNRf!|g1dbg0+?S>#)|7YA!=d9`6hgUjo3!R1r?sEQj5i~*Oiye0>0K-s}obU7*u zmRDn)fHLXuF?bqd2Ql!b%h{;LsYb8R!f8eIsJ2{Q9YYg4xy`h5`{VZ>fC%TH}sdz%?Q^wsvArnDIGVlzKJ_i^B=ZV%#v(GHSq#m+2CQk-X zTzaY1#Wj$~X2i;;fTvata1r^D7lJv{ZDdGjdUnR*Z<0|_{mmS*G`Gf?cDYv$s)Io% ztH$%|B|;C!`hS0e5o0xziC?`TfzP?QXGf)6iCOA9 zj1>Q@B8D8YKNcVW@OJ^YpdKJP$37XSTnd?0Dop^_T#pv@d4#AcO~g?7o7s@N>~*|P zNxJH6sECKLpjsim8U$L*TJH?byvV2%qUMu_(eF0QI{2hSR?n=%-mdx{-(t8IODc3< zGhWP^EDv&loB6FR%gK;#!lWX47n%H-|MIBoxCju{N^Lk5FIp;y8_g7{X}CzAXumoh zPq<&z;ix0|Y01MbQB;2(`&jh6J2Nfnmp6dYkQzEt)GwiJvyHHu2m`O)5-|_@Hr&ls zB=sGUZS5d!&XH|3#lYSs0mSy|AF!;)`e1ujj(uL{$kCQ1a`l{i)`f~R1Lb?}WCH#$ za!X`e(PkVNXC*`4ZCpqi-YwL70~)B*$$U1lt-;>Xz(HIo_*+55`(0-~*c+&bm(9h( zPepUa8AaN)r6N{#D)*ph(3`C`Yx=~Py!D!rL9F1Z>vjL29#m?z_KCjUS4b;d=^#-5p6I&6zRwYe@L{K!uPln>&;rDUezlmL z6Mr*)lv1rONs`(7(C+QqG)C)CLM7xI#MR_`K>#7&Zv_yJX+#LkO5ESej{8>*DvIZ4 z>`3jpo+sF0JxHn>Rolv?J6uHOzGI}WFI=bVO1U7>r#;EwYD8G?I*zGSjy73pJ>TuACk>>ho+kj9dU|oPYB|65)U!xRRQHgr zY;k^5X-_??aAoTGG2t@xEEPXf&xQCk4*xOrTq`kT>iLlXGWC340FhzscL&!snN=@x z$!!&d&^MOFKYd+Og**>e3!TF zBcH%{D-rj;%G{SoY8xjT+{r$5EK!o#&|GzvL@CY8Rdb}wpgI-D`<-k!8km#E&Mm(3 zAkTeupP38PdY=r9dF=7%`a4lXR;PaZW4i`LL^P&v5r-$8gw zu35cCu!!iIHB|53z4iavD|EP6zQX+eG+%`di9)Vx@Q2E-h6ojlgaUy}rTP^Ke*J;T z3=j~$lQ>?v*ASe~WT2OyYU6vk@@ae@xQQ-4Q;>BPvW<~Mkp1}T0J%GGD}GVtrvr?~W{D1C+* zzq?euuRmyyU%jC{yH%fdM^qY@L3hPNT;+b9a=@d7tfg?abyyXvtx>oesdmRHm3!d#57VFbetTc4A2GY9#i z%}k3O>g#nhpO`Q|Nds{HoFD-6W4U%mloyx$o_#>xa0E}^A$@}9egr`v;GKfp92g63 z5xy62(-jZ|C62Dcn3e;3{;X;#%%637{%p7|4L?lCY+7sZjIO@_RXI=?>L(qda;52s zkx|w41G|?@4%pM>p}3lH!Y2S+JZ=>w6=x!4;EL{FrWM%E8{igsh^mxTTPu0fO-5uX z^1D&iH?U;; ze)B51LK|WzAZ)V~b>~(xoJ~eJY*f03)IEpn;pon8zqQ{PHa4_krJ|!HuU-LD-qYp4 ziAXl#~1YoDH&(iF9KG%eimUb&W_}5XbLF*w-id!r~6L6#|U z31hXL@Q4-enm43QA#ps@>rwX?GLXDma!KB-CG_S(D0xof8b>Qu4TBh3d$X~$r|k4> z(&-zAltB!gsl*TOk6+8xyk>`)LVT`?eG_00E|z)H!>PyjaQ$7Fs`RKTQY8CYP^7kU zs($tCbEqBXO9`qN+$74L@7p!k70Zz+JRUFDQQm#bj`HgMC{r~X$Q#&Sv!kplM0sL= zlxbr+-tN#*;7RD>K4~ct%Y6MwBe^B{tTON=Gw3~G_i!z67u?^MiwpOic1x`oIc$Q3#>1zdTgQtQXW3%GWAyUE6p`B@Ir1N0P%~!WHsmRJ7jKEQ>P6Tkzi|GQ2NY9g}AJekuO7YJQ>lutDg`qy__K`&XieU%*gcI zUm*LPOyswFyUnUAofk<}6Y)AnDw*Gn90gd}L?e25eHhK>6>;_X>&(gVb0DPRb>V1h zL<|dF;>Ivx3oKg#lHCuB$^|}qvLPerdb6$L)x*J@&g=-@5vSDl#oVY%KFq~kVID^~3a zYSk(>d;3`x`wKD!VkDqnkoq+{RU7#!Za)m_77jDkux479CZ_aBL zW4dD1r`Z;7_EBk2)nG(3AFe#|+gNOg-=t<|u;yy<7+xvYA&{L?i%!>{eJVDv?*^12 z#%5BM_6WwjbcLk6l4!~(GFqE`rW4F`*ds?=Kd0~Y0zKm@sb!_-^w!*PvNG&GS+B*H z6Pm;lZ(eI9XD7*1eZ+McdA2*0AB<2zb{zjZvbMBGqNcO8M+tNh_TH6ohowojghC1p zHJGaCzI*31U7KgQ=T(YPS;SzsVp#l z8o1o41*5<^5AOc>^oPtM;KG#p)y*84n7?*(D7*q;HRx>B#VIG=_h@Vh#)D~XVTcs1InlVfSqj*8qZE+Ypw-6y z>>nAT^4XZ)KYZi6R4VpcU63G$TwKQGBHi_lJ{*h?EDvfd;b3bd*cDIT>|+*l)A9#)!<* z?>j}W&zj2_uN^J9(5dx>hD|K){Z$^-I)fXzNH&7(-AFT7a3yc)h?rj(tg90+TfnNS zR2?D)g>~9gaUmz!U8i<)4^~m>KC8X1N^o$^HFia}brvnH(Hxz*I;8j@TttGK&}fo%jss0Cj6jSkMb&3fjp_^?%CCCK+W)HRLitR^O;|EyC$i-}DAQiJxP0r^+41MIm+4I)Kh0 zbYF4hKE6{xC(0#z(lD_KM8m969 z^{bz)g)(YCr8^zzxZ!LwcU@kg?H11jJ-+WlCiK77ri*jc91^RKfJ=0W`lwBPC^0!| zy2PA*x5RkY`3k+N2v{h!S(QkgagR9b3K2%gmL+ATI&svIbPwwOg0B7^n>s^kQcq#? z3E^EgeblM`bv@kWU)SGf&RMEHBix+3j2CI>fMODNtro4Xqcv0ubiOQ926{<0IDzn9Pynd=dWkIu`c^}efOcz0mg`Op1%Wy=BrnU~1`_%3 zuE3VvvK;&=<8Lu$?X2h;yX8|!GmnsVW9*hu zI{x}&a#$f%gfIf{#nDIgyb7DGef3BKiK+a%)}~{r3U4@GfhE`EI91$-3#JGgm}hjC zazuWcUd9l#r>`TgR=Jc7fFdV@WR!da{sx#wXv{32Z-$t%&6A){I*om{ie}nc5toWt z$luKZ`9by$_dtr;Ail5hd3a^KEZkGnL2yz+BeBwc%l9kG_k>m6?{V~cJfXL|TZ|-H zJ<9USy401us9qzT_4z^?lFv8MLNQmPfaB%pMR67=%2o#ig|8RI?B0w6H534a(?a3b zC^)&dvh!K3-Ys9ZqJ1nMbL^I{Q6v>yUGAIQr4rRWts6=vzMKBGt#BHE%S68Sx4_m| zS_wp-zK5ePYp3^Of7F&-UA}r!{)JuYu3m&&do$jwAsK`-EEJ11iahMHSSZ|+rFO~H zljMu!v#0udu`KG%I|7JGjgm^8V&R#j@o?|GlZi+}-N+Usj2ry@xQtCTPw|XQ7|jsz zqmXHhNTnwkD$edlsKh{?H%~$O+)&fb6)93qcz+MGOEi~wiuG%N6h3V}=J8A>_D@5d zrxU+#s5f zdmXtS=WY4wDfv0#h`Ht~Nr&+2Ppq0a{Fm7=uU#nvvWTvF3^vFvbmeneM6W#_M*no} z8KymhERR=`nNHI50gA(ET}l>Nf}YPJ@H}sA(wWeCtnvcV1v#T6RNHou`*lsjK z8&_}-ix0^e_+Mr=A~fNG(TOlMtz?`e_+zNFS0I?Kjftw-Z0?G9NmYf*^B%r_!eA5~ z-1?SXB`&7!pV>InaPjxv0eQ@mHH$OGDp*G#RQuUoC_;UW8!j00e<|faU#paV;vQE@ zhh5zyrTkpZYdo{Ct2$lIi=bpEj6@FW_-Zpkk@iw6G6-M9<&y7cwfERn0SLQPbEs!z z+y?W;>N*b)Uo?Qj8PlQO<*`V|4gR~i%--u|%>Cl9mXrHog3zt1fI6T=1nYjAfVj|3 zO;V|}W*)4!aqde3t37Paz*~T8?&QQ2>vZ^L&Es_LRhdL?};mSm9 z)!_6Z&hv3~1?km}s|9T&p!}pusEY`TB-Iogv5avwuhU#P*qa(n028b=8`)j;Egm9= zrMXDiI9Se_@~<1ZG+X+iQ@vjMzq*gQ>3(4>eKb=ZlBgGtz|>1MM1B1`8fww9BVz5y z7)@g*X%Iuz4KsuBhVoa+Ic7Hc8frW02rnz}r5b8Io{bHdr)#K1XO3Q}7%z35*os0k zMyEQB3RWi?$~duF*&AxdG;+ZEBMk=|3R>GAu!WNiqZ|c+F7?ZxoJjvDd#*iwJ>8@q z4Ga*VLH1CGhJ4_#^!|b?v=ql+oEa|pCY%8mWb1{{# zM;vxv)HO4-1b$=5icyI`V<{$J9O^uY&Qe7<@ZU(F)zLTRbx7lyhEhE;2O5k0J3)7z zfyHG1pP1M*!{L7kQho}mxM?SRgc4O}RVAXU!bmA_!Sb4wYVx`BX+pznUN(rw;m2aLm>+NPSk9>DRSywcCQ2GVX6Tqdt`cuq(*MC&nhCajRR50}-SEj4nT zZIspjNFa)#p-n|JP}_<29+UjnBtJ(d>Vt`AT}o9)d1J8!Z8yprvt1`7jAP^Si1$ev zSa{Li3qs-hW{+bYCDhF^nVcfebpwzVs+bPI+FFT|RG0H>300i3P^Qw8*J_&yO^wX15Nh5LQVJouAL5>|y0yBdty(Mh z52)0^?lgS+Kj6SH{iVBG7^aEFDOFOV%~9%#IPlvK*lIBz{`MN|FfbV}MgPv_G8s$l zdNO{%L2j3vOcn~zD3kF{fn*Batb=4$&Jd^?AO^s!dz%l z;Sw3$RRR4@Erqrkr#zHN^ldNUW83ZRd~Bm#>@{XLjP&OSZIP&hr&rz#zg<`Y_l<_S zAzMGBE>JZ7V!^&r~`4(aenLi?@<3vk8_|JCvh z)WNIua~?_&lH+lks)9{iq>2)vCZkS=`g{t0#ebeO1r zktj76(1W7S*|`2S+;gexCGvMV@=8ESe-}R0z)w;=%&-2)p4(`GXG67$5kf0WXIoI+ z2^?2Je$~EeX=?zIHKhhgA)^uSJwF*#c0uZNooO)4en9`iHF|w?iW7vluRdW4H?X{@ ze}Tq-K5gEiwiD*^q_F1%qN&JQ;i>_;u)kjg_@FLKts!foV*S68u{qu0 zIVU+?gaT$@v@cG$HCFrVsPW&?jU8#kylYIKO!@6bW3B(%Zt8cykZI6ZCiRMeQ|iT> zz%F;snAj>e%`ezg0{?2IpAoVoz$+=bD4autyQc` zJ0_?R-*>06H>)$KPo~kRmj=olnZgT3^_v5bP0xsbf?6r?F9deT^vnV|@2Sh`59_Si zcsm+r%V@hzFn3H))nve_z)7gb!8}1t7PxWt1Qi0%@3VU5u4e*Jk()7_SqU7+t^{fT z0>$Ayqsw=_D!`+5bn0pUh z3GowevgoCT((1&R247QcM>r8^d%(sHDr8lYtm;iN>6*$4Mx~l+J?Cu{JHJiG5>nv} zO=a9CSHoQnRiyI1 zZXu;ktd?VGMEE?dRV>j>vVOlQkSx}(>L6La8wHYgno9s!yf)#anu^^+I>$9|UzTW+ zwFn4P0#4Ou3cOmMj)9EG#0(W3;0q?QdPzOpz2R_oi`3@Hj8htV;!ZlFX;x3`r5|L; zX`1btOnWkzVErQBHHjSRH4ZgUVXr-eonoxEf}qLIr=r6!!>G zm3=}VVPDpe!0tIQrGiqQff>}GsP@mp*7W*PqqV+2Okx1)rLHDbLM@jiA|jxDJ(IU@ zS$#h{33TSl?Z!CXK8$Pd^5^5PIMHW4)7xk|o*sGlBB4i2Z*4+-5R#QmXp;AUG)=M{ z0Gi~_I7zjZUu$?ic9+m3hoc#q>wijeCsgFhZtBI?f6`XOd zmn1vJV5uHzfBge+G-P9&)TQnnFGKX*f9WAQuY#c`y46n+K$xV11rU;BfB=m$J{)W;j#~JF>^0K4L+A>t7?hNX#gUn6 z%0##h=qBXTQW{D$NRgx>J+y;Y*Gdb8-79R3>meP+Wk`pnsZtL}hbAE%To`nMY~W|+ z&T9X+hk1+hpU8)I?TFPxk&TU3_&0M$uQoCkRJEYn5m+yC^^TaeDTyolW0x(7g(rZO z%=>8s0-~f)RRh{N(J^ZsDu9O`j(UHJy;ESm`wq7IrfjZCn{q>`n*6JiHT6$&gXKkA zwc$ooxGAq-a=YqF;%KN1y*V{Jf6~Nqgv0%w8YCrJ35|e*YrGx7_E2M4sND#G4#Q4O zc^xW28hO0EU3R*i{ISuA(^{Y4^esEJdYL3EN6ILq8YR5IVw&*;>*!87pK-9HJ}HSa zTcr!S%Nn#iUer8tXSwcthd&F95imB+PBlgg=E-CmdbxZDsuT2}pzH!7Jt(~S5iTRh zH3r<<1h?$Ui6Avm9;uNa~GS%3h37Q6!D2RpTt|(z9f^Cow-7 zXM;1P{vv*4sk`M<7V|0NwJ~d+)wb7G2r)lRZs1mp*Tj6HqSk*mV**|Y`5HL}{)Nx2 zuBGUT9b@_CDRmDKLiXK(E7ck=anOEv$I5fu>onjK`tu1}@_IQJ_6~IaeTSCRZ=sd0 zA<~y`OM4s3q^&g$^ssz-UD{^p@XV+R3)m^T&KlR5`NO^^EEwmEm{8;^w5yoWW$+VOUPm@a}6kEk2@PLgUwuS~w<#94@^49U=tA@{Vexe~7wzxCc z)wQ@Y*w(eUGZPrVnmaRr0bD(ysaUTkv}5TVWKUGfe$)_!@MJdBuss`w$^vty zJ=IX`E+7o1R4!?>Ib6&3-=|~QG8%@;%Yjo30FhkU0jthSrPZ=anLMrGK+}R`14qmA z4)VIge-Zs`aJHO211U#XIj|Wz8rdlte2saX<5}jl2*&e2K}~2GYheRN;h*U6DZG*5 z;<`-b`sF0+^_-OO%t+*e!}KCTIUROXLrEhmca>@=M@< zppytAquGUeWInz@FdyHI!->=D@d-*qHhWQuI9ZioTO(`nB@9-zWsta{cyVVN?#5bT3J|RxC9&mFnLG{@H@#AVWhfvT*PfBggg6Y~`Zi|7ZUsBFA+Q zJI-8n*M)S@iO2L0LKeD%wk|}E&pB2Poh;26d`xq!9uoP9pO2$af3?Y(JVFmS(kD;; zAA6{a{gxiuBipX@P=TPdAA?fA!S)NbU%*t*;#%ziZV{AA8v4RS>4b(d#(?VDfgusMirdhrEC|=gxvq-xzHImiJOgX zfGT(wz~znmLoLqw``6v-_937x&*8zUiXDSCWfA666lrb3>R zd9(?GtL#_UuAJfW?X1Cbj^#mxF?&Rt_9Rf@W;!GLs$wr9ojZVPhZE}8L``ViFT4|3 zYK1RXdhWlsn~Wjaj?pHk`z&%InaXmToj*9EV|!?|loJElqJ5QB-w8V5qhYWGL3`al zmk`(BBtP1IBv75E14P!PimxYq!24l&@miICk+Bouw(5dm8*-{cw7#-teyE1VM}jpQ ztL4#l=y_^A9eH=`AZSoDvW2SmRYf=U7ENnt4|*RGe%f=a9Ep?}ZV$KQMoJKoWC4>YnWX>lxpo!osl4>Al7l0bc9tZ8A zo^Iesjr#&_go9LcR}=~Wrd&X|p0(7qRRrrgYIrymru$GbT9tn`-&!ZD66uOBpV7(6 zD}v~_6w2WP0<Q*|TLJ!Kif@F68JSD!wuz?mYV8y3uE-S%hm{Uw@VTg^$t3LNAVwC8-R3QOBU2 zLQuJ(ZtOuDHPjhomv8LLPc-I2sqvZ+xo3S7{$@AoC8DC^aDkzo|(@bF)1H6 z)LenTgrC8&&NMp28~Y58mCG>ZAp6ixHZnRE7>zO+VmBm_3QSC0`_EMwtAf0Y|T$l zMiKYRl#!T$ByOX#0kRcp%7uWuR;+XiQC(`rD7jfIVdFfZoHe#Zgrhc$(@X2;IQo)$ z45vk{sqX7bBui;;mQP7x2|qq%WqCtqS!h=S$x_LC4c5~use8j*eN|j`3H_#?B0x9v z5dnOnvJgRq<#u%v(F_^8@@jx{yZNN3ownJ8-YR9D#xWJ)pey-s>Xc<%*m`-k^)6Sz z!Io@^`v?6YaSn;&lBn>t+lb1QsBM|3-AjoQ%HuUu1hnKy)a#k3cOeBB)KxGylv0FY$+S93$Q-vh7?4adS!vA3hZR}fzMT~aS-5EP*41Ovx)ZJ?{#retGMIr zk!(JP`nDkx@k*&Vi>1_SfdPdQ3TiX2 zK6v_PkySOP*jIAG?PxP_icyW=t3S+Fsl}p=HW-9oFSIwjHVXHg7%+Wi9&bFZC(qVy zCifmgeR}`_mK^FgK$4t{J+sSj2a_rwsd8CIY<9J+C)HV!N@9mgtVqeQ%KWA$wx6_7 zVx1COLTsU3E$)f^2bkTrw>rXcUDxsv0tj3>ciQWAVyFb;Q_%qg=n(!4dJ~#_f?{yK)O^aOMcEIfBa< zoCvO($u+U5F}Mh*eT7b$#?DqND`+|&jOD{I*S0V)(Ls?YTQ$pB77}Ne}mvNyn-pI4PY$OfTSlH2*6yj07 z*hfYYGXU4gj$ksX146js56RH92YF}EX4msJI6nt7$MfrFvWu}GwCP8jtwF?MWNol_ z;AHWw`pA<^3F${|izvbwd^c!hyKVCS3fp}CTfaQ!W+YOBIA-p6QreB)+4f*eNMIqP zGHPf4e6A)C;xXRb@KQSPa<^aKpGSN1Mmr~wclNP)MI9}YVfX)g-jktGJ15+@b%C9| z8u>sJAznqQgjpQZMVRG09chSCj4PxA8ma^yA2%n3t%4r6x6}7idaAjYq8_#@pH-Ap zk=igau+p1>V*<8Fa7vAtsE6KLB0@4C9wA2AAIAg`t!lDKyv_A{z~1~n)vNZH zdIje<6k6-3`?{l5eC7BmuAz#n?dlHjL2C6>>pxX-=>*mxpT--FGSdf8)qY$-!9$t& za<>*h>v|aSGR8J`{D#7QgI*}^&e$M4ef*nXQ*$mx7U8*fQF^UO-j_=`k zdE+;rC(1_@x}ibaL?&q5eIqky503AN5v8tgp?AcJLCcFA0+~@B>c0c~8dtz#6KgfUUIY*X zmgmIFZED5?BG^_Smq*l|Y1}ZRrfJk7+2v~MazqEW+vJ{J*(+}}e z@7Tl`_0}h(ZAhJ=(7WC2jKh)n zqK=H~!S^Xp2kaSkc+tGlFeS#V>`arh@+|e4ELy0_l679}2Fr1H`Mz}e3)9(WyMcH? zojj4_^}bn{KN;N~>N${A#mgJ!sAmM~EN>{UmGU01_VwLfUFkYWY~uZtC{%|up!zA! zwQOC+JE?MgWpE!x3zDd>4e~}SvfeC#Zi%8C*0(PfzHe$YI#sRsIm)~zgrnoK!?6kB zSRjVJQ$}Khiz#8={q%WJ`ig|ejQ|PLi~Obs{G4$bf;}(YrxNu=&BQKsneL(2-qt-- zet{^j6~Xfy4NU{GX-Hl_9AK(1!vq50SAcZo4>+!ABH!kAcGS4~Pc`#`QzOxd(O^xN zI!$A)wlIGwc{S!W8WPMaH6)l{(2%^&cnV10QQiSS3D4rRq9UDJB)LYsY^)5wi48?+ z3=lJV)Dg`c{P}az+ai8p>0S`g}R<<=E~~88>DwMs>dx#q835BRAbr)^3P7d*hT{}|f9vUT z{zSk0xiI`w>P8%&*j`Vns{uLu0C%bX*7$uNX|82laLLu|EDP5&I2~x1x^5(Mpi}+x zJT62GW$RNLd|?QZ=7Rb#{;H8I5F|4|aw^4GCfo5S1*$fwgDE z4KBi}d;w3yumLu;A4KkF?+)1TF@qsUe&lsJiqrg$Jc~)Fx|HXDK>79sb`P zapv-!0;lnvM2})NCsQX=i5VSO=f%DQ%4j>)86S!)Z=0Cab3W8tSBfI z+(+cDN8^4`nDXIVI||#E~=sx|U-TYN=nA>al7G z;~SkTJQCz0xgww*#V_s-T*St1Ct1N~@}TYF_w+Lf;bSfVRhty6IUbrnT_pSi=hYdO zZt0q!S#l3ABVjm?0v?%p{u=-;EAFE{p2*v*ErVGplOVFE-0=f5IrZ9~XD2EIMG3ydl6)eL*zqWKHuFZm^q$kgscaYe)!}0OnA(RgVvSi-vnRRt407AJG`tQj^K- z$-Ae%uyD+{s!PM;%^yUT;GX(Rr}p@1DNyjOHdVh-l)7bIz(scaR$fwGO<4Tvr-5h|+# z)Jx)Y0bUkEqfvoOxy70a#6X*mqq*KWL%2}WwWM&boULm_gG4YH3#hAUWRR8KADkM*`?t{6(M`$+iGci32#=MC_sh^jF`^*o?>M zuB7fy&sAOO!_#{j{S`?w#Vxi#DQ*#9rnox+b#XTfpo^O!kQ5gKpty%{v}x4A6qi(U z*c8=s9gMjXQ!h{P47Peff2&fC8k~B8*wWv`YUB zh(g`E(14*{^4V-O#%22t}s?Pm5S|^K} z>hzTP_Qitr4`7vdbaQw|_Y=WA7u<6M_bp`F&=1Dentog78N7slp9_m+fZ#ouxVTz> zRtpnUKbs}&OV*NhQ@Bga!pdEh1nnefmwv|l4$v}Fw)DRP(L;N1Sb_}o^Am&{zuZuF z0<=PUqLE+=uk*GbnpC-EF<+XWE&6p8dSfsi1S2Lp_3}uMt9Qxa#i`A8wPEmt0vY=Y z{(gvc+00#D#EP+KYj=8iF~C9rN+4~QLp=}08Hs7B)<0ZNNtfDC)H7^H>c+}D-~(ss z#yWIkTMhNpV21peUFz4pX|sv4-qtl+X?Nmqab>9MC2gM$_2?&u(#3tuFV#pE+TMLG zI9%wDx-eVUP{Pe00J^}c2IAxW^BKIp5|I~&9|KcHMMpTU&ZkZ4QzB$vE8*J()ZP3t z`A>5s)K-aLJG+%sTA5G*=aypiR$SJjvFvg3Qny&w+sEh#Qq3;)C7EdEzB9!0H_P)m z9zO6OX$Qg;%kt%uspWrT=lr7`J1YXlwsK<{_&2&3V$HLDV}WYsg${{4nr z*}PC}Q7-#8QIWb6?i$FE#r!mhIAIwkQSj)7YbzN0o^H(YGRkh zb0Z!){#hKoMV@9g>U3$;IF?ym=iMW$&sXh%#(RQmuBx?d{Fkc5Ht3b6t z?A5>Il9gv$umUO&qwsZZ{iwSVUG9zD1*k}yk$*>PcICcE#Xq@;#J(w722$#cF5STG z42oh}Vtt5{sn;^IkcxghLTcUT!nIQCH^d_%rp*9xHHT`{$bR-~ytHOBj@GFW@#s$! z1-0u=k$X8=Qi^e?+ctHl)mx*Oh4kFEVLj#jrU!9IBR-)A@mRcFW7S3EqL@*VO5IIv zJR|T>W)+S;BmUj3%lx#HGGUl83x80*VcATC>trGdz1ez-MQ<|wo+d`m!>6c&dJvyA z0e5m+b>r#0M~pY;q8W%e_DebzQB*l7JPdB0Ns1A;Y$1*p=R?<^qKnHH-dT@bJ=c|G zbl~jsR(vGH?;PTVfjcQS5)Cp03~C==LF4~oJe=($g9m@nJ1fyYm&M;UML z@JffmLaDm^66B+I9YSf0H6xOG zy|riS^kafvl!pE@7sZ zrk)B!Q-e5~tnL~lO}*a#4YH}PcFXAPN7Ew67D=mi%G>!^GBTOXN7sBKn&u{};WUHK zzLqw}7)_ZrW2Qpd{75Jn8KbaTD{Th)&dT|?ZC@;?+q|BVp{VZ|E^T(-p}%h4`MqEF zToz1ea72y*n{DcHD;;L42k6$GNIX2JWf0%8{-4^@+Dn0~)?OoFnl3pXh}KTW;kFdL zGXr&NT{b<}+gPHQ=rYechRQt8?KxMDx&N@7?e6a5FEMxC0^BflF*A2ZR$e8M40_tU44BAgOXEZ1BbEYuRU+A zmR7jDjcrOlsQY`Ku*kBteN{PksU;-w4j1?6TP zRR}p;K;=}#jSADdA*&*^F(l{1N`0Jk6e5PLUSexj-Rv*HjZlY)%HBuG8$uPPPuR%V z%kZHq7Uu9U3g&IPezXh)X6AA}RJpn~KxjaQUx#IGGgq%Eq(sP{dpAVPfZAiPcB31V zeyyZVpbMILiO{PUQsof%>JNN_H)zRFZ8se$qw7ww+1eae7t`6&qa{wOKikf=hEpE| z;PPJGPv)Z3tNY1(3&&feM${rnfNX%gPXWZLv%`O)D9@QqZ}X@!68A+ZyQWjkAezhY zC=L}rhxwN}k@+`irQ8u@X5R_JWSbjC5>>*FY;|imbTbHd;iS|~_9@)kNeE)ksH^Px2Q#)oq}{$1OK=R|@QjD>3TNE_4#r zr49_CxV%hptrXWH6Yoc$j;k_14ZZyon$fCZ(a+WTXTA0Qk%Jb^{v5~OD)&*H>b}yo z*n32su;FGee+j&zpR7GtgKjbS(7HXFDPX-6@D3T{h@!MTZ~lb2Z9FW zOCc&#hC|BOU2t4OI;=9@ry;P$?P=%nWxNEMIKnil?AS6Mv&wkdD&ut=|6`dloKnW) z$CvSuRmR7Z@sX6VTqEu6tc!cfc>knh+A+c^qtq(naw%g(ri?5pqxASPKDEl&O&RDS zLMHn7GCqLmq1!R{*fQGxsQYRgk@VFUI2bCkzDFM^qr-PxUwv(rv4=7cu(qe)*GPNY zaYs)XdB>Jj*R+3lqh`QkrN!hR{?&f`n) z^px1B6&zNIhJ!`{T~*c%Sk4CSfA*Q z*xpz7v*tW=XfFm}&7qecVw>d|xqi*G@L)2g4xi0(Vt3sUN4LT^z=#B!&YE^Jy9NV~ zV^0uzcNF#h6Lrz7@M{IodI2LEk|V?AK&|Sl6CqH#CaKX@?aK({8(fDYMtHvK3xkBs z;1`r3y7XiIwf_>Dcfa}r3sq(x+&!3mko|5ILFNx7S!xv(DD0!2!=F&wOV+0AQfdSk z^7G{xV)Au43OP>I46pkfE{|xKoB4(W{&TnvycvzCe+Bg2h>ZradqQ1mm~ND)aQos! zS+g36rx6e1L5~EDP)`!l!+5xj;O*);LSz}3fsaNl#?i90G%BHfMx^=`*!@|eD^-QX zzX(6?djxz?gFyj*uE9$MoTtID0$v4}QvLaLpAlClNYK(DRDreGy^d`a;oEZ6(NB1i z++wXwBYn)9EHwnMqavl;xKOIU;3Tl@EZHMg=6VX_E9a=143c;L0FtOk{k^A=RPfj> zrRI~Cbn36T>PMNR4U$yLL!v%sFHAAM&tEhl{RzCu1*Bo;?=(sVsAPVI9VK;cSJ(2Zg8Z;=SL48}52e&) zK>0q-V^0*&AC;+b>Thei)9yYyg8M2$U$h6+$t0)&uNU;pfl468!$KTk++Y$jL)Wg6JFw5aY+d~-!b>NRyLaolU2>}n z*?bvLZVSO^L7>Cjbutr|`K-_Hp-Nh#I**XSHTmEH0)#-2KxMF*7=06+#mG z*_eDeY^o1YDh0~DZnDGwqik;XAph%G0}T#jM{g(@O5ofcNaj&(< z!~D)%o;cS8>mXlk^|Nehck$YESskCe5}o*d(HmL`d9jY0FYb8K=boS&o-a~)8;69} z4-q}094pnUWZQ@KA&p<`kF^SZ){h$~R3DaWsI98OCx^RYSsuo}CdX!vXqQqpfvtz5vkJj0GKDS{HY z@a?km`x3o!0c0(@T1kdC7cZvb7DQP*NPejS4C%}9Iw9mIia{fl$q1G5_D}G;@nOC; zYh5KkJAV}$g_p|D#;0v5ocy{Zzv^Qzqib+`#mYHy8rX zDrBMSN26eGCDdy2NWc%jBfwI1u{RqgoS~kOn09r)WD;B=-2bO<5!;|b$JX^f*XkBs ztI6Og@JX#I8E6_4&mrc5$#pq&DD^5lTCdxr8f&OmUZ!5tBtS%P5NL>675mPkEB2+N z(CC=0r-2SjNm}PIhcVQ&BT~03D|w4PjogBmTL(C{*;EOvCxo*~PFMf!%B+aLI9--f z4vekLn?$4{8Hsvt>{34`ei@uOd%6?`i)K5%=VjBP!naD%R!6q}min<|_NxdcqCA4t zmB0JDWgR${0BwiTJTgb^6-iV`>0ajDg-e^w6bLkW#&Qq2|z$dTZ{6tG$oruuz zd?qhH8_5_VW!%)ITD;=-ioZ{SUy&)!#;yw-Sv~Rp&RQcAQ|9IF6ZO2;7o{@qJJojf zwQ#4ZKaHjL?7DSMIh)=Px3}bqxVca4n0R52(Jy6n!6o%Gdrx_Z5ZBbd%acp(TR}lz z6w-pFn~Jl{LQyCQ|IdSZ+zJ!3>&$rTME(vzwDm)rRy$i?i=6!KV=$Je-?*Tl23%b{ z01US*F8x`_Kz(jo<%NDKajIHMDy*MtTQz*cXjoAtqXXvQ!umP3Rj2Q&FC0C(u&wy& z;^Ix`XWddnayK`IiWuDMBfHDEuRy7>O`j zB!3v?bg9J}Yng@h8@KL{w{bA#`iaKkl=XSd5NrJ$!RoE)uR5|pf1QB8Q;j`!ZMrtT z?Rnd_$8Csis)H^|*U{AfW4M=v7H`u=I zX8aYk(})&%b;mysh zE1=g)RKQ6s)XKLIsSu8*dI2bZc&D1O<&f6j+xdW{2H@#j2-sjLBjlprDXm%f?DMvQL3n+GwD-mv(ula}4io)?`Wxuk zcO5&7-WD}~X;uN>Rpd#;xPjB;c1j-L-7<(pL#vvY1*BC?%mCoYN|pFk!(fE?U#1(- zSuS8FKU%mfux=zWT<_u)3AM@&b9aaNHT3A_L+K^;A@u}V66#@o)noj0sGId~odow) zwK(ioDW-Qy{bQ5f6DK&6Y7*fvQ;?8sbw*t$&~{OV#V3zrlH_*E;`;Xo*9%R(o52tfOqo5_VHC)E>ez zE2^(^+e3ZKJa|jkW8De6$jq(o9B=+Mq--Z%TlGR#6#@rl9#>Ha=jG)Wb(#rzyC~(N zA5{H=X>?t3k=RRHUQ_h{Wq4;)8gCl0CSY_+HNz^CJ?&0Qg^| z-AvkJGJZ_PivQ2~Hk%(Gi{w*~44|rpZf+LM9f9Qd{kC@9++!-AOXa;Z`(qYUsjKdZ z;E|JSJ+_o>Nf9YbgmMmi^{H(u#Z?_d`o;KOc)W5oYnH~ktn z!YtrXM9}J>5l=NzVqzInTUC$@yaEWKQGJZMi@Y*E&B8_B217q2s+jElr@K=%JYF&j zFPnBZ(1zzJ!;#XVEq=KVZ|{vD!vm zWFr?r;!6MCL!4)xZ9gPe9KS#q<1xVcyH2**JVh)!hG$*6tp0pMb-R((HPpYvQ8$oA z=W*3y`}J>x?Noo&zbXCuuKwMkf7|u%ANB9=_3!KY*W|agK7cY3wHy$2jL+b7sOQAf zS2-yHMN~$PEU`{??fOI9@bTnX_S<5wUYE0ZX(vW!@;WL+8@aDjjmYO2udq1WM-1qc zBK7&d_{hP=Lg6}mXu(CiCv0PnO3tuUyjYJXo%N#Rl5Rn>Mq{>CbcyF)c`vHfDY)-S zHWp_2ZsXVCsYAC;y{%}!&3A38(OXcPYV;LE5b|p*@&;3lLkliTHV&<~1=y6v2&q!C zLA{;FyYrz?+d7-~Oc1Cy33Fq2lcR)}=OY#Vbrx-d52bHxs@N?NT1U`7H@Pk!Vh+Gx1~k#_2)7&JjAX+R_m*GohQ--T)cOy9?m7a5z z)$zUl(kn5{&ku*T4AVW<=gTK^Fzi$rBOSsnIzI>)c`MvSh9K>QDaMZW;Zb4e=V zW2>xf-g*b#Q{Rz#pAU#Vqe*&bRf#r%TEJX$7PFT}@N@e1?EW_a>D~W20CxYd__f3- zc1hw4R}EKXw*Fb%E%PuyWbV;F;8jmc3Ro74aodAtHgB=j1Hjmqu2b^?w7#W=7rWEy z(pS6FiJzzmIAYmoK;lGo32@w5SA`bbA<8fDbyes!{juaz=j+_w?MAf2+|yz1hqrbn zLD&tg647#)*dqo&>dKa?1`tt`C|7Z4LR0HyxK#ZPSoAHOW9QEF6+EFh_CY#0cij5t zL)h&Mi|+6DxXwmvd{i`>ZsK#f=CS5os(wZC3fyOKBirrOh`;uUN5-0>LCf^IJ;~~* zdtiGsT!G!$3I~Ne26dQ)JwAJ7d#JBjB&-u7?g&*v#}x4y-NWK}H5l=Id#>RdoV--k zNZs7IK$TK4=FiEZCFF!1Z_hFk{Dl(dk5OKh;0{wdz~$rq$(smAkH%j(M(sCQ-g`5I z$Ed#(z}k4W+>2G3Mc$%#s76d@nJYPNA^Vz1Tb+1ty!t(9_hE|l%hqgO>Caa8f2a49 zJ@TO7E(_kDBttzq-fab9DC5Z-o0eNPMcGY_w1j3KK~UXuvKaq>N(mNVD>0iGzGvOr zbJ$S?&eD!Qa3uBiZ0=r;*5V@UgHnqGDXUxUlHM#P0~GRj^}`Ax%>ColK7hErT3&{| zVoOw`{EPc8b#{M!Jlt-8QY?;Dk;(KS5R)XpT3t@sZa*4Xf*Cip8dN0uJL-#|FIDPK zniTy*KhA)5b&azH<<@2)7jrw*n?xnl8h(R`vjXa|W;$AK-luB}@Zu@2SiL}eTTp4pm{uJFL&#lyd5zPw@`F{Re57UeKAM48EL3&+5)LShv9yG$9LA&R$9&xEkdW$*~}>h&@G%=;PH&k`<8G{x{J)<|ydXZfw|XBgoEsfu;cDc~cokU6}h8 zgI`&ah;Jp*r;)&hfZ+?YHe;208T5UJs7Ub&&Sr4@Ulu98FzDJlG!2F zq}~q9{s||2;J1c|)655fuODPt)Fp(kR6tiOib*tm`MqPWPS;;o&5#C&@JqosxO2&9 zjdw^q*p$sHMb*|IJZui<-@M$Net2I^a6hK3u)B!CYN__U$XTFpl0Ujk&ys>$6XB+S zF0d&OSdl0Fl_zRH5>e?H`7JY&O;vUge4A&JE%gYf;ccw4vscYzQ<^G(YkroE9J^hM-?2c$g zo)54~`ALdrg7y?!o(r|-6w6bBhhC_`(RAPQxzry%^`B43@TngGwR#<2r+UGrUn(@@ z#?czaux)WNewdF1BN9E{+r-Od7xx61_7nNXw=B6ebc{9R%D&T(+u*Du>p1nDLE64M z8ggA<(pM5vPl(SY?3HV++|woZD8wGm=+lxm%a$s`O|@8OMyYvFQW{xB=U5e!=;%`Q zxr^wa5Lz`vYj(qd!9DB-t@oxh)4nc(%{?^qd}&`2U#DtGuM-RP-{rvqhjsZ16GYjT zv&nV_R+VUd7wU_D%3yb&A?%rVc*&7cfBwDZ6D)$mK2pU}yr_il{^9%S^x{GjTThFN z%>x=2Pk2{(YdlxL>(Jtcio)QW)CANQK>7W-%(#a43E#Vl;fV!~ypgt~2R}~J%o?iF z6<8(8Ll)xad%cXK=RDa;CEK!h_drG_J8HptftuDyjTal30W!7Xesz9lr*m16Jdt zRtDUhITxt&uqOyj_NEpX-lH7()+d*9%=yOJT_EVhZBnh?nt?c)D7VPoRCHvWLebOJD zsvn4IcW!&YXy3NLz`B~4&CxB&r|A=RtRr#k{UXp~8{OH5?hUGS~d6{S{Gw$Q? z{XOuq*M@beQ)&9HZ8Hr9UlH|Y6dFiF>2`GEpo@w=`jqx$t5>*{O4y@`J~hb=g^sh` z6I0t}$@%+H&SJ}6JG~1YLNBiO$-DGA(`yapr4~Z77vV2$PhMB(dD}lwt6RG#VxTZOyge|FmN&2sbpKS{bk(nyvOg|eS5t*$CoS}@r)@=HFNqvxY z<(W5N2zIJJ@r^4}ZIGJ|7xKhy88X&8QNr|_$Aoe}iC*|ZM{lI(%mnF}V+2AT_2iKo zi9#8OpR)(+XY{j-T2v_{?X}+g&h{b)#zU{I;^?uXzB_&IzS`hk>3)NqMSrq+2kGWE z{mJfN^VgrO41l3`DUQg}COp#DD7Mc3LJXGbB;_lZ*Fkk^#>4H-G&MNmL7ns*)i2{I)Z(v)b_?F}6-8Q_;G2xE zm^ZzJDNwn`CbFU^t>`RY;S)L6)V=O0JHq?=1P{2%lMx5}FA|;N9*Xo!Z>r?Ir?^Mx zMBfQH1pf>Arf&okCBf7jckYhxUZR?{TNZwng?~*~g&x`UAcp=Fu_4EJMtf;q-xV+oX5}dRt zFK(|=*AwAH&#Z)1si^q)KJxCfwNK{z^za+>$KbH7Kl89o)t6P0g#pF2l}pB{5BMhX zjwH?~xsT7jTkwcH={CWGo+EbNT9!fceSrIaU`d{eFVRPChT2=4V(KwV6zk?3!;wG? zTPp;t$v@FBHXssev&BN0S3P6YZT+}$uZjI5Zm*MR0gZs&5K*GT1*Th_P7zv)bQ{T# zAT8E@BuKx<&pQu(Wh)7&-G65*L1mJv3}VqI%(;FtfE-*V;0gyluP;lLX(MD5Au*2& z-jNOE7P&3iF~2N_*iy=kt5ZO!e)>J@dMk%dQh)Ls+sOKaxjx2elzYAz*dqJ>jkMK} zXfPfLhL(;|&DnHH)iSQr?CDRxXY0`R6dwdai3#WXJW;xm7hGdN?gY$jX6-Ul-YhTD zN!J5i3B&|`7-vV=zeM<5x<2(FR-YU=TAvDCAJM3^J~%%Q%x2!Eyx!XJ{H^K0ztt{R zYBzJ4IgQ#)&_xPOvircl*KU@s-Rx!NEE4>K1iR#I+MfWZ+*dd|HaB9RQJuiqv*>9#{*<%GJI}6pJkp3eIlwVxVN7KkQpY@<8puf9|j6{G>jChdr{Qb zJ5VNpd>`8LKGXKbq{RLVx>jF=7YFneT5R&N3%uyUus&&r%K8mNsLV|yzn%V&1J{?= z8^66!efp}fl6>)4MqHQggd_vJC~lR}EG1&R0E}dsOQ=&@Q$gbF)gKr-TX`F#zU{-u z8ULMV@uI5oD)_h0$Y@EzFLGCPsgmz{KSd}W2_b=^?j_4n>Dsk$MR=MM7D=!g;HBm_ zJwidek0*d$zXOMA`Zv)O-k|1Xxv|ps*ZsYidxqc$!Teh!iq?ok(KW|lPIJ!PWy&b~ z4hWRPiR3!f!eSomt>(0pDAKZ_qLLUhBoT!ov3#94&e&^>YZm$^VY;A;GsE ze64~{&fYyOzor-8wHChn1Rt;CbbIAd*h4l>3(=>ML)eCJ0Ydas{viz6FZT((T?D#0 zMb5_H$+ z1khdkaFD3>xyD1|Kk)AuUlojBlL~b zw@Ils2kY;!WTcNfD;YIVx@}dz*ig|?iCYg9tT0 z1=##8n~8xhvyJ?lbPKF7ZZCoeKFQW_x_XfedTV%{N~F|}r7EmB#cJ2CG)L>xh+c*f zKzq6xhKMC)^*!VkpRNcmV_DtDC_-TTx_PvZt zP>oe6xAiX|{f;W^c-Wc!6Zgu}TqQZ*g8DNP2{TcXtYV|MqDz^3-#{WSvZ& zqOa}LLvIU~Fch0YK;EmG<(9IIIYjR=6O6wJR)ufJ*%9F;!zy=yl>6?U-f{!FT-gy4 zvLi&J><5`TOzlz^9IeAcWHhIUk`jA*^uN`CT2cqShZ*Uw_fQ8p!LXM1pVM67mE zQbZJZiON0qB`MWn=+V0i$+T(envbOSSMBNP{hefFHfTd4cUicaaOi(DZ=)wBw=x;c zF39VM>b~tzSFC2$KGERX(doa#j_VtkiDSh+m&lG-YZdPuk6WxO;s#&f_@x@gT?HTQ z2(+1+ObykGO4jLc2&FV?0Q8NSNX88JPZ%mxmain+_;n=gvAuf|)m&=sGZ!o~=g|=# zLVnW`|G?>paU;#z6wPv@hvh5P`;kv4I}&Eg5IdubKO(919e-x!HF5kt^4TTdx}*92 zX(Qj`!ntV?8?VaP5 zkJCht`S9FNWOW=3s%20r$bXUa9y1~9=Vx#bXy_3fM4OKmcBvHBE3uj;da3zk&xT{9 z|6pa?go6?$*SR7i^yNQ$yQaV7?%hq|q_VnZhLvqG&W^}&BdaW>rl;SMb@6^{&3Aq% zLu(2+t%<#WJUv5esDs>wPLOpElVq=xEviT zrf?1A6jp$!TU8@bp^J5oLRcKvJ-RNvMV}{B7%;d+MZ{6#`E|;b{j}TU+R7Q0%vEwW z`W|Jm+^KHlw%VQHPwKZ+T~_!e3D1!Ud-_#UVQzFbJczze1hr?WoojjH&MB&Xv$*rr zMR9razR;!)viXftOZr` zEs9{DrBpq33nHBz=?Qcs9a@h0UK`f3%iAO2wzBbNCkZ{GAOFmH;Y$>=6H#H zDCn4nIZS!+{$Dug+|)mQPJu5=y(((kp$z?K z`yy`rnc4dgXIG-CjocFL>)O{O+RNL^677+8GtoZ3eO{t-g`>00k!au9o=mKAKb+{? z?&#d=NOT@{bRNPfHaamC-5D@CeK;*fCwsk}i_x3L*rRm7qH$h7Y$ znZk9ubKe*qke&#dy$aP^`T5wS;w_V zN9I${YMeUtly*(^eeK58nQT)GjiE1n7Z8*#*QI!%kR-&q8wQR=uMAgDeolW5xf`S-4 zmMJI^$%|H8r|!7`Jedaz!Yn~(k+?a6HCI}169@;?(FfKKoDT})7jWq0L1Ih-7V~#8 z6}gya5xdd)OHh_PE;m^pDw4|5QNVfQ5^Ps>A2H;lnzj;+?MW1nMB5m{(>dsAq5EAb zx*la>0h+D%Vy6ndZ#c@q|I-meE-+9|Y%?BEvnUbYfO4mL|6PZpPet$#?Nbdnx(>0% z12{XW{5acGHBSdM?J8VToyT*Jy7OMKrq?C*uaj0~Z}-%Jd~c$w*=3E@&&saW986qT zvsC z0umH3w^)y|7ie0G64oN@@pczsKvb+D7kYzMDMpmCdaZJ9BsIEc4J5l;2K*8zR)34~ zd-3KE!wQa5?LU<(tnv1Og?Tn0<|eNh;+1b?i&NzxL7f2aqfYh1F^0pBA>UF+wVpk8 z&6|ZDpB1+zy=z6dmB2EO2ahAzBp8#(sGwZo$J9&-Hy2Qf;CN2Npeovg-5G1D1WgJC z%wV-u9JHFnYX$>B6R&B_%Dvt2YfCzI%G#hA)Cod?V5r(E2w6=!K`0O+eL>Kg8njBR z;=JKlv$2{Jz_W5Wq5}g_5ZF6Z4wcrC~N!kMg%GA+9Pr&PQOzf7{x)TarA$^-ScG64=W4> zsL5<7qH%2~t|%LsxL%IZbVXbY9ur*wH;Yw?t~xg}jYLsFt6Do)UrGT90jdZ|0=#rp_PeYXnXRM04zSB1d|TTe zsfk21Z`U4))YTj-|;D;;2auK|#va_@m%BZgrjfjUu` z^|l1|beA!q$kGTs-Q@!7@J+x((LXz})C%I;{4j&s(_IJ7;6%ztY%cieaG~QiCiCN@ z(PY8#VpXlqHLzqaS~cCxBpn&oRLv zXZmK&G_in}P*++ENW~l%x_=B&ucT~mmPBRMZ3zDEeqP_>R+lt8sEK0@k`xvo_qpsa zcUSS<4e;{~s@{;+WPIapvXw$lO$Q_>X(=8E@uqTY*ruiGZexneA;~8H%!IYXTCA(b&}t!St+rO=4)JHe z^Nec2FavzKB`zFrgc%|(=C?#A2wN*OcGz02+wS?&!COnhue3TAgo9zblP;1^wYB=o zfsFR-=6;@EdV?=Vp6r)y;mcwAEMj+AmfCiQ)I~K1eEcGn{D3Qk4WUQpznw-c+0{HTp|fYrtBOrB1T3*p10juP&0B#W{rr@`Jru zs*RA3qY*JAdG@hnH%9%CS$EtBv$;YgxfI!*Fql2ts70(qMJ7ffNzCf8FqfaI57Gao zJ|w%ReyI{nrM!Jv>h{rT!50iV+U*^rrV;S}O1AV1gH-UlDZW<=->cXO8Kicfc_Ob{ zC|NVx9t6T`7S#r+mWPFTPYhD|q{hbNAk|37IN?mhkSY5=j)mD`f_;~LnSEt8`}$5~ zA38;Ld;0JBhf>E0jc6A9TRli!Orh+Clcbc}2dOg&_kqhajpPU2dhzp_=JD)6#sg=$4Fmw>)=$UHEVFM6@Z~r z2cIw><2yHRu)3KuuN6;Xa+gl3w7Z4b3A3UG`}@;?$x&T_b97tEmM;>PNU@?(D^lrPMq zMphyBeQ}W!VC&~%$XmSL1D5A3DWA?qE20+`?x2w4&x9V|+k>o;lKYsZxI~Cn-CuQuRjJpYB zAL%v?E)wv1Kz3RdH2m6ZOi0~Tz5sD*XfK}B%^FQqw{clupPD@?Or%o-F+I%F4%i3wRUYb zuxZ=)-d@_@x`6J6ptXP3HQQvlg`ws!jWT!~M_c zCgx!@4aVI+BdoiL8{MXQ)bBe)u$;VTM8)lfnpPP%!r=y8XY)?m)Tm2qm?7?l>M%p) zp;U=;&y)5W%k!p-i29hOk4e7JrjQvaHv+0IQ`T7?HJw4nxCZ$BNvv`CN3nAzx`Et5ySyMN?NYaq%ioc9scl5R-=iDjU_w#@z>}GF8QtrQngWVz`ekU$?UlKO zw!d1M9kB^Jm~VzhoqLM3jQul1oze{&hI?hD4HeRS6#-(w?h z2bo{tuoUukL&}=M-EK@Ib$0@1yAj_J?*PO}tUVkXSIZ>&3e(uA;DOV{v76*t&jWmj|KUQw++Ymm|ip>1&d^P*})h*E^tB7p5mU& zh--*i6h#6KW~;2##tJ2Nvy*akkD;tDdp z0eB#bLBf8fUVSttre1>?u?*lLbRRXUZ;1NrWT|J~Y;_3WzZo%>9-mDOtCp1$nM}!9 zD1X{>u3>z6J{1Z$LYes#SRtlU+F?m#Ot#v4V`{`GgY9fJhy0IEY+AAzY-g))5oiy# zv((wUdy+cDDM5UR2TJ!xrZOD`)KF#(V9-)WyHoRqP<|xH?n+*p*a$e(SeRXtjr=2eeI!>P z-AUwBM__44x9ETo%{|Gl{knI+pOWE8Xf$TmFk`M30fbUL#<{5;qu_WK&~e4zR2o|O8eYG(_0EcBg~>Sa&0@$(A9v1@!3eVD&nY%pjP~9yD>j*?g?glRsiS$Mo^Zy8L=ihI&8;URLus^yuLQY>-rS0uhB~yqmMU2 zZ#CUoB)Wv9Sp494-b<=gO;`l+kt|7o+z3LcspCPGNovNuF@v7~2vW_@gojOx?Nl)Q9+uWv3#$^o1(=D6*@&91|c8~gK zhHUmP$6oPrZzQ?glU(lIwmgTs$z|`D2j_id@0i_e)Xv$QzqKCHVGfx@mV38G!r+FN zyALdP>pgES>fyFw!7mYfv;zv%k@d&-jjPDFMDj)FV$Mzn#wKqg`zDT%gc2>`#2QMl z#*T+aFFMw!6)tHXtir z_1pW146T7@Yv5Ukw+pRtC&Df6e$IJ$gdZt;hTe4;KS*5$RREmR%Q5+q9`)!lOea0S zBcBtSMFXdH8IHc$=;2fjoCL^%gOv4}%j~jV^Gn@|WS>8v!TO$f;aC^5 zT3)c$S#w^rT9~)aB#3Y3?Kp1x97@{(_#=mm=E@1I(`SO$itPqpJ1 z?u2?47kz~v;%rk-@)UiA$8b$`H_u+HkD>ABG=xpxUXMl5w>G-4i1Aj!g{qWT!uy8Q zZqW(9f=`OR1GSoyjQSR!F*>T!P^S}>R1=^k(Oyff=}Ryo zmOo~<8cB#v?DNf1_n@wZWWxSthn;S6zo; zQ#43?$`4@Xp0wvr3mkF)pD+%?=UyP_rzF%D&!gX)s8%CwbZlK{^x?*yKP`4NBwiN$ zmp$BBx+`zkIJVR$bE1PSce#LT3vB8%r=Uq z_gcxkLQ`GVM8UY9nystNV_bTkkx*w6h>wpsM@6Ed^GU@+^Gj#nC}oaO_ayg|6BAxK zGU26ub~6I(w$iW2r&4D}MjM<$qtI_jVT zSS6Uw-8qHss&KQjL1vTtDegW)m0xRbtIdWlJq@kXnQn}{7V2AW6^b1^6Y9`hf&a?W zrhdrK<5hNSoSbVP4t<6gbt}Q#5tx&4ZG?Ddb8*FJljWicHkmh2fDY85z5!hVj3c$F@4H;<$ zgn#o=@@!De&;1*DP7rxcNXxUqmS?3?efn8So~Bd%9k}96jPr?{8dPzLj!&`^f$Ds6 zuq`uFhd@$71ic9KAsKWneqR9bgL`8DFVE>4osk~$&5Ll*^ge2OKz)yALVa_NzR6L4&w$!Vy;JW><#R@mXTx_f z7_%{N`1z^+nZ-p{#YC6xCG5t#Ohm1|L#Fhzah`VDRX@}f_%WU#jO-w2&M9KPR+=rE z+Q>M%M_o-SE~v2Et^buH{#W`ZGd54PTmOx@_h>nvvZ-Dzc}B}wEjdN3So+kXxF&5g zQM&?J*EXlxZoMt*q*Dz&l9KfyryBSaS-&?-gm*f$CZ%ZAL4z!zHcp@$1 zHwyk}@Es}qBEfn~s)rh;L26>I)b-jKI#?WO%b4Cp^c5WSjNQC`z^@`a6CuBvFUk8YycL3G$|lBW8744EJ$meQvurXw8;4U2Rd zZRxaV>7@J9Qxdhb!CGm9jTy<*(h@j0V7Hw{weQEaZ2Ga+9fdiEaJ6hEw$r>P(hj52 zuQuAUK@Z~=&Ys8f{wESL{Z{o}8 zZ{ojiS1$G1;dHw~zXiDBzHAYKw5!8UL&pyZAEW|k9svd=t9<6Ywark}8~8L<0wqx% ziC&UKl5b5%xeJ@*wbKP)fG^F&rCuMdzeCYrO~<3GoklPa;~;odk({Lypg*#O1Qm~P#wy#Y#Gv5@Hz!fBRCd#iu-|S+LA#d|S=5Ux&S0|r z?_^O+;VQ87BmJO^QDW+e#s5Yg<5$wpjh~U~=VEqSLJ?!M*yolp%Ij`*GH}I5T;wM5 zh^acE^Hq6BcCscueg+h^JRmB8k}8)}7o>9c)gn3WYHr508^%W7|0FGr`e1EG6Nl78 zS{xd_8@RBdr(VRNn^DrOJ{>0F=yR(d71+&)ySEXCcK=m|tQags~3X-Lshqw?X_(+!eoeoB~WTp_U zRrx|N&n9@85WLhTNUez8bPQ^zVKO*XsRyp8pc0Gh!)lSxLiTOYzz&X3v}NBaU@aNv=K1|MKv~Nk z;u0pQ3rRgQRg!5S*RJT7eQ*CXfFM7$Z8DM{s=*FG?#ukL;Fk_kS7eK9)(ujItV4ZS z@EZrIQ*?B4km?9!1V5W4CUqkZWY$U(QjhZx!Lw|6T<-e^sXar4_K`tqWh^6i&tTQ1 zgNxA*5t_B?6rnfDrgyc_`?^h!fob^GugJX|eHESDH&|T*)pUtZlsoDx%^Ih9uo_Ah zN4w_^R?p6mBJ8BA_$9pCl{d0ReNJ0IC2~@Y`j-uvTskP@sE5AEkq4PZ*J&9gl+kL7 z$jB8D4dn2duIXxWHITMzDvpUtoLz_f%iYU8L5U37kwGHI>ptV`gnIsQ=GXpe^(!b; zt6%=_=rA+#x4YXtaiN0>zGT87gM{jpaz?b`LH5_=eWXikWQBPWU;PA|n;cANka0!6 zsd^_-W_y(0$d`lhk?J6*(H>BQ?XOpnE;S~*H$O&e*rRq0XKa`HMh)K-#)w|Rx011f z+-;NaGn~g3vATt&LoP{MLIX-Ps2Soj)#LaWOAS^B28+;F3|4)ZrV>sM^;yO2a27XI ztiU#^HuL|SZb+l3zN^Sr7ARJcv2>!(;gVg#ze?KC^|}vbZ*%Klbq03PxWUH$eqKh> z7D-CGX(5SE-6#ZV)Y;d-;ZQ)07PmU&!?+3rg*BWE$Sw6l*S`t@sbjQYtdsO42d~^1w+fUlS5jI^fhHq+E-o&cQDxcM)@9&s!qZ}EDtHGpA zaJ=+FX&S7Ve$L`fg0rQg@zbvkR`(9l{VIAJn8{)sHCW9B5S|Z*-%{pcYZg`!>5rME zV%~6Biti07pJE8#FQgp8_tCh*_Y>#T@V&&NUPg>!Zd;f2N+!iSe_-?d@G#-~$2?)@ zPiZp2Xh2!wR!4nm2jTGDnhN7NoKnWv5ZV*kh4=Rh61=}xBb$Ur8G3;kwPwl9ntjJ6 zTY6Glh5AFKN1Zm>9%au~OOe(#^(;?Wfd3J$sTT5NX;c4+JtfC(S>Hr|l5!>nspoCy zSsd#8NY>q1hG*S<*=r6~3H?GM+2(oMn3`T_lwPqg~fzi?%BP*yT)fs@L3mh8OhV`h;{TAx(^#7#KWCpdyvq~lou zl<=fFsGtAAlk*U4bgMrc2e$$yjCSf#XOclq=SG+5>8x|vh@F!pXsZMT1lk~vE%Mmz zqLA)nr;pz*uv@P_r>QeSV8AWu2{i?6Cn1&YgtK$3Joe%1li>ZNkP{l6aeyCQwP9{& z3qa(m!(VjH0eJ4JzpU(>1+d_1hoiH}WjZ>ilC;^CDC<1vN_aX=p*G3Qtsr8@$kv15 zwNZuHHh=v97h3!y*~y7cBfh88>#8@BU6C)6UEBH1=XZo($+2YDoMWb^Yl%EI;_Ks= zGmz{W%Wvv{=~=ai-#UIfP0z!hWXIQ2>`E!S&y}3XE1f?>!?E8 zAq&GLtd#9hAxUm}s5P*+&7&(%4iNcE-68*t4tH{+o1X`ajb4Bp0SW+$1Spn=!vhXK z4?nMmfE<7V0gB{N?5S#X7_r2V`aK&!?*P-m;-h&=ie z!9}0M>UZm=AcwTw@6!(0eo3fb)Y9JcIqfw-a!%WgtIykRa6iC)bjV~;|C3qo zR?cy5e=n8Z2<}mT9Iq#woC&=j{N-~=@JV(sgD?AE>jafN4OI!O^( z?%B$Q8k8a!WeZQ^tf`~JJ>>;e`0ZZ|gr03}xp%i{?A@}4nTt6s`Klu^jic#n+&FB3 z@iaYayxNmvdkVCt$o3R#Pl@d*)t)l_EVqNkXiuf>8LK^2w#TPE0ozljJ(FyYDb9s! zBDNFPQBC?em1m-9%`Dq#PE2F>Z#i${aHrm>y6MDsdd`w}0%wE!RS-QKoNjZAOTQ%! zHO^~$b5h;{+gp_K7TeyEl(*FOmf22uDs+tPtxS2x+TN;^*JpbJDQ}(aos{yL+P$zX zl5*pAbd&8&6(=#RZI*VIyW5(@Xeu5;rfIi1(c$^h`+w^fn1%hBhb0{D%%*Qy1_5)K zx2J8^GH-rqV!Inlj5?424~Wx_<7u3{KU0%?P1>ujBOf*XVxXyKji)7#Eu=NjYHOx4 ztnmgDq@QJn%u0teFKgbtM(kIf{R(_vpGNi6fi@Z%DZ09z!s98zVw z!OkaVgE#Ri!i6kvgULGq%r?&kDI9>86N(zh;gq5V3O49EY_=6`kivjdyg^rEv#n%9 znH^HPL09EOTUq~@6W;A#ar@n*)d(gp;$9}0`W?sEfOnbHdwIuYQt<%_mPyqIC|c&T zf#PLSy$LBr%metvSciAKx$oarLp^U+FTC=U(W{cT2 zCe4;gxTRltY??n+Y5w@q{E4Lb6HoJ}Db1finm=_mf6TT?8|1Cwp}Ct~gLucR|_xb|$nDv?*0=S;TcPl6HGkf1ena$8T4%*Vh-R!$? zLtS1rTUbB7mOInO=(F?M=){XUZe>%^Sg~r}tYuiJ_mg(5d zbq;gfn`P*vlIRBn=jz}$>l_Po@D;|Mac`zgU%WS$FOm92Gjr6xPWhaTo`8UR0Vk`g z041Br>M{+L8Q8L`f@A7|s~ON?drjcG1y;B6M0-Nrgp2J8HADOfbDU}dN7N$@pGQV3 zC-Lelu_5j@G}DV;K~+3!@{AR3xAy0Vzc8`VD`+`fy|J=jg*UOXXhn`4fB0oPzF6W* zB)(MQ%hK^XC4Pu#UA)Qew4G+Fgq@!x1+PMr35j3}i}tLXDsBC~yzB$ZN*T9M1mhDk zbWmiW%d%L~opU^0P^T*(9T$tpm?51ecqo&mS*Mvy2AS+mNVcgJEmenA7M^_n1DMhL z%r0N0q&Z6z2DpU~-367$rVmzokr11?=#9KJ+rd!=DW6st`8(U<)N!$|u&;;ujeP}% z9B3QRgK`dUj~un+-mjJPz62K=d<)RF+kQp3~arVuNVNX6+Kh(U;BHDBE}wK`V!|9v(6LC29fMRv_(XUlp^@ zf|Og7dzz`B3%;PgA6)r9X)eGaTiq#;f>)8hV=V_**;4V9Z7i(#`> z347`j&R~zTzTnP1q+?Q>V}v$29hm0DYXWht7GaocaTb>O+m5vLH~8E7r^W;Eh9b8Q zGX9lZ9})Mj?4QvPXo%~u%HUM1q{yA%8C+nMa`>aIpW82HSY-tA3_fU;6S%V9D*vQD zxH5^+2}--c8}v)2)snFsM3IW>A4ld=`k;hhJ)wkiCN&{Fy$T1-aM1`c+)y44q(XvW zvM@L_FNjh@oLL!1dHibMF=^s?1d7cUR!wq@ajIJaH+Z!b81CG;ShyG5WMsH!q`4=$gC$ez zYpkmJ1>`aVC{X_(wqCf-Z4%|>RaxN~oA*r-LR84G=-@SjE8V^sc^3v(yM43rFbm=h z2CG;06Xdnw)&0I%`8i;%^yKA%wc3;K%pLN!F&nL%igU7(v*iTQW`nvO;qDA8E`6+I zAO;xxz8Mo{VDUuq^802@m}SkWv05!tHU8{et=~7=7p-!xLtsj@qBB3um*^KK@SF7KOM2? zM+GM($YFlSY9Sokbf}t*7?`lkaMYTln94!11rBQ#rxPYgMs|7T2FC?WpDAU-)JD*n zUmqX_4etexcujr1Srd;-iAM|=nvRF_K=oErjTyI^g$1m1^)!f`F`wIh{|PJmO`FRT zR`=KY%?UH2{`v{C;wWq{;u=s6|MyyxI9^m|V7{Op>L{bsV+^3$nrb!GS6j2J=15I_ z)U1ibWlOHHM#3r2X_Sz-RA~dGFl!nc?V`pN^su#Ny_2cRrwRHf%+WJP6xx^P~tjUR!pQhMGf;gLn z%@Iiu85c2Y>pAU$D4Hp&)f9(@-Lxns?`2JmgH$g~t3F{yf)TC(OVmdKZot-@EBBpW z7)WAXp@zKB(~HzlA~DY3HzOEkfS!1uO?1Q`;h+YXoZMxLkSOpa>~%-(jfh~9FA8UU zaX8Cbyk*u}RruqZFv#}nI~jje$E}hYb;sxI>Tf6NhSmxUP zk%KDAI|2QBAo~rdl^=l7_v-VJ} z)30CDiFJ({%{Tp6dysN=IOB#8rAVf4?IDr?R5zM2%}fatG7=W%Ksg1r5s%n`A+*O~ z>Ki&VK&cw=8k9Ra7$T9=Z`apd!Ahdk?f!-BHW@4Pfh(;qmd~)U?D3)icThFQf;4GH+*ne*Q z?bY2^1tY%JK=mENBLRW#x_Y%YGM-MQHRQXt%#8HL3q}Sbu%p10TaJ!kJQ1PRC*(!8 zvS1X!@CaK7hWh>|Xnk98XTG~2lsg7qZF0ut2Ggy_3Y@tUYN8~d*;7+$Vkz)Z4(dT= zwWH#VFR=fegZy7SX10blURxGP#&cJQ$qq~&#B-k&ea2Z%^*gSxNKByDla@V!-j3Rp zgha)>FX(#@SZ=J1OQ)dwgNUrDdRbHbrDI1!aKh9HZT)#8gA2u?Rel9+a_AC9|JTvZ(Tl3=qYp$GPSU~He7s9RzJt_U%$feRnNI%Cac8wXa zW=WTS#^)@?S(9ie(%D_ZO7$kx&luys|0yjgGX%LNv4uLk$G5t!yTqB;LXFpODf&c3 zPBrCy_8^pREQ6Xejw6ma0kIOqGN*cQEW2;NmUU<=NAi0db#~8%G+d#c@U0Gzfe_#m zy1LG{dXmJD7pg20Aj-WnNRGez|6*4+p z>{QbLlIo4QvUK?ip7z8|#FG z$-}_8=N(3=kj75(R@)=sN&#tU?3a}5Ngt4e_jmtEqA<6EddkTWzXZJY?nO_h%WHMINQ{NJVh7y zK3r4X!c$ZQY+c}=SEOy2c@kZ=E^xx3uCA56!JQ6u!FT~Me|bql_E8QyRH=^Ycc^@# zlIkj`rFDU^KrVy1=Vay1?IDA}e)h>#j=a0$14qC#dSW4K@5#tqa_D z$#J6UY)UA)z@x=2K#MsaUEo8a3p|VvTNhaUI7MB3F(w`MCp+wf449PsN&R>B|*Pp9hc~n zfK5!qsxMNJpM4@o>K(jtd)q(pHcI>^0^~Bb_XubZ1soRnR6yvygdGtfCX0Te30gBP zNSJIy0#DbvzEbaoqnDeP6>MnC&)Q^YjZtlpTMV0g!A{L*tUyP0G|Em^VNRlX;)?#n zmNBl0vORuXMB3Dd>j)2Nlb@;yBbQF`0Q z9f?M)i(+U)o$+^j&w7lM?ewFV$xt`w4kI-3N*^gHj?&snatQ`7|#Q15FaQt)$NkoMG z%!ZdA(9DSh18<@c=D9$tuxQu;TzFAWL{yM8cG6(qQ(ADJq4F_q4o~3?)69fWq(Eqr z=T?e7t=79d*G|=sd~~WDr`nB1#=lGTQKJz%eV`{I`bavfQYZJKNLGA1R*M=37sH_& zR-8mfFOud*OmxeIALDI)j6Z=N5nEEPA6h>^?4}5ccnEH#cr*M6Op}Cx=@OEN>FVfI zPxWbj#De4BL?!D`x#ow4`+(s`O!%R(v3J-EHfj$}g*7aBvseO>GZI2k^CKqwh^6_V zQ!R3;3v{ZbPWAhL{`*w$BLY7-{W8MNYMoPk_BY9Di&HHjk?f9h4uD0nY`Q2>?%U~9 zrw8amqf{@Ll+r$Jr9qeZsk_J@9maV61!#9ZcazWXyp2WiF6bJ@C^E*9l1 zNNjv8ijovEr8sp7^^@6lao+j|c`ek*7LjZL`kp!&#rXj!Qk+$S@`OfN49cS}b(2PE z%pD^qf|lx-He!~z)E#zW316+l*ATwKrLMG7jEgl&S!!dA=p&qRKD}0ebqw_kkl0Fn z!=>JK+B|kuw98iH@oM=LQht&pSY{>pofcFhM6jS4xmt@=)!$h$7M*%2XG~p3X`~oGAC^^g97AA~Z7g|!!tsTXzG6F|^c8(8cFyZ*wk;cfafw$dayyv-Axi!zt&e{wh4#3@pBgY%xe@7COsduzHM!kib zTMA8W>i85fb^J>m#eJ>Xty8N6g?`>0`6y(B?;fZSlfEe%@qgS$PibNwKU1c-x<;3& zS=~aqz3RG5nFeRd)We9IGVQ^U!;j;2MswBIwL4#3ii>&0h+QVe5(}~6E^$mq)kqC6 zY|qhH2K#=jZ=?6Jy+iNcFvw!9lFjwmEX^iMC+tGPK?tQzK3Bh9F>@p&XabnuKgO7OJlAROn4Vjn?K|;Wp+(+9cXuR zp0Y_jHD7YmSdR-wr23Qg15z?E<;x)jrSA5J6|80Yy+?MOf&e6pyhru8@o*? zbg*Xm4Nj_})#XqN>|)sEy-U)K)RU80q)V8y8Rj@tfKNe2hK+)ANpyZycog#qL7M7eZneZYLg@~1{!&P2-kzq@*Pcn*iDLc=9EY(bTeM&O>|NS{ zy*G<-EV-XRc2@cw>VOner~mU)!mHp05B5D}gIxp2pPdhnp`PS0wT<^%!8=QzzFhhj z3`v?@EgD~38@rw7;Gz%UDC@*k$2n5Xx?L#Z&k`L+!XTQ?LJLA%#|3<q4DrJkIu9K9S zukDiV5)?TnWg>dfP1zERSjlPdE;U1s*g zINu7fiDuWqRHD^}`p3LxekToi&0b>st$R_RLD;WH)f4CI`XpU<;E##CDkwWvdH zcX1cCr)v^3b6xhMPk>9kWo*6uEyv?W zofw}wLOHbJc>J+e-sjd-JHu`b*ClyOs-!750imi{tPQzOm`n=2YIX;gwQ!N-mH%ab z+i*{u{gs4coXP`w!q>%4Xo;SmID`=I{^a_ybNROJrP&9ZYTPCA9hu}*+cXeysrvs$C2FBl-N@jXTIf_gI%J7ct-C-%+MH_e zg#xT`s!OjB;B}|^jRrP4RX= zis_)bC#fR;PN5&EY-j$LP(*_o}}=%1Qava-G%v z59`nGwK&}MmkFM22iNJ~w=%&IJGepzf0zlr$_^f-gO_H4zh(!!aLTh(KE){+WbG<1 zQo{s1&HD3R^=StAGxQK%w8|N!{0?Y0OH+W00r#q#fAE^zhx-GKQ3>=s5Mzc{a3K8d zV=2Ogsz*Rg_=OB%QE;#c&ji}tl@!8P0`670HsOmjh7b+_K{$v5;U#IpL)23l!ZjJf zwK|!Uco@)bOo9Xc`9UH4#`krJ-^25wC4~PD1mPn%5dLPG@F-QAA$)R%@IQ4jA^bYf zZX^!)G~iw}+a~;|#t_0!0YUgh9N}@AaJCwjA^cn3(iXPRuvzgfpxxMshC&tKUUlpd zUE+&1h7i6S2*TrWAiRyjY2gi3n+4Q7z9K_dXxN0EK)buP3gLGb3gNXj;kWVFtk?$x z;eX&jctM(QzPc+z*qb5z7oAL4@iNfvu7D8!J|H(Y*@W-Y7*gVgfgrpX2f|mU2^Xl# zGlUnxKAROn!!EH2v>Qv+P&f;4uljtEW<{~a5W*J#LHJ4>2oF#=&59A~GXXU#F3b?- zUbhtCPahD%TZHg-z`bg@O?WdNn-#r45dJd`gg2!L7pnCc!aI0NyTm(nGGWCFK)buP z3*p-V_o@jt;TtuElz2W6gdf3y@cn7RIck1}@I4vA-_yy2a1GGzuAM@76yRQU=wZ!@ zT#X@wM*~543=V|9o+f;f8k-?}SBCJ{bTT1)@O~j&C3BEX8uSVH3&6eV2|JIq+VgAhIa$)#xf#c6X0HTkxe+PG2~4e zfFL{*2f|C!gtOI;QiN-;|Ifc!!vz5R+dD|_-X}Xq5#DBsX@`A$|@ znHSH=kQEwsZN499cUPsbw_bx|1)K!9SAEUS!>>JO*`7=B*csLVkzo{v41a+XQ@!;% z0X3&5z(kwV-_XhAJ#vA_P&ob91(M5#2lbom#$yv!KoIW7fv{AMR8KNDL--Jdu?c^y zlL_HBfOhkJ1bhr|ue#nQyij9^2!8|w;g@hAT#+VRq|VC_{&9xzGdh_Nz7}XV-(0{- zz`ZKlCVZa85W-ghL3kn#g#QJbb&0)738-0dLx!+VClkVhfOhi@2mIUjgz%dSH7oYu zv01Sn2*MxXKzMDM@Nl&%LpUcxSZLTnT?@3EF#&`h2i&XX*n}U{7*gUN06};O4uof= z3FoS58N$EhEo~kP4V!QTXg6aFC|m-#SDj)LF4q`B_#z+(UyTFdv(kiztJ5=tr(_5V z4V&=i_X^=zLUyV>2QF1mWE{ z5UxlQ&Qa%O2=`DJyTn4nCj1Q0ZpL~Lz8P?@^4WxEXbdUw9Y7FXhy&q&P_HzHhpE2_ zs5v|@L-;P8OjzLq+TAr)N?ZuYjgg<5IzkE!sp>YxIIm{ShZycpPnInhE67g z|2AI;9~8nH0Qaij+Js-jW3%E-APB#M1L2#~gbUTI6yap(Ks(Ad27T&pcwL((ztia@ zhX;XlpV|b#U8Oetu#T64&j5n{Z8*>`OVb~z&P>tYt7c}%&eF+*>;*uK!i3c>z`g3g z0?m=5tn}Fh_Yw@@kvjG{vb9TgmL2TGk(C0L;IDt32_lPB@+W%6udz)| zisEYyPFXDi6dGK3Tcj>Lfri{8C(D3;{4G-xtem;Fa0??V9&#VpSoIr1S;Z8CW&g1` z6``+!T#=5Ls(R89`ku!hq$B33H&PKSOz92wIjJbrOw`hJ+*0B&XckGwVbiP*IL)5< z@Mp=Z@aKT~)yeAznyD|eFZ-^}#9bnW<#uOvJ0AN+-dlkX!&5l2Ao}VsX4~AhU9wj_ zB26=k`Of2#a)XGn&PJZ0kqgv=AhVwLqdb;eC6On=O^O?s!^2dd;0Cvcn_z&xbQR_cA0vihFoQ^kIWN&F0^=AIb=uGD*Q&qK^Vtzvb9S7z6d5A>^vp2E_1c`vM?-GjO! zOO*3bN|<-g2DU$ga13i>3t$cGc;qIrxVYt`e9jA5-rnx5ALV1a6<|9->{9|IKFZHV zea6c|Ecus{@=ptGqhj8vayIMc5lr|Ftai(NZYXuM%6yRr53Cm}{IY%b8tkv~@ zO@Lhv^@B3z@vWQd7F;9K`2Cy}yH0jf)^bSSlZS4FJFiSD7P#ihkAKV4Y1Jjx9!9;@npB?{VxjIF zK@luzH6>A7v6?Yby!H^NoV_h&Kfo)tDY+{PzWAsLrp+2|I7y)Ib%f0vF>=!ry8=b4 zRc`k3cDZ|E7vlM+3(wPdFoRjq#Z@bHtcswL6e}fi6ZeLX!hMhpz(C&EUdvZ>N^h)S z=%&`k=(S>T^g=ha-fn{<*msE)cr#JxVsFZh#VZboZ3th{Xd;K(k>k5xKN8Pnk2vj} zg!eS+EGRkYFhP;?*_%qocN1T+oJxH{{x=-Y{~8<2)9F14N+oukH;)3i`AcbjcRbC? zuaucH^YS`U<|^Dv|8LW!NT$-Ewdbms;OdFDvI*gUZ&z`sz7D=V%T>ukaG%1>!~MP^ zQP=%?f0TovktMB5L-L-38mu`z)|}HuqG@E!*@4=4xBIVH0;gv*{?O&xTw;a^s(T*; zp;!wx{_wgBHQb5+c6)^HhOGdjg+j5k|TrJfB5TM-Z= zQnlx99MmvxP$P;gr;YU3m32qFt5M1np&XGf6+*)6-j5Q_9VEKI)N>dV?TMV8KXHdO zw<8qU9JS2o!_$hFj~Qq`Ta`YPF2|yj zLS7vg#{Lj9h7>r?a9r+~;0QVz9M?H+aNOs31OuND>1!%}C3rRZQ1jX&u~5v4U@wO7 zWjfqV-AM&JL4b5t6%TVOnz-MS!^|87m?!;M0Lz2Yj;ac87$-GloRnmolq45LdhqIU zO~I>^&Mni^Nu9{j`Q=_DY0s}YldAUR%A+e@EErqcUmzM6m{CZ-qZqTbX8q^UOwEh; zS|#}x;x+PzppUZ69a0hMQ1qP zPtLo|l6?25XNEBN>FG$gTzSj8=r8qd5y8B zW1S;v9GKy%z(&2?U_C~4V|$Amto_TqjC})W(D{}Zo8tl#93hnR{{x`{Bwr-Mb|+^kH@c(P35skwmKH&Nwm_QyHylvk@^TOM|E)}= zHgK#}?tqu^y@)Pp{RykzIxN?_?;=Fnn?@bd3o5d{4q5+Y zsK}bjs=3Qr><*=Fu^+Ex9Wf3xa~8o!gxS^Q?Ao4>McCo52-~lP?bpKg|BrT_iZ|4_%=P^3;`86eVg8JhHJy22)vKW2Y?i2M%hxJdT*- zS;t?QMY_}E@5qq9N<%qhbTQDnkTvE7b(3UL`Jy$3&{H$<`9Kt;1gGLd2e-j&{%)$U z=VWlyY)xbA3+f!9QT3uV78>sn`l2Oy`~e6WyKv-dyH`D&rtx70#{!}pz8BPoL1+YC zw0xv|Iuri`4GC-R1A^M)IJuF%swPeAnGD9Y8j_r&Kv1oFLA46iNiSM;gkGJAza*39 zJRqo!#YvlbX(EZ#Ihm)A-HyC+hX{DSfMU5~_e{fak99;<#@1u*`CbTrjnuXY@DIL& zdGLGGNTTlT7(AtHu-Ypj>rO}Yc8XQi+FX4&S6m^gVkPQL5T%rebs9Tpyzz+okDQ~D;-M)9Z-l)g!ofC3B*kj4g z%N8A-P8}glN3Uu8ZoTX~=d*>nz@c8zaeVYR$LnqVo6EuFd8|e45Nj^{M5Ei+-joRW zI+Kp1uX7=*FTTz-j^0qwX!-s>!77DE>yF$kx+9DAE$>=QCkQEbpX#9gbC->?C!{r~ z@`nKEPCJkYwMUNIswu!$4c0?uTQdAuO1aij>+Ljhe-!h1lMq{165Sg=9vLfy3bT4b z1%=#ugVxNRWdj56QfSsUxNBa|$MZWxN9#NU@uF2mbDMobdR=805YoQ_2TcHDpqiQV zW<$$J|P@=Kmmvq0jrd6j#-qi4-=o8Ds!?u!uxRRsiA%s=c*w->4im4 zoNw*hT%V$E)~csVxpwMw>jTzH^m@S4U}}Ayd8A}D;PSI=Ej@E~5jq}=4Yj?^=7><& zx*;!)=F_I-I@{MNsa6~6;VXpa8w}Oq7hnr>1oXgI2r*RI*9Aby!_R>Uk+)o8gBC}@ ze7aw}hw(CKeExR>oYvdL84}aOXjb1Pz-1kB1JF)uS4>|ez!g1Q-@&>;fh2UJUg2=6 z#l<$+V!TC8b!y5h$BNK+7<4qfuqgfK7^&Cad(@>YRc&mV(xYY&*ZQ9rgwHz{3MWk|J4xMTQ&6T* z7;fck94^UNeJoM~Lv^y;8w}%sx{ahYJ!(3RE1$*0GPRFr8J+CZZkDgAZ zz3GY8rmlr#cq!DS<$nrS7*YXg{tRZ3^%SD|R3T5XtGT4LwVksC-u8I1bA=<>x!Un; z>%#V?p7yv-!CFf%m+^Ism{K-EO}S0P0{wf}ojiNv$?>!x)@H-e_!Q9er3v3Wj+P7O za|KUx{=p1h{*55qi~{G3dFOq*?^k$5C_X%(V>kB#uSw0gryG+$%*t+1nm@ z+d$}2Lu6qjFGs2Y{f9%n3m!Kg6D1P)*72d5C_%v;A~U7c*;Swow%mzc7IOS5Ujp*%$WM;~|0fR}iRFhqz*bSp#eRzRm!#ZM&TC10 zS;{R>sDFNe-(90G3{@Y9hmQ|Joi>aQfC?NVV2oamAIWk{*m4&RLS5BU=&=>DWR*IO zC0$D&<67I#wE#U%1|yuCcXHO%^mAr&eKQ8BbGXU5#I*YCz+&I}c?1>^SZZ4Pz?zW4 z@`2^I`pk&mDxQ!+@`2>H_L)&zbuyB=x-=T*_E1kjaU#qyMIUQ%Tn)6^F>sDv`(`bs znt51|^`U}MiBOC+x3QwMJCJQh%W`w>=?14`<>a!h-|(qkoaVql1Y-}es1*puSlyfh z86(jc4L0g8Q*>Okaa=T3WBH=ou_ZU)1gwA@*4$_9=RTSKUvm|B4kwo!%E8cs55KG8 z0%LPF>*Rkh4R^L9+fnIga!i+l8YKtRP8g1QEE@;sHai>#)CSvs(4k(%JX*zeS$_ia zyaVbdc1%BXHRe;c-^(7CV6s@;8z--4#nQ3c3^ro(V@EwkaOrO!a*V@>faNoz{wTB6 zLll@vy0xE`3pJYpvmT|c6?alXy-T&SOcW3ewHkqAUE5ICYk&dClw2uek)3lTda;aW z4yXd#A23u*+Ng|7Zqg~(h$zE-RQ!*A(LMfI~Pb~+6UChErl3qr0K4~Uk zbWxaVDA|_d*BlNvWVk`&LtK!QF9q#DT0!e?xiAObELgN6LB>tBG&z3n{nBTWv{7oz+thg7 zXa16nC5?_vjgvMt79woQrp6)!Oy!%)R9xcCokwdm;!ZKo{azWT`%7?#$#LSVk{e6> z-Tso#N~nTq_?!NjpK7H)7rY*PefSH&UBb7nx5uNpFQCU(Z$7t6=cgAiGMc(WU}41I z>^S!Tt=)(_)m%VEE_gN6!~wuiUZP1Tb`rdW_)fuJ5!`6iet|8fY97aUxrdl93yHiN zHj0TpKmhLpky7^wYzk7R&yKj$%s-*DNO&D3#@{6P3pb%~v9V}V<5c*j;cq&;622}7 zas_6f+?Nr|2J;xLO(rZ21MY?A@;0RdRoO(=3tyCMeBfQKW{_aFkeDI9#0>H7B>~oh ze1`mY!b+)2=XQQ38l*(Ik9y)mho%eSO$^D4f7y2S(p7~ih|mOjq7rn zOf^i0yiHbBNU{OJU_oEN2NoWRp}gBRQlrz^TLpMom=5VcPO)Q~KC^0tz+Od=T)Zv^ zd?F=(>}R~jTeTXEs4+G-QRBT@jkX$AjciT!HBB~%A110bPR3`=8*hO#u1n^)NreJS zqbajyo4zS)O;aXv3{i7f@B!;UGSKk49Kc$LU%|gKxZ*-c6+srK>5k$%$JJ zZ2yU^3V%NW_b#~m+4-MtRnj0>YzL#;&y4V$8)46Vv{g}2@rVekCl420h>2qcF4wcE z~|bQS6OyBP`qV+OCAqDCUhU^Ya%q?Fvvg6Kq8)$%q7 zVAApQ#B7xK#Y>7@E%5Z`AibIZaT5v!0dcUcL-`EJJP&E%v&G2>$N$pgRzs`7$#o)q z=%<^W6nKu(1@~ERdZJV}K(rYP;7Zh^l4uCPp#xX21vR(u^|SZTd(>~gpTg;Hbb=|& z`}-7}spRZo=N!Xs3B1xi5ybOz$N){%XP%B=eGY$>Xo4tt&`J82{}t3W+Chl41?ooHz$@;vB@u&q1909K^}bL7*;&&Oy)( zbR+|52e*;vQ?U|GXnep*KIc>?o?O!AX$+ct0LTYa>Eq`*K7Ov_ z)k07v*S_SN>hpC-kfIPoP*L>#PG*ww7qu{q7l(-OWCv7rTYC!I@q`5Ib0%8{aZ&mx zHGtob`e`=p2mGLA$=CgC*zv8(2%;sx9K*)k+yT5ui|N)^_&D=*MNZ6YiQbS&PgX&3 zsAf=dFH{g1^O-OX%d*v!&pv~)1i96k&yGU8*~jWXrpZuCq9rcYuXvV3lU6zgOz3lj z#86U~9o~BpO#=Id z5H=Yx&mUB2ui7RojV2S3-Zq?FLe1SV8TPVI$ardm8$wx7xlDJN@|y*r0P5~0l68q5 zwxAC@CG^w(Ypdd(JX0#4yjCyO=_f-0Z=J!h)(FmRf>dWLXvza$fee$^nxs0@q3_L483mE0~P{ ztSTNr0_|D+iDEa5@u@vV$aAIIU*x=p_ZRB{kfdI+eOHQ#KjG+Si%}`g?hI_qBpR5R z@J>7J{@QgaCh41f1*fb{Y|g$jkch=PfI%!M%f?>C<0i~}Txi?DAp#IK6&{-3BghFr zn4PKn24wCTLlBTM8u~R&Z1%%w2%5!C9i_cw4^p92JG&#FAbbVhYa^@ztx0Yse)90m zgwORj+m4(`IXd34W15y@K7!&*z_aBgKA#Al7IG3i)yS3D$5!yVeW;A^@ks|&T?pTg zBdq^}K~*0hkG7A++4R%uHBrC*>+)p1G#E?Nddc}qa`vz(D1nblTVh<=FfIpB5+9d) zQFR}C4c?So*O_=e|1>;*xr*matb=rOReIE6*-i}4>C8_Uq?V06gH(jLK3Rp8+TMoq z+6+2GKmWG>VbGL0VHmFS&L8H z{Je7@MXDLs0jmY}R3eFX0o1J~;e62za6@XL0t`}nJ#6`AsSVJPmFrE=m8AJo$PXEV zr1?QV@I~x^Uqj5V(xE5~#+Ft-AIRB4&K?%R+IBgyUWyXqQiO3S{7@U0_aV&hXSwh$ zIBmU@Cf3U|ZN2-5F*4S0T`PN2c~ zt#50xU*qJY@mP<(G>?q58d#8A95)eOtA-EzJ9`9QXw20^W0XN+W8v#(hw)1zwi1U% z5w;9%N@BU&$iq<$_HIHg$FL#OjG+EluGUY^JIL9?j=rn)$Nofr>_>mBK>55sE<*+V z>^6A6qd)G9d}n{$p2HVZqCe~a!lc4O&Quki83}kMU>%}U+9zssd^;>`a4&7)gfo>Z zr-?!*`h+^i8FotT2Lbu({Ty;D`z3TPM35WuFg@g1A%y?pEcaM0p){h|97FjwV+6ld z??co+TErb~vgv_X5JuYCtt3GbG&h54_K1BI=pj412l~z0^q%AH%A*78uI$C+ID5$n zE~3?FyUN*WYPH&OoUK-a^-|mt&cMrWlfinfyVaBdPQz%hn%vN5I2^o4#t`Iz-dx)1 ze!YSO;&kRr@5ZV95Wm~m#W-lhnetWrECM8Sd4yeb7_^w)oJq50JlvrEw6Bp}%KcXU zP3L4#Htl!4HFT&5MZ!c};dZg9X(ACk+Ekf9&HmD42HBM?fh>vMf+dO=% zliCa^dMdnnpq>W?*Rx!$XY_y4bsVPa6EF=)z?4HUjU$+#f7x0)k;8P1PFp6mRjV-B zRG7Bt)By!tnJQd_4@Z+TF&65$rtxvj;A7Q&KXpQKjP6DMs$=vcAVQ4MvO@!7L~a@* z^=o9m;(jat4jQAMJoD{ibn_ZMMuhH90l3x|0G#$1AQMsrd-`Y!Za6cThIiLBBtyY1 zdZO{!d;i=@`bQoD_VCAG&BmM-fjJwUkx z70s#DRnwYIky~d@tF9_&I+Z?}eAr{Ft6cEmab5nAyBDK(* z3adDIdOFAFnso3*>tS1cJK+MlbM}rvcg}FXt#TKkLU*J9*Ecv?2LG^KP`w;6lY&!X zI(%6m-PiG3i0i;U!@$m@g6(t*v0yvhLM+(+eQqII3)WeI1Pj;U4k2vwpai-@Sg@V$ z5Eg9bcL)o%f1f*qHV%FTI|7k1zz4Tv-q?ieD>d6Pn{Fj(_9!rXz;vXrXQa~qtojs$ z_(;RfdI3oxoJLw4c=5|5&m@ij2)WCfIsPDL7df$hEakLFfI{``&}s#HS~f7$ROn`y zau(eTloUAM$nHYY9tYca5JxNELanUb0682JzsOAAwPDcf~sZVhRi-<9ZA+ndZ!>6ss`n_DY@BWYT1^#|S>7YR~1} z6Pv;GHp6yHIfp9)hOCrwO19-}a{C?@TLy@7!c%du7Yi0P%jLn~>Bb;{96 z=tA+7*ggSu{^6s|im!$}d3>|t0pKtvqj$$Tm*~xNWH%}Qb3*_xh`3r!ed~_8@LT{e zOqxBZ6EL_UE;nFs6AW(GV-%*s;3gQ{fQmu@Ljk_M_=D7VTt4jyR0D2#!}ttbUb$<9 z(78h2#jixcfnkq5B6Kc?Ig3XGR}BPqs5w$Dj@IpkxxY?K$We)yaWLr9*$m9t*_oOM zn@C|hC~RXgYyw&)bZ$zGdjW&&pbJ*Lokn_Q*9(B6-k#2l8SbUak{~ze`V zYrt&9YfZg!KXS@ad7IvSe27<;qx&;l@nB{=dLSc?^q9s&sd;L!eNgaQ$d@eJoh;i` zQxN3Pdd7ZBEa0Bi85rBUZkPtH9Ti}^H^@Uer;WvfAbA+RYw#Bzkt{-@3fyvs+Ia?7 zd{*2I;JdEpU0*ad*11$~p5NQ@d0gyWXXcRWl@|~jYx&$V2~DN8=#=|-`ojiX--^1s zsyv_o+^(8Qom<9A(X!rk_BE3_TgM{ZGicoL6n8w@X|$)003PUFcRqS~8TFNjs^X@& zskgJx{_o*SRer?l3w+}HpYU{@TVwj7JMoQSu49u~dJzD%u0J^?T6D!6Bn&xxW-+lG>3s{o5iE z{b^LDXk|pv{mF7v2NKm9a>t@bkq6+B2z@d0zGg`?vvI2B=M;8Y4BoQiKR{q3&*8VlfQwB|85e`Lw+efGy%;4KJz zvdXcncXtKSunFr=Ddf~*orq00ppDg;$Vh>_v9x8Y`{4mVeU{+os4?Ph$Jf!_gS!pYJ|}-xIrqujQ6wyY=`zvfF?>h@-hSP}QH1N1czSMd%ePkD%## zMnoFbGPn?(a`-1Ggg}Sh6gby(+{%Y-P&Jn)GRd{pS`*I>gPq#fsC~2e7Xa7VHP?~a zOxcTdEVO4~6@PRD8&%iDRy`0=MQ4RR7Omjid$Z9WpNkF57oQ^|7+Be=;kBCq4FzFw zk(j+fjMv&U1ed8rd6Srlqh_ZZCd>&5H=+Hx@i}mEBf%&hSRDlVY#BFy_t}qiv(MkzH0dwm0lduGlQ|kf=NTf|x|f zKsUK<hp;{~UT=rT;EtEa zX%JtTNP}2!!x*-2%qYbeF65MQigGoeXw#R{7%p8#1((K~Gvm#6^xvMt8S!RYyjdlx zhbciSgi?UEHL8wXHUR`5(RxJBO&$y<9d)S1*?3jDf&9@#_Gar5+`vlG->E!*v)%(e zr~C@PKk62{Cer+wg`#46D)g4?BdrF}JPh|Td%p%pG7fSib*ft~;Vzo&!~^J*t2A(7 zf09Fse#SC9ZgIEhyCxD*o+uNL@c#DmuG)d>H8w1#^C?e|z0 zYmhA7(uW;SUBg-v(i9)HcJS)W{PxwGiq(7mf3bG2{eCchc{M=cgXV8H!M+mg%-Yp) z&3_+j^(rOorW*Te>%Qw=Nx9-qb=)S zp^8Yoyvvjrwq#m7p9<}5@gRvV` zd=D6_kW6FOMa2gXw;@XSCiX7+nl~7Fp$OjgG9V{5!M(QaR2~(uJTo-HPhO+j4h2V zw2adBBAs#%@Ce^X2yLSyX>X%J*C|7hpC^qq>tQRUeRZnk2Uwb* z$B`e*jvIgk4W*GxVn2EcAot?LlRo)(>73m*@v?vLC)0H>e-b|5o(FbB8$lrw8?w zksg_4wHdo6&cgmwPUM(~osd(O#J>^w>52ujEZ$hhLcRHaV?m>N>Sw`hFn<*DSum&N zQ|{Ur90#tD!Zrpcw4983dOCP$VJnQb8ltVn)A=Gv zZc}9$P~%h9YWkK)6Gd799_Aa-1mztS*hy8cm^kEkvk_L7!6D0t;3$AVAF8}{CAbyf zbRL}$qja2&CDSrmAx<$UDQI!5rO%QPYdLHILrK(MOCOQ`+;zA%le;=Z7!C%rRd^=n)i%5vGNBIwn;4e=t3q zkx1~{pI(9W&ar|Pp|Wav^!Ok9hw zglyA`^iC*_&`X^YF?Vpgi2C2a<7w?(P36Vfv6#kd=*4Kxj$;dsH=8)xNKp>`NJBk| zAkeJ{f~_F57FZaq{Y5`opdzxtgt=Nmn&Lomv{p@f6{Qv1>r;~(<|T+GcnO|{9>9b1 zaS^wRTlK@u*t6?XEn_&AOUTZ3${6H`B7z4K6?_5KbZut5Ho+5sWye-XsB@U|XbvVc zP9CU0(L72+h8qz|YYJ^R#O3TdMI<<|c1?ieJiu}0yyS(pDX9mzY^mDLt0_OHi|A%7(HXIFyuI2WB;@xg zxittj_;l~1j6Rr%Zb3BRHY)!gZ&Ue%|2GNN%c8ujZ!LE*WyE}pwrAO+P8=jT^|s4p zzNEdM7R<(pfqgaoNNo~Q?8OsB0%L)A+;fn8e}GSaDvhtnbfgWS9mNr7`N8q$`$BAo)o#87Q|m`PMCT{*k~F@|jVt-iB|0ZYnY>bm{lr+I7{bom&9hZ1z37&Ku z43Y_JDs+5Mw=C}FZ5}G4t?u+RlmVFCIMf^5?o{PE4)%`vRKg=PCu9Ghe#S+ymC(6} zQ!zJJiepQa-JWzD-&A;HPx~Y1MG&paxRiLUN6s64K2{dmOEQHDC)P&wd6GP{jD{Ss z8Sio4g37*|%H}lf9+}4MAMenZjpDq-&k=_wo}KlnvCc=%vtEea2H%9Sipr2UX=6of z_YmdL%P=j(S9M4ivPMH$80nBr4%u9hP}cJTj{XaD7^b5*aS&=0FB0DzEs;A{o`>gn zf_}6x6R-3(3Qvf+!*yfW(L>7hF)6P~C|QOYQ6l{<(S;_s<(G53H3bbcO0JGjmTOr} z!EDu??SdTa45)@GhcCqp+9HboH_F;VW#M2L+U!8z0;17V08>^#e%W4JQ#9XSQ`E0R z>wHUeUXs^UpXVN5?i@cCOU?P2vm7Chp9fH#eaiqBP|^BvRqR;_4F40IgB?4Y=CB0T&M$fD1~sV1|+!dKZ;ejE0uf z{A`0RQ1i3b1;Ialo;NJ|v^AtQP6~UaW#i{!0ihvitQ2-j%a-VTSY(L=l6ToatmIA( z8YekvDy3Dn>3k6%^~^JSLs`iwg#BdKSA^TZ^U)q3-b-{b@GjnS>a0Qz-gD+Q=@37L zg$~mEiFT|Xi#~fZnxRox*I&Ysqbwo<1@U;@p!f?A5BXTf#<7ql&(_5{n#Wo!ar|l| zAKqXJQFyTgsZzrQf?Ie<*oK#&7mI%JVeu6)w+DwCW7rkEetz23F;6=jJ1fZyQaM#I zp;%c$xw#Qh&9m}5^BlN1k>?pL&-(Aovp$(;zLsalcjj4~%yYGtr|&!SIFflpEsx>6 z)0q;mtEZj5rYgo{p521l2if15Xa7ZsK6paQQ}msA>Jxd==V-9a`_4SKCi9Hf@_hIB zDLFb7!4>g(cM{g1y2728SB{b~n!R4=ZJ_GT~$p)SBGZY(zH$i*6 zM0r4WjkR2anXaN{WY_3im2@4V{OdxXkBf*#6~84-n$n9jtE-5hPSE@_scdz92`N^= zDijGzIc+h9O^?(1QkMb6+3{bN7B^mvOKaamYYfDM`qgV+zI4va^YsB=~IAaHOXt2v=KzSJL{VLIyqSR+%J|jX6#=Qe#JW`ZD zAQ-3JAEk(%X$n8tmwk|@8_ohO-65&?<{c9EV+G%NhlE$AS01^5)|3~w1%Lui62pTp z!vzPrBG7KMs|^5kZyBL61Uh9S^2BFrGxRcE05wl?$Lj_+uZv<3p#~?L7eerv#Z$8K zZ*65daQuJW&SX{YH3b-U4AF}KU@w{$!5^-!MDam)kB4nI$hxsMiI4NjiM6?(*5=>I zvJVChBb6E7lWfZS=c9#cFlhZ`@D>DfUU*=r8xTbDS}OaCH~1X2b2+N7)e38Q?B~}D zoY^#R9`?HQ+mU~4yw!%w9y+!kwa2BnD!WCQiPi3FH7$#y=lDnrW1<7E7_LQM4bJ=Y z_sjbs>IXJBR8RMt04LFjP8Ckbk!tl$k{u8PPO1=-)I?2}AV|gZmD`HAYyfMJ_?Hai zbH`e(mf?|Bt7y49_dTo#@OM7q{5odOA*EcGP!}Q4fSXEsSI4Xh3Zya-I9rnEI3v)U zm`27hiSBlK<6aq-9V>XS0e6VghoGIZlkS|o2`&N>J5ElJU?olY+z#~jt8di#+<9lI z^Jz8kKdloe_w+hfp-x$RhxZ?7X~IS6-ROsIF@%?J`r?lHtp+f4R{!=F1l+X}M-P!* z-gMd%ys|fB>}fWJ406ce>1Z`=5@a z#rF`%>N%*j+XlyIIBrIMS4ZG^!3!zu--Xd7ajl9hwUt0M^L$hrGFj|hRu>jE z?wHVtcygn9IZH+?uHE3r8xLht%;`<6Y!7&0Y1s33A!9>Z$QZK16m-c8LdNeBa_JE} zH!EJeE8d;lM#PkKAs2dIZxi%m*sy(^M)S}yty_dlH6dSUICqeI0Rjj$en>L@fwRUB zOUBP09N*jUliqfI8SG^Q<95|WNs)#aA1E_-aGqqH17)TUj@S79{9R}m7Y2>QzDl@S zr{HpnpvOL|`YtA4Ogc5Hrn~cN%z#mp10x*uM7*LoCvm-VrFxmivu1ah68F$*28vAF z?;MUY(l3R5=V;5nP4`X)n%AD0X`VqRyrZv-w^!ItD+>28k%Z%+_9B&@z?nFeoDD(eR&$!zhVQ$P$oPt>=&R~74?r{C!;hUaxbFy5`6grf(dr&OZ*bV z5XMorrp=GHPvlL*5=YELo@Q`-ZjT^#wCCB8QRNEHax zBcpgp1{?`o=23B(hlV_lq9&+Oz-Mj^pFuM44N%fvUYM9*b0d;CIs<1BPpgABXK;kI zkB8?Zx+*cDer3Y|Yol zK*i?qVjcGMX}Eg_Jp<;#@USzZa*>|LWq%{rIXv9deMS}@YJ_g0QQac++ao{MQ}oMI zoW$Uw@g&;ODMjZHqX7)i(%Nc7D_c!VInugPLt4A1DM_rA^-Zkh9Sywl1JnV$^&Lqs zM^b17(eUI7!jo@yZdQtG*um|@;5VD-<}!4dg$iNfKI(&UTpjI-~~)1dIK z*=zR?*Sq7PT6D>BWa#C^We!{Eie>55Ft$Fk3> zUPaXe6Te0ltX=K=kuJEY4G1DU7Al1BOiUrP3kpdO>}o+UaPHIigo=808HGTNau+<^ z(*)e_^u%8dKp6Ta2t$=7QAcu+8lj|(pg+wq-_Kb^RT|C+^t|2{y- zz<hxh(9;7H*gO=0QpHBG$B2;8`MFLF-1ITLs*Vu1QM-%H!Koi+?ZXezK?^7;A zN;yq%=UUcNug zzj!t7;eOJlF$T`^=XdIuZ6h;(uo9Bc@8 z7#&RH4wHkO`XyJ_;b5O}ht0u0hJza{7p?Tl|9FU?eF$2BluYQ@tpw3(Fq0jlt?+{B zYC`WpNCa0Scab*dHQZ%{>rdQ8TALq+3o3$|@!<+DcGfFOFZ)}f%uPI@6$xi?7wIwz zs9EmjS)hw-HL{>5`9FHxwSPJK6Z-!f@#w0LP2zxFti!u`JRo+*>WntLH6(eI>|ifF z3%zqTuF1^`0~mjX&Necqjz?WaHuFOMoSmnvbg;*f6k8mBfP$6SFLdO#;w-I@ebs^o z(|q76%~@eK2W7C?s7h+{NKOX}F5IRRwmVYPH`NfI;XQN~AD=dM9VJ7W`%gk_>>;zsGOET5tU=vUpr75N7eYZpHCs}5{ z(F`sgIHS@`d?UT3Tgd!4p$A7o&+3kl0#yD!IZ?{d%56&S5z=|qQT4y*TeYz()yDos zKtUIW*&S5(VyYXBjX2n5WGZam0;N27gG&Z+xc=KJ6dtZ3)3p>wgb|PDisb%vE^jEM zSKDdk?aW%o+X*x60~tvz%0|o*s8ML)`f6sNB~Uxc6)8MSZMlxx$JGpzDJZB@xFZdr z*=R#om1EK#-STu|Y2n&XAB1M`NS1};Vi&~wm* z{9DQ@_;(&F<=@53LtoNSd?R;2yGDG+7NaL*L4tQj1Jfvy{}=Jfu}a>-#rU zKB@5h6?b5LXP~Bjb|osvjj%jkAxzl;xgPsVqG+hU)Cz<`DUML1h-)*Oct z1z+rbBcbv1Fq}mEMN8UKW@M+Z_6)A0{SQzkwrryw>NMM+U~&em>v2t(PlnJ3B{TR! zfB7z40xh|xYR<1_{&M!LTb4kZyPtAZSN&$$#$ix0)i#WB9z)I((3zv=R6BVmWHf5m zLYM!^olvKH8wvx6T$BIRNSb~-aL!b=r=IWaP=w2MUj7EREHEsj(VxA599(6j51p(P zI|0eYiL9_;@aXN#L+C`2U7?1a-02Y6MR0S4{u$g65LsIbb?hF@Y;P~yY$McT0P5*C z5b7z1A4uU5cvRH$d+s9Cvz)sK_1wu_gnAaj1(fjzd``j`AZvzNYT$pAO{Uml>=-mA z5Iu8p=dB`J1Ii3U1MQ`t)I@d{o>_1!eT%r`X_3MB5<;N*zmz*RiOkI%Z6ce>9Z>!6 zypXW&AEBU))u42hR6JO&k&16~2S~-e+yPQC0tZGEb`C*mBxf^-m9EjbVRjOF+1M9&P9H!(m)=#}Q^c-CvXUNlGjjRlVqC0o$|bIIl$(cOSDq~< zH}xxMQwKxgAI<6NFngZLdJbjz+weBT!D@n7%&cz~G;u}9v3QqG0kpGwOt056u$CGcSR zOvi_)p+(TLpp6j5CyV+C({J?oP-=t;0gM2C2Zlz;A|I$l2}Yn!;VdQEbPD#Mh*`rw zi(5hqwbY+%oO} zj=zIDK*KHM4$yGr+yNS{ggbT!%*h>5*sJ9Z&|TMa#}R@34|hP7@Q=7dFS0D|fQ^)M zxdU3o&D;TfyF~6WLMj0BU>}jvjU;G{m{N-I8~~=7j6?P;%MB zuK5M6aVR6K2Z^V5)DI#X3%#)iEazwm9re?8E>;oVou7bOG*%ws3c-JeGsd26G+FIF8w=e7rmIh?xn8M2@za!zN zvXMm2pRGm8`DJh&_dDCP@#c!ofyE!g#KVa9v*l~HDvNuW)j~T;5q6Zn=xC}xVdhi) zT6oqpNtpE0;8ORNi{Qfa|6hE#M)XC0A|*b0nBydUDNmylSHa%jO!ON9>k(+g-oVYp ztU%yN*y&_HK^6c&_W!?1nH9mfP#${nW!=H?El#Gc9>R*~g%M<(o1az~EH zuH+8v`Ht{}^+9B+d2Ju)9Nhr>;rgvn|`# zvn|gb3tB;hy@-ALZHN@=Y!c1yF>;;5Dd#s7QZ4xnMUIA|^ii0f^0Rshc^0)7(Rf@# zT1G&_H({xy1R^O;>t%cnTfzaUI2@>Rl*m&X96xJtzz7os$whPFIqnR+#izTDhr?tsThZTHDj z8XWmr+dWRS9iHP-;4NA*n=mvRMaHl%^s#?FMN|F|R^$hxXoY&PLO=PMtWezoKi1`H zc-AzP!nFY|b%id63oEn*A8v_t*JHS?6|`Dzqz0bP)xUu7?c_^y2WkVM*8g+9SfIZb z%QcFyT_TGii~u^o7dF{y0zKA-!1SrWM=0uoL{WV_#Sx@9EV3G{C=(C-9D&C~_B*XC zK}Qvky{1zlTZ}+xj@Pjt0zldc30bm5ePEy1!hP78Wi}HY2kR(Si69<7mB&w0=nf1RhBj7-q^;#U}4 z7e=}M6d&6NK5LpzaN?vNZgt=vQX@CvLp2@&e%-%V!>=UgF*I2TzY?l7Hf3X-yLz@+ z-F@t?Cuo*6fuFs5H4M{afXTfTj!_V`{~0rh{SY^5Nm0~03y3&!A~lz8I*9O8l^2uB zVs8be#$3QZm2LeC=ZjQ{;_P4YxplJ1!=^6cQ>v+qa>D9_k?4<7b}n+p*vw5Q74!MD zxjO6g`Q>H{b&NBpcg!N|nv2;>kdSa;@g_L>*mgjelDmV%YC3bEm;Go2byf}*Nc2lu zAnzIZ0=bJkbtE&so4bf9x`kXp3Y*Pc6m~OQSTsxU;WFdDJPIza5^C_{C`T=KDJ5o) ztL0t*kJ{_$YR>C;PKb_wj-0e^YO#7qff!dBwz5QBOw9;1CM1rxBajOkFGrR>b_Bha zk~?0Eu|?4YjhfiEiB;|tSUQAcZJbi$dlt5#_m5MrU>oY;4s1g)?!Y#*3l82pDY;J} zJNBd3q3J8k4A4Z$ET{qtOn@8oFU$<0w;jw0$H|@8u&O~+h1nr!E@L0QiIn@Gq!Xio zM9|v6NuMjjRW!+(to|Mcw^JC<0}4EzVOBwzCxt*dnm;^Hr2V;`T*zXpw-Y7y;}fZ{Lvub@ttU@XgkYiz5CD ztmny9nZL};Z2GdM=_|;AWIxQ~VqH{$WRfeP74K0D4o>L}foRb1TF?k%T0xT()XQ_o zqzBeb4Qy6UuCn~Jb@BGX6fzr&mGkR+ka>edZQd@4&VmiLCcC?E0|bH_AV8#sx+ib2 zZOAGvDBfTwE-Xgdob66GEGe0XPBx(&sO*Pth$S`RPeYI`5wyYKwp=W`H#oSA=wA@L zz_dsvmlIv_M_R}r2xAE4QXoAb!_dL%a|wtEAY%yU)uHo*Rna%h%s;TsiWA6Fg0tb& zIvXq_eJnVq&R=JOtB#Pl@)L82}n;HwlTRB`1wFKt}CwGE4y=4Y)wciR&(x6K(2dKQ!CwDFpnVtK%7V4EE z8_9iK2Q`RGf%~`y>h&V~_({r_O8Tg`i0m-;4apc8@mr|i9U_bHAS(r3KtVF>$@8Gh z4EPR;Y$JRS%frqLHUrplF8SnZIS@4L2pR(z-#`#lY$0~H09O4okJGCE8*LP#xuBQ* zF^v#~vh727 z5yRn8_k^!b@Qj!9jGv3_XUIr2y#5+?2a`TnvAWI+H zj}^x?b+VG~GxvmX$dRpMAPolZ33)p9=7-cdMLPC6cX)Ix3dM9CvZF1jj#mCw}L5fz`B->J@6@Q1^X!Fq1{NSZUv+@1)M4_&+13#Xqdmy zi>+WJ@|9=-0bdW!GGQ^&DL?Rg`N8{+``yWXUgi#6RN{?D^`_CDu&zRCuQy3;!B13bmnZZWuST5;cctaJNG$dNG@IlH|6llPP{czt#`<qWYcO zE|VW~ISB$Edz37~RpFP6DL#y^#O}r~2zAKfG%*~}4+j^WPM^CWNgkDhm6QT&yp`Ly zyuk^^;kQ4z#hh_DTo+lhdRaYURe^lqqXY^V0vB+{W%%HLz898P6KN(S(;Pa+)1)AP zM52~|VuzD_k2M|4gehRr=g;vs{WH_lbSxWxI8XBX(%->e4WXxU5d0x#p@rm59XfyD zv7)Af^>i8d!a7Ov-@)#?t}m5kY3>l<@R^XQy$7riH z2Cd_jF=!$GAK)vxo$;F+VNV?gk(IDL>QZlMn7IDk4}c*h7<@^UE1`?6yYck29}OcLrb=ZG*JW3`HFRtb-~Km8moOwQlqLyg~XADu2OMCK(i_R*`@ zXh>#;%ParbuQGC(#0J+xD;H38tkQBdsTUprEeGf^Rd+kmwjiw(sg%rPa0#Lfh2UO< zBlIr_-5l(bpMis?BT4BbR~ydP5jI4+PvT)$fvcf8f_7x9?dbpgR^{i|ss*%zt^tX> z8I|y&8TB6~n(=4uBKGYO?xJ(9N4bm6xmIu&9ijgkE;RQke8_OXw&m2^dr-&H7}HU6 zIXpD98zEpDkb~#2)kA2T+zV*~`{#Drj#xL`4wi)m@pF|6;2?zm3r4%-bCj@^Cv3%e z*4IUp3g=m0aEH~wPQXF^U_x?jS#bOCODZvbkXJu|O{$N*_dDA4@5S!49^wTzP+Ofz z4w~wK(5-dAuQu4r>1Y;a6x5Z~RY(POx7IBtdJT@C-B~C(^Xtl-<>bpGdJaBVre?FRcQDMS|QcI^P)$LI~3iv9{A=`BC_14<%x)r^oU` z@qZ*^?Wf1`!=7zwELn9aL;Zm02h#-DqzAOJ2cY(`nLtu>b+MO~eEqzJ;_vtuEh!89 zm{~S>)Fm|xF3hnX<3qc>e;M89_(3w)^^`D36ZFFm$VC(M9qytD`Va1+J05Sqg<_83 zLr3%`Ud*Ad5|w|>6Versb=*Z(^`7RgEVv%$u57qg!i8cQ@u3r**TB&9v4GHriuV6>2%XEfCDZfF2Y}iwQALxPyHxGw{{WP`}Q~y>LI}El4)D>7__L}Op zf;OFHfn)*p5SIZ+pNNuhFxODZSz3@ZtM}7>_u%mnmGAOY2Op$VfL%>j#+9=}_;Rb3 z&RF>_hI0X60*wd4o5V1+0{h3yRE!UhUky?TM^}9hor2vfeO)H~WZ{P&O+$7*tb$wI zbx?+ZR#l)`GAlj{$6hd-uwA>p&q1SMYu{h_XVNekl!%x zB<>tA33O``zu=w$yX>Dts~bdi{=dj!64@u%UHFik0y1!-je}&0Gtq2Krnr}V9zms8 zez4CBm!qMAI)fhoOylh3;S&ROh1oe62Xdq6{wpKm}5Lif40Ok~$T9BZ#e^IYb!5sTo#?;!0GnBi@>@CeLVpSKa z9(8g-Qv@d0g@j)T{+=nATVC!u1jBv*K18Xn)K6uOV|uE-oWk+aC~)qMCn0F z9t54ErCZ%(>-jCAJUc5vqT|NW_hP{c3vy*M=hK)R*f#DawijrjpG(Xg12+)am8h0Y zZNu~csW0WT-%i@5>}44=Mc7poEHfiK`Rvz-Pj1h$;F8JEH__I+&XZwY}Iu`ji~q9G8vyO81n@4ykZL?_}4KUV1k2})84*uq`{ zx`O5L@2zYCzF6lNGY{c_t3f+~2V(c3NHAd!<_{cW)nlR-$u63qVv}AIdSueN$9Yx; zWtzq_VR9u9#S8l&TuQ+y7QYGl+WSAb|5$LzgHDH|8n{EOqBr zC8x=-&6tUM!|b!yY3lC~*n4*+C<@|Z^5zVTs|n+3MC0kM9%%h6))b`)@3&&?de|ah z`9R-#V@mEGL0)etj;=Snww}af>rE1bAULOg9%2f%W+}fkmct(O!Tiwcs*w|XU>ar8 z>z))}guS#J-C!O*8MbBbMQ=~Sp(A?+VdjDedjemb8FuSdaR+RskOh@7u*=Bq=h&!LV&Y6-$LC`;H|c%pKNS1dTj>sNlZQ?Y0<^(~n!#9^DNHqAP1~G{uF@5+ zH|$t%EC&3=>-Bx>4Jo+>h}6%031ptA52yHxU*)&v$o?Acv%LaBjELIAeR#|x_QwN+ zidRDqEq7oB+{Yc*FP3metH5sI4)psR?!Z-tpL54SfmNI$QZNX418k|$ykk$o?O?~Q z12xDV=k7jo`yK2szIpv7Cu?~*PCYHcKs(bO|6)wjfZHOZi63_ZW=uC zN;qyLhYyZPa9|piDFTca)vw$J-nzWV)h{yRIL_~P-A34bC#*FOP;kmeL{ z>G5U~%5BDK+>1w%aQ+=my!^31JD?uNV>;z`6yO3+e@cB9KKnVm^MwTto}Hd=TddIr zqwH!RMS!BRfah;8MH-9^rVOe=ZZP8AaD&N~U!zPztRHVaqQ0mLdWF6e=MoQRCj|}e z#|k%FCzYG=*4ek$g_rL8TlxB>9{6#*NTxcYCvbbn2bW`nBLtwD89eE}@7FvIbIX z&~zom!LGrE$999XSL$juN_a@BRG~~Lc;H$*JrtW zE>DHv@v(xO|4DllJ1m36;zE#oswuTpKR zS2cd;b+5+n!jkE`G6h5*U5+7wJ}OU5;e4-e%9e@JEF_jHkXC{>&sB!>u8I;@nM*1Q zErEi<*)@fYIzJ`#P*TsYJf4aY&!Du(iqxL6?&X4KzGv><0jU0h^+f+Q<3@lU&%E&} z@xr(GG}L2ehKvZ&E5msR=~r~apQ6;kAJ@foNa2o$YzRwJZlJJ`J|0@kldK|dO2W5* ze9-Jeov|!l90wdU>nR_V9WO?|9VG{quTyUS2b?I2++V`2b{i&Nc48ic%BVeeh2{e4L^*)xy+qpC(IOl)Sca+m{s?Md zaAJ+3hXXrBc|4d$zq5EdEmS=AA{dY&+zA{OYQr<*O)I%_J6})z)g?XkKlaDilN&ZG zmSMg1*P@0ek%e~DPCJkg3RS?S_#p&#!#MR{H5-VeV;+0L5(sCsl7c|hQ2jqkw>$|LuJl}`UN*_{%Oj)V1*)c zL`%9n2lu=QSxJPfBy$?%(k^J8j7Wr!Bx-TrN{n-JSp;s^LpgZqY!z=trSNI`2vr4GCgl|+Ky%a9OL3i@5L zF`Z^V$n90c7@fQ_0>1#4m+ftOTVzf(IE{V;<6kGSdDC@^m7O7UI!d^$T zER{rWPPd>PYNDA)WJaQ;B`^e%k}C_bVnFg56ucM_N_11a4pafC%(*C;?6UiCO|e`8 zxquQo0p4xW8@-x%-29;;g2BNvSi2WjPVj)z1b?eivjk9z1!~>0Cx%X zu~n`sa;J~PT%s?{DSTsYOIoZL?a5cro>9m%ymxyI<+&7j5TgaXNI@1Jgtl!2TC<_8 zr|tC+cS8?p%Qf0DZD>5-2n<8vL(w^SoAxFu zEKcB_?k%L_;KwUALl}+6H7%d_?A#-?oQQUx5~7QuA*l15Mtj|LymqZ|V&garnPmcD z6ssp*fp|0GRg7}JT%qEVl)a7PG=y>j>?e=Scvl_kmd`^=NiS{7i4e|nPVcK%kyz`i z9h_|)=&Ps6Pkp6QRPoT#cmRz{IRTbnO^e*yazNFuP3Tp*7m2Z!IU%2>WT4gKTlO?g zpiH!qx{uRJ$~`R`p_C#un}z}o?cv#QmeV)^@7C~(x!;OfMddOA20Eg`^+om5LQCYv z35}UujpIT~8ndv?;-@ha$XZj#4a0M8)ofgWs(#yx{dX-22N_sI`>zCpaeC!pHG~Ys zgvt;CJ8ytHUXR^Y^^PQOGExs;SZvH%hM&x3RLsJ7s1*6qc-a6$%l0;YHgvZMP&C!OQJ5ZDg6;lj8EB=`2CF-Y71`59 zW8A%8pg%Nwd;}=FXQLqEAwE7BH$vMkLfbCbkL=zdr2h!?u8Z)ti}1FKZp>T+kVATPn9-RhYt;c>;Aza9-pCm>AA5Ho zFiQ<|`9c!t_1XA?l3-;EK=pm8n{%s_m@vLjz(3nht)EjSdCJ~sJL*A^*;;NbW+1s* zP$KbDJaG;-#>Q;vH#+Q^#L4e%%%+f>!67+n$7iGCbI#K7lG=rObYa2w?)Sg!{`P)v zRK++QKuZ;ja{9b!qse`IzE6dbjF8z|7i-I#;xIIm#OJ=T2$TfHxXHAY4dech7KeGCgj5b*&H zuRz>U0g^8)F^>;g_raZ)cqkN1?SB0DurLA`5-rjN_7_YrG9z9PRbJ2=3uG*g1R67K zi(=l41rcv!maU=_&Gx=(2zc5&URvm$)sr`wyjUUu={E+fnQqCm#8b5#+l*(A*Spi} z@s1w%s=?)LYw7dKje3tuo2{fQuP$wUCWYW7!AT{ZYImmU>*KtBa-8K;v&hv#%_Uoq! zPYsn9f!GM?RmvkL-iTLK2}^Z@aV0nWL7e|(uhSksKi)`bmlEaB2ohsSd_Kpd@4klE zM$Sc}5~3)GKYtaW@uNlPAMTw-MSzTiKK*f^AV0Yqj7AN6H1eWSQp0+mB4&00^kqTm zqZrKAq?ExNDd>n*Koko8*b%R{^@92Z+0#m8A!#h=7(E8@!+UoO5wTU&YvIvgp`P;` zPw-^nprhVKYKwjkieWj@K=a=d`1p`@SiH{ByCXyVQa7Ji0vm#?@j4qgk!8dk)ERnr z{80RI$o%#?lmYtRi=Oh!Zk3UrLrnY-&cx3F1OKInUvTbou*3M#1I5$>==eFZcY*A6 zTZrccGmL^H>x$R{vx(Xe4dVQ2f$XZcr(58M-HIZ)-tLm!2zTSs8j`dT{II7{s5Vui zroM=>RUNF9LKQ1?A#9LX;qUOOkq|93!gHEvf%Ylog&it{bppvoL#t*`dU;j|cjfe< zannO2lydo4;gcAi3Xf}nE0j4sG>!sQABh({atIr9$at3hVH^P@fj{|A_J_N0@t%0* zv+c_vRaV_00y1@f2u*}1u~~$&d~Ed-fOW2iR#nIp4dnong^U>cTT^@?Q@0-If5OyI zPRIlEl%Y(7=ciC}yCVYQSJpL`54Fs9IFC*q}vAErk?$(}kE?v?ysC-UMBg zO}ij{3c*(J2`%ktp&Bug|M#4^cQ!%V-}BE0_RgI--!o^pis;k+bW4kXwo%*)$E{ z(rEuwe@9sF2zS(M)4+6RN4dW{>|b7WyZ;Yyt7<;-8_RE(=VJB<1ra3=u;Rkq?eb5yQ*9P3IKRY|Ms=xJ`=$cRPY;2}8># zF)Vm?%FY3+(K~8L>@dW>K_hW*OX@Q`-;hg(Twsj(8i&7kbGCoExAFfh7psnbj9C7J zg7YN>{D&+feN5XHnr7x%czN}?6;jW6RzEDy4n%+sb_Y#$d?}+t3wt9zuW=DfRjJ{EC)WePF_&RFf z^3U*x8|yJYJq0hp89}@dq6%*v4Kq3#!gqx&dDrf$>nKEtt>vDrL+6g|zjRZm;CgVW zzxuUo=oS;pZkk{(qf6F13ed;AGXM?yXLQ)9#uZc7(?LfHo*SUHgqpuss^b6DQL|{S zY2~}EgJ}Ify@zg7*)vNE_3mlXg8%Pzm%RVD?&8L}%fCL@i2JSUY1;B?p0<>e44ZbE zlMDrMIO{ZH-V(hn&z3x8hL8RbP|O*H0(Ft2|fWT5*+O*1R~SqbNSDqhM!!N0Iz= z??)i=%`V?QHCxBE#2$z7p)n4-RFK zZm^JxIr%x44JVlTVsfFW_a{TwebDns*@mzC6a+gwk*U*+QD#uQs6e#t;>r2?m)3kd zIp+_rFg5mwJvaL081@*Al0A>1jQ)wZmg3une;NFE-*Xrk~Jtke`YBDW4sw*c7;Aj zo+f%tvYYikC8^poR!P8Or2IdNIX(DdUQloS=oAb0kqmNu#BsWRAE^ zl692aiu6HT=M^ty4P$!!P^MQ6W17`@$4l9rFIiu5C2FT)eh1nrM@Dtj1m~dbK=+E4 z%HAP8O*d9ffCSXtd1Sy_FD@8(8ark{LBSc| zHaj?bWp&gVV)_8+19u0I6*B@usdZB4j1Dj4zx|}W_NP37+0l(0o<>{vY2V93RoM4} zWolFu-p1sJ7R2eWD<1(Y%ejbX8vZK{wj$a_XW*AFKhDdb-JyT@>fKrv z#M$>~KlTM-Tl~!EsknC*9hq2W5fvaTJ!v7^dDstz9q4h5PP0Simo3+eCl|ai7%|TG zl$i&83dlwU_67e?uUc)_y{q%}302!a()6mT?d_WGt=jIj>U!JYB+HqgFQ}to(}Q^3 zZ5hCq7N4WhC+qsB`V-hm{3KX7z@m^?c&x-?53^{p>Xmxc_9D&T6z~O@Q$TSFcxIip=IJPj=h_~_EDc)pvH+~P$#u;q!nTQi=-S*JOn+7z0 z%bDY%zTu5Q%GuavR^=8}C7n;iE})BkOnd*xE@XTH%3H5U2QhBWfWd=y(pfu(*K4Muj&7bPC)1}nV3;Ep;doSCt0O4}a-Lh27t#Z-GuG zExqE+XU%<(w0}RF^np!dKl~|br6#u3zk$;?pY!i$_&wb$##(7hvRk~1uXFYMve zUnrFO;JyytNJ}7&yQgrR!hPK~D_cIy4;hYId!h8oZg-PcJ0BGyK1)qLXn;UZe6op;`~L?YvXfL!`B2%F-R0IjY2LQCu-#0r zZhNy5<5k~8e)V~APS%Gu4nrkA_7ZU{7q+;HfugjV0I| zZw}Il>R{gWy~1;g+%H@mhAqMII5D=pq`x{M-Hu19ec`%lJ>s9>$0O{o_C*5Y1O7<7 zE_^Z=+S@Ds86tCIU)p#{#reSy@_pELMlNBLu2wJ7^?ciH&AKC)21(!7eA_?6U8KTp{UpEUNYe1J!ycJRyunFkI~mMaDz#=Xh%!Z3tbLt*=8hbBdqzV`I6vHwbHe-u)s#&vMuw!xIgl7*9`bUGAAh z8-EMM=lm=d(|{vO5r60RDp7}LJhaZSXPq+y?xA(^q!{(leK-7{^9@5~&NmnUN7kVj znORr!`mn6K7O%bHr$`pE*1q13g0(x5hWp&&*4iFx&|T5H`(PUNW0a5n@%}FR4w;YS zcKi_e=tn*VCIs++&U}&j@%4cSRv%9WYoV`8k?ar*;Di(F9dE67S|V?)&yK9O9}Z&Y zH;$Y-#CJZGJ55Ces(xta`JgB~V#C0=CPX%HJo{Z;{E*D5V9X5K2 z3B1CEXFR;#v1dJwJ+IFWuV)34{yw@M`omVk$}V@8XK2x%aIT_%P8GUQo>I09Q{gAS z;nI(DzqPxp!A%4ELwPe${xQM{#5WDp_f8g7&;r?gARPwB2fOUMqz=jLcoe?b?E3)f z2fG|^$J2}&5}XjE8WMzNq46NskWlSwy<)HboHb;db<+U8Kuz_Y`M06o!*x=Lom@_jeLc>b(g8)V2K@gy*P#)V~}W2mLOfu@k!H=eOEv z{7L?C@=taQG#+&JcA@X=?Q%!4gV}>k{$2SnT-Pixxo@d%>$-*n~cm0fmRNB>fr zEh6!al-^L~Ficlz^n$Ys5YT3Kp2aAq>^V&oxA6WDiPopGgiD~!wG7{cHk zuQH*-A4lI?U+omSe@PGkW_NYfC za@of_BK0_9$vsT2H7BjED?iVgb3xpSZ8lx7R|m1k5)VuobYM);45NdZHmNH=g3(EB zosO=&_HanQh?WXnkZ)?OuPW%PM!eY|s`q)@9`gFBiLa~kfwpdHof+=lQCrvLLCh&` zuNl89BaV~S*iDW7w(!&3xKi1pVLy}d)wHVYF03VXd4~G!vUcG%(b_I2X5UTH1I?9@ z`r4_@b(89F9a0$8Y0hTMKMYb-c@0!%Hd8!dyehyu#BG#cXlub zYgsTimKs(-*U;4or{y;Q0kxDUYckUq7` zNxfQEzOO6a;m+0_UHOxd8&jdC92|C;GzNtbqdl68^i_`m5tN6aKYB*=+0_KgM$hK? zYQm=-@KG49zNoF6TuW=#zPj4nES%kTr%xCIc1KWlCL><(b|;`FltvBZnTmqjoqC|l zlk>4wiFcf1WjW~_O8#|JZZ_K4o}oQT6XR^p&=D;m(}y?~ytM@O9P;aoFOPhm;VAKI zmA9ZzqeKQOyPRlNCbs2IvUr2!6Z8dwZYn^xR6dLl_F|%dB$6=ngzglG`OAUI#xA$X z3JvGESh*W37a_!81)_7Y@~j#7*sAG`gS{`Nq3cS=5z+#d+T0>326dH1` zTS9fp--}VVzjrkbHxMB{B(up%lA8`Uc*shUE*3ChAR)?|PY-Sj_%T_IiB}A=i}3zO ze;}8)8u^>CDLsHs$rjQ0OPXrWy|{9J6ux3Etk8ZTOu(>Dpw;SRpde1rOJyCm4 zv)@&URs=^+qjuQxz&Gv&e1B!*Ob&E{FEA(t-(`GoXV#Bcf3QPO00#ja1lY-dJq*~( zfH(kKEaEB#1WLD_p*9Yib*cPyU(4kWV|lh4ggsM9J6YnUsTknFgbWViX8U1ACb2r3 zEy=|7)%M*y2O#`6W8Axo-MG}rC#vx7do#m_WdczSci_Q{Kp-#`CS}^HD%Sm6Z$1#% zruooLMRAMf1-*Dg%c$EZr|$__R_KiNwWuJziO~en;1QidG&<4XAdHg^!c>Vo&|p2u z5%;gohW(SexBPWH9Ow4fLG=n(yiqQ<;1E4W{C>N8wplh%8=LfN#rnMbYf|Iwwqwt#d~`@i)6{ zsKsw~+b{tG<38v==s?Y{W}s({;UMNm-yz2aoV)ri`dIPt6!g&v@i#o)5`Fl^a8n$` zQx|{0FM7eYvMP+(tJ>&*2#)gd+o-{rFH`16i_i-f$57v4kE$3QDEF#v7)>=ji-vj@ z)kbkgz3vDkV9yF&3#OL!yl)%r$&3h&nT306{=C={M)`oHoF_t6n+8JOb@?G!$XV>K z+SKp&t}FCcMTjwv6 z1Ypxg78WSb5kEIGM4mMH}#?Sds{PQ z456%-;9{3(NqtYWWK$oXL#d3qACExPh!?i2E2EytNJ2fNt@lP9^$47}bw(|MYY|+F z;E4zhA~=ZPV09!~9Imd97S&Ct3kSn7?-?X7g5=eE>m$KPOg~dy8!e7h2ct#x6WFJQ zC~83w^kSvIHWof}7f`X9Gp?GuK#q6M$ot@^y$??Ax-@b{;t}}h^bGoHqvh^AU$i{v z8F>Jvx}sJ7`zYpE!w@*dXcZWE&rARnHjtPwh(oYdWcTql z#6#4#K^jm-W<_del5F8K`b_x47yAPASrOfk*psz@$QDpQj#Jaffj93NKO)}r{%!iE z_w`w|`dty-?Y&F)d#+mmF8;gxV3?P(0Kaf=df#1`^!6Cuo8I?(GR>svB;m)K`jBhh zGl>v#ugXvD57q$ldt)=sM0_vWp8z>_;|$csXTLZQubq9;uOENUzdw|lmI&qi5otqv z0@#CAzu&*#@0w22iP5UOU^N6i6~ZqviFnmk-x@8}D?@tpqv}Uc*2hQmXutA1rTj4A zhZgZc`kvEsVOc3*5ve!Api;ET>fbu(-Sknk{Jl*lQ1<40!N#)g!WStYSLS82*X?%$9)`za4cDBpTU(c9fxCeGiF+GOW zqNV8(YLDNV`^j7Jf%sePpFOd^%aL1z!D#Nqb#Lt*$aBZ>i&pBgDAjqmVLB9M+FVtL zaPI&SUj#!Rxfj&EIFOeO9fI`COGNtOKn~1f|Euzi!50Vc&Amd7I^=jYpXI?|qk!9e z?1*wk%XqRFL&9wLXbk_dFT^}ZTueo?h$dY+a70 zF3!hqub7QU1M!(?BX=FOAcCs55g)@g*H%H9@_=WWA~5#T48+T;DoOO|DB~zp%`egG4Jx=z6fLk_I7Cdq7KdG zu#C1`Yk3x37tWsh0K&{}>D{2OhKGOj%y=z29ZXEdaRRck)`yNkix%dLGsCNUq6N`H z&nOH^5V1!eA1&%~`wv75aHbh$DT<95Q4C5f(W0r*!hn~W$gQ z6u>MDzR5f}>6%Y6(JQ0)_N%LcQqX+U;51lQSqJ$M7eMrBX+%?}qKVgGmOO~g33EM` z07^lvKTzrO!yI2=^qBF!TJrTLhWlG{#vX_|d!o*+e2+CJ1EZ=gyR`A^?{Z`NmKMhx zB$S*@pT0OEZKrv}6=kws*XkIc40v+rvvS4zX9lGtINBRm*3P*dXlHti_o=ekqh1>C z*Kif|&vl^Yy4PDQjUN4W?&vYVvFI2V!(0pveP+;*xP?xZXFP%-WtV4k1T$QEBYj~V z#HZ|n+U5m1I<>BB>9>>pqS^5D;0|Ny`LgsZfM*d|r3iu5Tr>mo@k-~xC*d9Q?C)x{ z-~GpsW)%)u!&wO@j>PJCsCESNy)&*t`g=ubBTbsQ-#j!j?v7@oOkspScmh%NMeR-$ ze+0aXlk7R^C);jAqN3SHinB%c)>j7k5N+LUn4?}-oLE;<(H6F@E92jCKlqhIdy}aOv*!{*UgNKn^Y+Cms7%IB}kCPdpztD9zgYmUMzXaPB zQTy?z{buwCm{ek$$>p$>^-B|M9UKH<@4DicQ#%4H8OVS?z++XEETC+G3w(FsaWWKs zi+Z8KR=lWu!FCNZmcj2MNak#Yg<30dLg5f=>gva#1iL%r40w$Zw+!_f!Cp;6y`1nmU)(v=E0d|dpY*z1Cbhsa z2m8dJVVd47#-bC<55&{(u@yo%w(4n}!DzwCNl*$;G>M*d=v|_Y2z?-b@e`VK)M=Nn z4s(ZPVD1IsHm#nFYE;pL@=Gx2)Xj(Z&+mz3yRyir@Yq9V*uo#|fNK%7)4$Mqnj;vV zN172=lZ*?E$XqcKagiO0XNSkL_jMHyx@)RcRfq+{BoFwwM)I2B8-K>^7nzXL-fPZj z?-8%uKxPg`PHhfP3giBb5p!`Ng#+_6LW2v*M^f=e^JJDQQ7-@EI(1x>RJ<`LSBu3Z zaH0qFT8~$WUDwfK@;9u!SX?gt=TaJTVX;^w5FnR}v+(vNz43xEuT5jd0j(>2FixRq z&4y!xgQb5%S=Vq!*&n}!vb(ha1$P@x*x9uum>N<@prS22;E-YU^cPq~ImQr*SQJONMhN1y6q_IFWti1kCvx(uxEkgR`Tux>V3=Nhb|^u*e(xD|5$pW;a4IA}hx zK5ng}MKO#~Q#E5btiW6sD+~0B53j|?JC>%gJof)sn*J}z!n*XMKCDaourBT6b!i{3 zOZ%|2-DLGu`e zUpRNdfe3|vss}rRHR3{ef9k94tgR8F;OvR8d2*bdL|LZUpvrrZ^;)DeC_eiR7PM={ zDLg)|*Xo?0)$*K@4z{&H$7|Z%Ukq&*KPpLn0xgg(euT(QZo)=590`Q-!{PyW*m)eYf%J%uy#f7auvdJxm==Mw z-775d^?upG;EPSyAI#8qFSp>|e*8ye9!%|lBL#)_->DCB&g!+baw@5I_hQR~aw19B zcLRs3n&Ab|+o}8U0>`#gM6nce;k%bvb~750!LR^zXkofJIc^X4gn5MFvL?bhtz+J> zk{aE}aq$c{A^)@%r;EM6k$q8Y1{%Qf!77l@SHKeNZh*nZ&Vsf{me_0r`C%&)=n715 zbG=8Yj5e$UiuSrRio!}50z`6fLu2VW3%Ru1GKL zTCwoJDB5{C=GR2yQ=})Tp#YazMt{Y&q&!UC0{iCdn6HUcIHYzU(-KX5GM;2wp^1O6 zVKha*8iW{Z3{c^&Ej|Qu=VIQVaZ##mQh8#bFS&QeL6`WOh*SLn9t4Qyx zQ-mibobV}lab@k62HZKLx2>4)&R*sHj|tEJtbwGVOY$BwrE!?j*iC6X5Cg^pr5M-_ zM4~n1z_^o=$0Q&@6u}UA%y1F};UpZ%V|tSy@Fw9{9-AvKzTO>-ug`Bl1wrk>J;EAl zlq{-unrhSABIBR`SyF4+hFbKt7bm z!`8J}{dbIy^z}NTf@uPd>`p{B6`GGs!n|U9y&kTP=rv;em2V7AMOMJKA;LZ(_*7q8 zBfg4f(sZLvUKQ|)NIQ{{n<5cx#OkMy&{U3=dz5@FiA} zFT>GTSVi|Z$(By4qH3j}YAwWa#zw1HhY+w()-P*yX*@Hw1za{;#ep)81=mMd#RHP| zEOFu>+-nt^B;l9EIf(FtRXk-fI%*ZAV1(&Et0*$$veE3J-6lL7;I@g8LjwwJVz2`3 zxtR;p{#Mw;gK-Se#b;&ot%Y&@ASTZ~qKD`uFO!(Z%SGRyeioAiU%n>_Q%N4|4YEr! zyNNoQexbdKweQjXv>*! zmFR&h>=hmKs0z~+3kO2S#UHPDV{pt4Oe9VM)sCp!Hz`(zHil=T+&RuT`^VY0Cv=6& z&

bMBV<*f08TK0TP5e!R|x48RNtP5Eo;?c-Xl{?Rj1i?G*O4%G4HG_1022g(>h zW4>#7G0G$D>iH_hDfCfG;}seVpU2N~F_ND(B8{J6F?cP7H;GUA*(wA*X(IJQc3^Gn zFgvg$mS6|wc5J|XrR>;(d)wHtM-x}D*kTnJvx4Cs^fT-@Xca$WN1s(JWkO)O-G$0qJ&2kw$> zVMn=5+{TU?o2X|;*d~1JXtIeKcC^~W4eVHK6IZiixlLTojtw?Z$c`;GaXvft*o2E6 z2W=vq9ep-oVMo7Be0mMZ?68Y}vcqE+$JkM17l+tUZWn)KM~z*)&5p2LY-2~0U2I`T zt6jXzj>UGdjvdSG;uq}LU>D2Su?6>kvSW{3Ji?BHc5y#D`s`vZJNoV7c6K;Y#B_Fe zQbd3qxN~y~JIYf;B^)UFw6FCPwZrr|hgnF6c)J~m!Cu~aOqOCxH!Q{bpRS6Y_=f8| zSS`y-i~EB)nVMFtwUrdOqbKlzmI!SRPA>{ZPt1u2!%GVm9td}aqxs#~b~v5vjTVZ7 zS94St)Wfn2>e)_;4-ATk0mbWH8T|)Eo-H;lqsa5c;fH19LAMUV;sRW*14?WhIS||d zzfL_`h&y;r&@^wcXk}12`T^X^tGj(bTvh-}`6p;%WSWe8fa*8Ji!Wl){2*owvHk<+ z3^@Bl?Kfx&CWu=I7d=2-3%;7s8Lze=X_!oPiYdQ-WpK{YHy2qJ%J-VH-mA`f_a@)@ z`k;!l-mgx+r%x|R7bVGez7Oa!_5Sm=aC3KC7@8w>V#?Sdo}eK_XnN@yG;wrStqr2S zphW%nmEofPO36A;VWnEm$VI{c;%%$-!|A@vQ2Bp{xR{^?bvni zo3LXbt-ZpP>znYxKzo^M1bNun%U#&hA7`()^q>a_`^5CGVHvlM-rQsAK;d@Ni_M)$ zb?g!dgV_n3yFmypm4b@@a2ghliGpq@_J|$EYZ^^%7l_Sxr5UmlrOA9#`S2b*3A2x% zMPfHU%f;{cStEYK&#-uzpG{&tKU>8rdQv_-#}4GfQ|v%KEMf=p;X!sFAMR!c@?kbR zkPkE1fqV$D1NktO9mt1jb|4=r*nxbwk{!s0udySniLbDuNfRD+v||2)9g8vl!H(sc z_<~oGH)!G%JGN-z@9fy4iNCVrAnu!BN1rC*?C96TZgx1V;&<%uSjDUCD6)!;>?pU2 z7uZo_70XBy&F$I8)ULhDm?h(Jd6bmCTy(E^yp}8)v zZu{}LZ=4p-UxI2oYH-yP*#9b?#tU{aM~kb(W909}$}C-p0vpk{0%da*8K_2ynhg|; zP1obCwyIVlYZ(<6mjDng@ua*PEy4DwXvx>yDf^=(*a?cwH+ox_x1vIaZz_QSXtBn`=j5_QMWQ|) z#{N2uc7yd}y7yn`5PRy|KEu2wOr{*9HDCw8r8q|jTLIB>T>i6lew){YdFXQ5tUVud zrnJ{=aO>kVGK%C*z*-p%&h(|i57E4c>OgR(E%F`)NXRhE`xM)5jP3dyLnj3B=iK5} zg4RP$N6s|l0Yy>aN~gu^M03uK{C>SF9pcj$)V11DghvIIa!0O=3aklZM!_O(x|2Sh z*ykX8@DcX$9GtY~mAs`HwUnIf_ z+yW5w0;OpOP|`N_<2(3a>kcg(dHP216ZhPOUH`Vy3~Ns~>P6U}AS(G!gTL!C9Nm$z zi<|Hc0lqL)Q@>PP3!lmGIS|fSD?vrM4hCQ5DoO%f!-4uzVo#h7giBinnZ9jS?)lO& zFV`gJco7a$91(38?2ukR!Y+gp zI7>eG@ncxTAoG38;J~uTHarrc%dAmB(jeI@EgW5mYt%L^tWyAK8+H}75G>Ve+R3(? z(K*(yG2+3!xb;-VUV-Q6bT~euu5;=UG1`c^A2FYzn7?nvJVwSm36INcM!Xjo<;hoU zr_3nup*(%jZ4Y+a!ln9|lWq5-2-h#qnW$+?#oKqjF-XDCtiH5_lD^Fv*0+W9dXl(1 zgyonWdOcS1f_23q628D%DY?b#!=+Yi-c9DoLhxb^vYaC`Ti`>PS^B3hG%gsFmn73= zE^kk?T+7Ygf!LlVzQPOI+)I<(N``aOmlBTHmoE9D^ocJt&aPVaoj|_#eL-axiwkx4 zQl-IW7LB$DSTL&|YdLDV)_h>P%8r??fn(BwSy+b;?F#V5PJDRsitusNGiL&4HR&EZ zPa;~7h~{HQXd;X>W0NQn5cSfekqvWhnrQeQqD3>XUtId9)3j7P4$VRs*LOj<=)YVJ zFv~8*fez_$(v=1ecd5&GKjj*Mcd#!g9kdvJ|7Jgmwe;_%-zTn-ERly~D@~vbNq(QY zXiE#Eg`DovF6H0v8X*Pe^BR)&GmhJXTZQHOY1f1JD2zwFexxhV6Y#swN4?mg1vqEE zh@N(hI1oJP5Bwv?M)jRHdj{SOR>%4c#bGaG5!6-{Tk&BPREI63ILsVd@qsti{sA0~ zN4>G8qX}=UH8%N+|1>W6hq{}yWe zC_)x{H1Sb0W`SYBU2J{t^q>o~^%@$RpIWeWcM8Ni9(9gj8L-6@5*&|aWBU|Da>_T4 ze9Mw=ZuvHv-llFEz|L@5w$Xz(1)-6u5DrvLg7C+q1*!3%bmbeaY8ZqD)kL?>lf8Ok z5)x<}{+a{x7@O%f|&J)cAnj4*`l7(~b-I&o zn_ll1!xH+76B+tWzZZ?S5P%_8QKfhE!aU zVi1FM@J2wkwY`c!727wu`8~8P6hUt{3lUP4LbF8MO!RHRNC*-B1m9r=P|%4Fk!O;7 z7@PNr1d1v`^dvfkbMAeqK%rz6AEQDA$0<1akCo0Ep#2nKY{o_XyHo3pt@$9-vpZ~U zgK&eMF<7{z`H^?K>HV(UbXxMFLSQCeh85-8) z8X@Im+{Y0la5QaH*22%7i3|OF4EX$~V`0pH7Si=Hch0756{0X7<4t!p-`_JI0jFbg zH4(*KFZfo{#2xTiwQpkP5ph)%+toG{VYk{dKd@MCiu!5W-!*Web8W<<^aGp+69*8B z6$Tl^J8;lk$~*Q|`_ce+D0rR3l;DxTX1D{WGuWVQE6ud`Q2pcb;7U0V$~mSbJus}> zWs#X1GBS4=GIu%d&BYK3L%3D@9(EoPlRHcy|FO_w>5RnMHCYnYXsh6c#B1RXiC@8E z)xJ4^EK`s-;CGlr*gpsR1m?^EbqHR&#!f=}gJEOrcb~*IBGOJx{0O5o=^yOWaYHX6 zL0}gm*+P+c0Xsr165JlR5#e||N?jPYaGI!1j9B3uI7ZH?)`Xs*HVsFp>wD@^MK120 z3_<+V)-KXqc|AMJ2C2D%UUandX!0ZVAMy%Et`(O7;Km{A5%KF6W#X-aA1&ZG-@yY+ zgYgh9++ZEQW$CQ~8`?+S^8_(OzGe;Z|qc)kk`xS7z#=g~Z#z^!|BpTnK&!HD( z?|P&;uC1I*X-*)`aZ2+PfXp-(%J^?4KHO;d>p_hQc?g_vH}MqekM+Q+eeYyo_TsbgLSCi;5brM&{x2!(;q9b%BoWaaY{&>JES<@$79vLD*^dvy{Wk$z;9SR zsXz=~tQ#W+>F5k8KgyV39}{oCg#pW?~$Xs=);nk=jM z>xI-H;a29H4|sY2_Z!1!)jql+=6)qcKX@1|(=Q~uxADlC+#{|BFPm#T+BB#8H^ny)>P}j`XI61Albc&$EtmI0rJ8+GY5YwgP!N0AcCSQXf^yGP{}cH zm@LWeVX|+6>?K9^Ap9t~Ys|LtC}hOM(06B>{?t}}M5PL4;1p!~h-7k0?k&_-p1|iU zVk)DU-iI4XPe(9PQSraA0kE^!L^jxQlAlh+os+5>d;xMK*66D6$g2yI0nv58}3G#lGoj(U1Vkj_TC`1ee6hob4GXsy~(ZYgN z`#gXhTx%+}Q3j94BWEny-BtVgQ;vvTD!fXDe+7>Nq0;s*l7$L=o;jc~v%MG+me_>T zlyDPR-K!-03>&b{>cF0Z7lbY+p-~blAapqiy%PS=ZWNDI`)&spkK*!c_zf$zRfx34 zCVqOm=^sHp>b8|PQa<{@Q74X505gkiq2zuub3blBB3@BS55Nxsg$;ok01p#9Q$oft zU5$o=PyXaWP&&pk^0=dR)X zr~hOyBKyxlD^7{oO8ZfJXCT)3D%OpN4jOs_k5&7s5pbMu2vWeN*+bjZkzG=1pfA-lXTbhMwm^QFBRA zNAU@5>bVPU$aoA7**pXy$Q9)Cd~kZmCXUXel8pXlCgS~uinj;!=x-JiYY;C1>&3+S z3CZp`JW!IyuG%*hu*EN!`S839{yrW#`6$OF2wkT_=gZIt9`OJ=X}=b*p@AL&qV zB#FxKKu5i5-#;*H8LdLEB`mt=Bh*7fp2PDvd8zDO6+n*t^X&i&Ca5$5MKK(JH zPbVG!3_K^RygCLRLv_3inptiWZ#NFnal4`8HBdx5DdHi3OdW@%5Dya{IhHYWyb+u_ zZQ>ztVjXLU_idGy?|~jV-eTyu3#_*g>q{iNEAWsy{u^emo?30{_-YxPiwEm?FG4q} zP>&4#H*H+;V?Yql{DWs!?OP9`N=0-Mp(qq_JXY;nf}m$q&_NmWD&c9YaK&d|9xGgr zoDBl?h(fIf`LG6ICsMx0CLY599qCW~Se$A!8iWK=8mE+A2bihET5c{YS(fRroF$z8a65Z>@S6S8DgFuoq?6V?(gxaBfG1KOw_s;Zgd( zpQ0?%`IuENk3J&06wORYGXW2r?TA_IV*N`nm4^>${Lwo47CQH5+DYlun=6`wyaQmX zea=#`dm3&Rr)%Qp6pW3&PbZDA@->(OBV@dOPZNM+u^Arg_ulCHa{YeGJPc~0-of|r z(TgvHYp^+aFu@~pEjxl^X$!!Nl@r0DkrqzxWft=Xe*qXrL7M=s zqfG$s^8#96l)3H!`B#wqw95DGn=m|TSlZ@YNDF+kZ^31F`t%|lI~jINuM2I{Lc#5$ zrx%Te(FLqScaIJ(5Z`G)>bf1l?OD@{vcydG&!Xgbx`Pc^`Nh&;f#}P_%5TH;M8kr% zrrEbYaPOSi^A`4suhnA*+S1*$hkzH3uO=|RcV4C^P}b6=MxS$Uwg$}3dalk|7th+A8wo3a?eZ|RZg^~JBJ`sXcPSPCZw}%*6f8$ zF+^sGfJK6CCm|YW@0-&^NDVAkk!(+yj_oDSsrSyjXj69{;accGPQq>IL?+@MMYo-W ztyb@yqoS?dqX;*jkEs4!0eQ*4QW0)XonDkG#;NyC7gkEUT|n}+u`o_CLqdDSHL!%% zP`NRCBaB(J?IB}Hlj&w#Y)R)uuEirDn|*pBxS(y$owMgO&YUw(>OyAJ`>RYvCbiU= zjdif@Y}kR~Tc|f!#K~MR34)1KOh*zl63aH-BZ-u(TVTm{k0d>ZKszm!8$BEIhf7Hd zFWuNLb_fwTrdM+UHf9@1h+~&8rD&ta@JN6f%QVX*HN@dv43{f$WSU-IsnV1cJ80?7 zBJmCZ`abSUH&X(2%>4dsciyYi!K|K6LUUt%mhN1Fo&fYCi}nZgm^jf;Wou%N$z(y>th;ad z-ppI?Y&CL{MZY=8Bo`CEQuKU43$Xqzq36hyVvRGUK^C2c$qiI=N`NZT#N~hHOi46I zwch#*Q>{bloDBLO3hH#m$~3M`n5E@wu)A`=fZ7VFp)$ol*28<|&73P!(3y+5!R}lt z-Zg+u(Zs_h^lZ|z^9?n_ugRJb2DCvF6HTa8Zi$LDsa&}u=c~z(QL#piD<-bZK8@?M zg{GKr&2%Wz?fh<4@13J!^9*P!pz}5HX11AXuEc*?VX95)>U{N{l&3Q*wn*#FqVz8Y zlO;&M$>i+xN>v{Jxycl&TV|+kIiM?${{IMNd3?$Evtw(tZkz;~9@_xuMoo+`p;C3l ziu6lTFsmFD4lQgpw6F!Nx^Vtuq^SjNJ5MNq&t}y*GPYCe9!XN{0d%h>9#v3|<+c9| z&BlC}Ou#`v4{2iZaOlJT4SLjo_5peV`#*+5r~EhQDFfOM=zt~?S!P;U;Pd_))NYjm zJMj7J#QskO7%|I)brY+& z*o4a3)zUI^j@dyDZxvCy%B-?>l>=H~6@8gTS|#+(S+nl>kI*UuS_9}5?AJG;vJ9vG zXMuBZWqn)%9^Gxxti z7a7pSfG)9$ZNs70|2OC|1G*g06;|=saH#XYLDv}24S;U6iknPmvT2doG;ikjjHdQW zEHFwZ5^+7IY}Rg5vAXU8I&`8ShLHx7$*yD6;>d>1)w;z)*>R^COsR*}lIwyJl; z>bA*PJ%Hxh#2N+Va`nY?W?JQVaaIyKDpqKd{ZbL2SP7hMLJzmiy?0&_k78wYx-N+t z87s3%4V43hb1PSxC?lEoU-qCebYP98C!sm9Dw}L7Y5<*r{a@*3GUbliq^z9m_tksn zXiPqJk0uR;0d25}-EK1$4em zOfaFzieQYzlc15YMTV9Z1GK~@GEI=Xi!iV zaGoNS3QJac3=($QWP`c~(7iVC6%%^4fHLiOC@BC<7|?@&9>V^=G&8L%V4EV9bw*QC z0D9Db_5pgrCZZPVZ05wBr-xludS&{eTYG#9R|91zekqRTg3Oj&fwT%W~v^ z9Y3dCT&18~WbaX=lGVawtmnnt_U`kj2zvm<=C0GJMq0mAg!d}ckkN3bJ633ytwa$R z6x+q?CWCXA;WovkGbdJNmo={(&K#RFkzE$-#bC9>E@~93^QRY`FJ4TN=Es)VWpA|{ zq$}*=0!7Nz=VyvkmWyZ9JF<0+k*ym5-DnqowVQQ-1)8f!Wh--sdha|hw%I6BTLA6C ze3OE5NB8tnQ@)<}11@{*;ugh)W#6H=$ii~!X_G5@pM+iZ zJ_i9kWEYnzD7WZ;RHSmyZ&9pJla3nYq7Tp$cJX(cnQYheBA0j}31u~`Rqvf+W2fxh zW2s2?13F+AoeIjN4=B>1)h9bivrA;v^El^WZ#E(ss6uVTBO0k=MtRl>b`BP+55eBp&Mbs%M%hs%9 zlO_2#NvJ#4m?9gfCO}(KghxTS9o?o#C3L=eM`@UsBHPtgKjUtt4o&VI3v@SE!x*X6IDdKhoWsTmGgfgqIsCSgXH7T+T zZUA&+iYQi4CjCSSJS3mc^K3TC;1-~|Qp6_~BbjHH!Hi^7=f!p!WpEEbdsDHhU;ON-AR5=811$2I@ z_?8L%(lAes-jfArRBTbIZ2uMmx+GN$eqpAK8-@)}nt8dMr$2u+6uQiSE(df)s@SQZ zoR9ZCp`aY=50g-Itf{g&+5qUrRPlrfHAWsY=ZrJHRJgP9C!zRmO_iR>- zG&$s~0dz{5C{R!){ljBstg^IRkQ5lbt)aFspbcr_*l9DZ9P5u&EV7yUd$P3T#v0RP zL2ClEB~83!LeEZ{)NoHy3N8|_sdt)YPLuVs6|Cl`iSL@Mq=p?z3R!a+TQ9!SC^9** zMMeS^1G*$l6q?X;OHr+2m7Uck>K#%nGo)A!Rx8rPpK#Hbobh2@JfcX?A;lU)iVc8n zOcN^=l+(KSQI!MC>iy(9=g`aQ9i?xxQTn!kRacs*Q>+*|ph#tA-lob0O5aYS^z8w3 zZ<_E7hi*FyS~?V(FrWtkJ(MO6_Zw+X_GvO3?TU$N#V$AU0*!!CBKpAOM4DJ`GLg`? z&&tM@*>h$ZP<#>_rN1B0fi&?Q6RKjlPLj$lYwGNCfo$fDu8 z->TkGaoi4BaXf(LJH-EfYUVh1mrp5DSq{gjSfy0SwiHqn8d4O2Rk1^?P^`FP`%o1g zkd_&wd2NPp*gW-4%rzj2Xuu)j5MLL^!z)SublQ@J#3~O zv92*<-2muDhe-U}R1??a-zm~BcVw+YI@rKUTMlD(3(x_Fct%0F0lQ8?rNF;_NGX40%$_dmqXPzvoarK@ zpd4$uB9(35URB(oHg~$rau1;S=^|f2Io4fCD1RS4pM>Vd3e#mlD*_aj`2KRzNbA{Y zlLA|lthh-0^#>{eqhe+0vVN9>RYkgZ&SWJue1n3@n$vjykdCa%NI(ssQ_{sW6MAkb z9#OH%j_h{zPF3BIA`Dgy=_1=?C8c;>k)A_}Mnj4wKwHwq{(qVI&T0MqLNl$B)p?4Q z)XfPMj?y#r-eC|#Dm#egnJ7vCNZ?N_nLa`3(? zQYg5~4Crz|SELKK2|c?b8?TtCRxH_(tuac(1~A!}F7|zFYJtn4rs6Z8Lp!p~>9X{1 z0kkV!EHj}hmSG*)Hxw%n%vYDFfOM=s>zy zZbFT6)>>hV^ktE~d%l?9}OJxie%%_JB!#hVYn7 zWPDZymEFJ|RRU??o?#5!0fiCo!$P$I)Y;%8#fZg-^?oxcfR-81azHCG#A*{NV_ou~ zN~M~WmKpl{WC*^#GmNiqFqwk%4`(t-kxJ;IhCBn^hrL#_#}ssdglo7 z#Bk`@vR*V4>NcPrK=Vh4sU}qB#hheKX4!|dBp1dCN62zi1ZeRHkvkl!E9lT4l)4v(1OvZQaa`ae| z3Ff;;$a1t8OqPrgub50^dzY+oatg0TLFN00>K!}7mW_}*!&WRvBgN@t?eN5o zj*zP>eSn@AAxadK6VR(jWdh#3SLuSszB^Pnb=f0iXVDK<10%$-K2sN*fLj#lITB!Z z8r2&%E}c&C(r{>9GFIMm9a5}lRm*9tY5|(>6n82pC*WI(R3;!L$%=E}LKTi2D0Irw zSOiwZPEn{>aRS;~&9t8*0cA!4$^or#isSz~EbY(CGojl#2VPOEXno6RtZxB2#VI-z zl*e2CB$PG&SQ0ui=6A}GP8iSzr?^K!Ic+l)DaQT7$5)tfa~d;lfVMbAxq@=6z4s`A zIo696D>UQtj96O%o$nN1d}yYXM|I1R8s^OUSF)0xA6w+)&FZnmfG%;0?Fz~h1^X50 zg0|ac-+f!l%&(aPLd>W+WmB~r&=pScxPr1q*D0vX=L=KLgm=$hGeWnpE zOtmp->s<=UnOC7$q4!G|rRyM|hn(U^CUoe&1?I9@RdsALKI)XqMtwk?aEgG5lJl=^ zid4Qoq23|uDMQwNKnI*+j0u$*x__J+wsDq+lTb{dWg1gxFedBF6i1E@OJ=zuJzM$s z+IMHlfvX45{7kWCIMk+~^2=kK(iqBQVWzAJMSvD(irK@V=g%Fk+#~88$}P*3ZBIF% z6`A523d&jflVovb4S!#~Bi1S-)*3*kWQvm?m}%uo^Ib(M1&*k9%nkW7W&H~S+K?%> zC@9yz=}JJki)E{71t4&vA#f9*Etz70f^vO3tw?2C)34q;FO1F0lmnVpK<8(Q2@1-w z_T8z}#K%tdCZTz;MMl0Z26RcLNK;TQ0xMN!Z0Ci$UnZerW6Lt-LfvveS7gG@v8g7m z^+!}ym1_Ea5}FrVW5l`v(2bemX$55g>lCT1^6dC!PVAIXMEU_8$Q1R%p*JX~)cDEonfZ=NXwQnBiKDnDY{nrqDaqfq|jrW%91@sKcE9y;xQAtpl$B3 z!JTY`@|7ad2-!!c$`rp9=enq5U`j4#y2>&^>eWh1*Upt+oKKr2RyHU(wn zUelyxXMy&rMg|(I8Ywka1L%~I!mps*QEpJAhuaoBc<~j~DI0(=01YF>#S(zZIZ~Vl z_vzTYk>a(rWPW4*NU;eHJD-Pz9nGED!|Z4l;%I6?uh?DyOVYkB$GUvnhl_`2be6Bn zzAk%o8a>>&e-tK$xzJ2yVZ>7aEbO$yh%oNWGmHrT;?Gc4XgX|kzxz5aArij@4cXn_ zt!v>*aVOqzTUM304~}}T_%=PN!u2=R=4=(J?icaay3PTK?t_m7OVuYvn?5=<%W88t^h0$r8>unTY{LdNO zjN!HONhB`VIv|#G&%_$ozlR^L zw}Ab7IO4m@?DC$z{h_>+o{$5VlWCzuxU=oS1q-`Fq3zb`Mb=5NjL&iAxb8yIT-$?% z3p>+rZ$Wn&1jms{zPex+__oOF`{&PUG2wGMHZ@q~q~?ESFjX)8^!!8Tbo zY;o@#OPCfWOp+iG)CaQ-?`n==Ny z5SS9T*5+h!nB5G+O%tf}xO?I0Kf#LTV=x4^b4+b_ZDgmDPW2!B3`aK6oLB}|Ur8yV zi=r~au!?x_v$pxJ@rioY7uiMRqs=$Q{`8qV+-wa6mx?iCp|}G$zf7kwgZ0pwaT@ay z#MNCtJ;(DNjJe~KrHsiqW$7S6-i58b=s1p4^mE*wt$qv+rGm#NlP1y_lsN^ zAVE&raajh!F9sgujD_;LwPFE#0m*y54RNduK`4{EPWh6*wobqKPaWc?|ENOgqKDK7A_S(V4M|44!f;{ z>jc?m-2WhPIl7^n2XiwZlJJ4rb)^(}rzU1eu_~ek`gRm&*gDv&p4(E^!MXYOGttiVisP`8*3c)$?t6VO zrEl*Bi@dK5j-I{CEPIz&@~(?(aP{oP?P0lJrE~W09XK<1U@uNv!v=N?ZaO5N`z}38 z#8$lHK3r;$I^mS4V9(yA77Z;8_-J%Jn=XFBxEbO{{A?0`e24IJMU0+wm+{>c5W}(W z*>D^Ho_OqCY{^T9I7=-Dz>19*PeyQJV^v~fO=4royV^!?tz%;u{+Hu_1z%s^`U17` zofffs6*+KX`@Yp^D)+YI7E-=Pfb>B3))<%U(f!hNg^e>>)GJQD^ZHA&l3sVE(tY)2JJKvEr;IFfZgk8AX6P;Dr(WtMrWH;&nc>pnCcW$ z^@HlDMf`1{A^o$8%0br_;!HS6pN-NEGp;*Ls%46*fT;?Zst8nW*iAF3exj(#nW}=R zyr3%9M5{^ljH1$+%Fk3`P~nofT9fK&Mb*SqElf2RR1KOaHmQ~>s)v|r5mPM&)jZf+ zH>uhb)pDjf7Z{rOv7+i^s?AJAr;gWX;tiAPDMhu1srE7zwGLgHSY}c! zQB?0U)lsIR1HouR=9pAJQdIp+HNaFBI((>!8k6ctMU`!(9CG8=3Bz%Guh>N<)f0-U zh^dO1ssvQ|IBz=NNaJEfq28 zs#Z`nTE&wl)uW1PF;gvJD!SKeKJ3k#RErci8lYb@5C<7?h!O7tk+6!DCIUx4 z>w$>-Z#}*``;H@GWh31IS`3@dKP-l=8*vrhVUgxiyd3VL3qd__!8T1b9()&AKgWJTVqhO7_6zuf4 z7z?*o%zGR+ignq&;!Zf&4pqnHL}?+)5k&c(z73Z-<63w-E_$z{yR@!~ah!tpTS zPS(~X%&XPu9`=nHhzIvw?-yUQzcHBSjpKY0t09(4v1qmX#WuL|z6!q3XhDxxdsY?IDvll3}rUyK&&^4{o}yZLf{F?!t>#d==D`P{dzC@yD-d zK8@>-cY1Jb>bT}p&$l%+Jm03n&nY^|uc7%Ak=wn!V#AxS59;{H>2iAL(eChkrIH@L z$}UG&Hre&ZYnQWjVy(}MFQa%nE~EFgJ3;=HN_w1wZi25XJI-NUPFw~8wob_w50Gbf zIV4*I8f-!C04reZlCAw5bYP3ricqnZ>=t(q-;V1sN^YuY_pWW`Zhmebi*l;-N zb{Ew8cNO?yx2ZO;yP!_rRiNVrgu39i0-fzmM=^A9ibc&_HG0GcU}bl;w5HY7?WpT= z)V*0yx6@wNnpRu8qZVx6EU4|S!`+TiNA7v@)2WsQHTWQP3-ABO-rL7lbyW%f=k9w$ z5}Jkpp$!(NXsg8$m3BHaSWy!okhB4A?#&yqTKXyuRXaY;e3+TG(&}jE(cgeRj}B8i zil{R%>5OQr7NHeNY3Ymh(((=o2_)n__x+x8?mg$;=ezbvLW0ikna@1`{C>aBFL3TT zd!PNX_S$Q&wf0);oHO1=Q%7LVRZ?V5(b1MobKl{WYmst%PijH5$}1OX*%YDB?DtS; z&J11`8<p5{uBAxj+N1GbC$Pk%l5b-`Zcfg6gW}MnxYSlXM25akPn!D(#z5v zZIlgkgO{Q8>$YN9XHN&vD}DS{MmF*Nbo8}bBj{^@RrP(NEB_^3^aE0qKE5B+IRME# zZ_)LA(FYIhEgX-&gWtKud?RS^Gn!j>l)=GevWQPc{K#UJKkD5l_24hZHhGH{eVgZF ztN5xj%d}!1$%^Q$I$5&6vWnz_=zGSKRdRPx^cs?pP4X@DWwf_Z+MDuzFj4zcS0hlE zkmuhe=cUn~bJmXj4(oH?{&D%Iu;x6{5qorT#pf1o+82;lhoNuteKOsi;3a^P9pI~g zsNPjvmUP@Gs`p~8dM}MUUC~j^Wkp8=3pH5DH%P8$lfTjkMtqH3`<8~f_BDjN_TAXj zwXb4==~uLjbN?H8t+TK3flpUmx8i|Mx77yQYVN7|>et$8jkcP5lm5oO*~Q!!CNY6F z`F_emSyuwrjT){c0@qRv*UHG#H+D1}s^qsV^7NvP4O}kjc(7~Vl3>e@z^0ZR>>XNm zlyy{mdQ(ZqmWMl@c(`L5){U0c`&PC-u&MP6tsUDR?%45ZYy=&<9`4u^VY9Apb(F}x z=N|4j@NmbGPj^(5bVMTliF*}t@6^K`-4AyRJlqj`sHDUC!v2cZ`&;)fSbzWi%KZzQ z@84h69KJWa{&l0k<^BcX`&ygtZVta*Lb7WA!q+Pb55Hbjpu)niR9K)ZtlzLc{DtuA z3kwvMS_^5R`SnG*%7WLIP-UrZt?Kpa!hQRz0OIw!!Xx`DUk`Gtjbj7HMvjLH50$*W znGc)`t|PwY!-3ZIk9KtPnSk{zU90xBwcP)s9rdqw=Y#kE=s^AJ1NqQ~5SQKcugAR0 zuke0Mef5{YuOT0VKQ8Hb?vaj)j!4Uo%Q{XW zo~t+(91e6;wLHqe*Yiz?P}lN(4~BgA|J^EpYn9W+LeqvOef7}f)#gHQL$LKPTOUsb zIu^X0^mkPK0(xZLx6jb?4*BWHX5P#6yq9&Xgv)w-bRe4l^I-*0z1QYS*@5hME5@jBP|q~w4hoyaT4 zcw5;fZV8Z&6!bSxWVl^B1ANy+zmV+To=1J%x3a10M*(fxvW)1HWTCM7qBSq081D}> zM^uYUP36joc@g?N`Y(a6@hON^_pSPoGxxn+pJsQc-!OKkG`Q+MZPu#ddz!j2UIdmp zoG&DsTaFy#TW-Qug--Sqjnm0Evl{=-(&9aQ1WCBnt(sd^N1m?isN=G-qv?_TRl!JO z$DNdD>xyo`Iv0w(81Mxne8T?45?&nYRKHk;L5RGg9PgW7j2U5mt;3>1>)clz_RdYH zWt*clmAtM}MgQQGT?ihG&XrRO>1*WTK=dj(MO5R_M*U%@#)G~_zKk1nPTGZz65hT4 z^K79b7Kzr$Ikl`~QDdYe2ti_nZRE4`9c5y(eZq*|dY!>$Jiz33MK@!HU9YVGzb%J4 zgP)l@OKyo};AtZoiscGNTh#myA8R?<5l~h0kM3?zbKie(cf;;L@OX9f@poauS|Idt zba$|F?yQ5mgWiqL$_CFvIVv=&t11y|<^JOxe2?zt+x(0pK*r7fgTCDjU}v{{!1sFpv1s{wf5k_T%lQ3m zI66-!KE!Y2Gg0R~oK)22q_Hpy<`jtRZio((GkZSkFBt9SQk$Lj&XP4BJs@?WukpLP zjP)5jR2|(5s;i^-^4oZ5_sYiRL%Zv$qq|79N16eoooQ-k()G8vb}t6lPbr4?ODiYe znO_)jLVoqF7D)_O)j41VwYSvTv&3^P*i=Su851LxY#ZiBU}s=vG)_$l2}6< zkJZo~KP3Tv+T>@K{8;j%>n`;*&EDjZ9}SS|5^(i*u3j>txvO~L@9qYsW_KAcCH?St z!QFwzgEhOBRv+m`uV|9h!!Ux49}%vs#d;Zz>KvJJ>D|ce;~~F zEFydv5p{@FRB^DfLyUUZu`tB)W=OK(jmKJ{4S!YHaa7>q$X3HxVzeG)8Ul@xrW(OE zzo2?kjo`VZMvxq=5e&E12zs{`3R{gD!Ed-mklPWf5hQh_YCsX-G%~1~T?-(!nq3Pa zgy-N_vbJtyZ8gig zFPB9EFFHnK_u}Z#+jil%(FHd!7Z%`Ko7j@Ka~6?W5nVJ>PXgj|VYH0vqe0&sgzMsH zP1(earBOdO*i_3V-fK7PwHuCvMH1IV-zwDwZaUaeMn_l`N+Ch9%{E2PdbcRHF**u8 zG28vb#G+a6Frvg}*(XEKyM2!=79=)>?=~^>BG{!Yx$hfwqw$3V%y?5c+`RuPn zxEOr2@7VsTakJWA_GstRm7R@z?BsnE1LCXaSDXyK9FTAP?5~gwZdIU5 z#7p7uI}Bq3?^u5ISuy<-P~CN-y3NYt`^%=cS)toJN~z<)`97Mcq>0D9Chqc@*gxJx zK;QzL&|$W-Wx>rV80<7UgPkFO`i9p)0M%S?w$Ddz@hX35ymIxi{bj)6+|d;^WZYBs z529C>X;rIARIPCj#r3k(YIJ$*V`6p(cd3wYOA{akgtjFsu?YKjd4_H;v`q)qK>baT}L4`vNE0POP7O9@$Uc`WN}A5TEaAt=|`j zv_+r%Y_@Qyr7imRoV^)1!2CQ>_{B6hc@Hwm?eUg*?~^uKgHO^u9AfL;%-Hi(1M)J(MV3Ej?tsqM%*D3NyX&pendgtD6oGH0^eOADF% zf?YcoHTrz7Z{_tBg}cLtmV_TmmIPymXYO1SJh*dlaAz5pw{p2OxU+)GYA#m>cUB(O z(r{-P$%^29)=E|3K^pe5?+G4&=3ml9C{PmI&sO@uV#Bz{!xC@;*Y-ScdHY(~zg5b7 zioc{pzy7)KP7UX>=o;XZ-L%b0kyj1J_4$j@LC&H_Y=ifpajcSJI3)`4Yvp2x5v`N~ zZi?Q_xHyy`VQ-A@EV;T-rU($lFHzYlU&K}ucBHC9O+EAevS8zrkSNr&aQ+-9=}STZrF>aO==B&4 zh`zZ&>c1HHl%To-fZ4VPs>gRJc)-JaabSO$c6tY7q5E%^Pq6vA_AO|Otk@Okknb)= z3@%GLoY%J&zEmi5SnDx|6u!Wacw;=bzhm$r!2-R{{d4Rfh4tKs8gqlKPhH*GD*KW; zGL8hfysz+4ea|0ke(Igg&4dLM7LONheCq1P;E`anxRnamlKE<|8JF<-;8PXB;DN1= z`uU(>)F^9jeyXy$`ABo{)z)B#HTxsJ?i8PFT38Usr_9}9X301x`d3^oc%E;CO z*ULS`#wKJ1b+FlWSXegL6|6^utlS+Sf939y1FGqO3Tu~VfcMUCfcq}03gW$1YO+!mQz zR`1;F4ggw(5qfcJK_-K2K38N^s@c`YLc}9n!%WOvw)HWYhZ3KUE188E%eMNqOu;^Q zzxfB^7pI2*=5HFeY*mgl^%x8YjdTl({J!Xq_)=*^=;e#if7F+4dWS2N;m(m;&7TVe z*S5B<+`45c-#~9^ZQ0NgT-(-0dWm7QwY0Tt;2ZUo&5s5v9z|v8qfSdOcz>{^!#dR5 zKA!ugmwO*%1f7(Bp~HeUiYIB~*8h+;p3VOUv~iu#M#om6jJb1v+O&1cqgB@(+-mp? zLkQwR;BCEn!gH1hJ-i+G=~m`>PSxA2+d?5c7qakeF^q}n?tINF{VEuh2F9u7<-Qr= zYo79j!+jOuz=c_1Z1$7K9-I9grpV(XIpMb+p9>g5 z&+{rI30K6X@YviL>5v7_kZyt};2l!NyDMe8mjA$Mx=H(}^Fh6|{oarUgETQ=YqZwy^mK~8h{M2PQD4wHUINhB1EgwaioVHfeN ze209XYd)_{@_Cr_(#271_Fe{1kXP0?zmmKPcy$!6fim`1W8-U$9-_Sav&QeoxG4pN z*9gB~nw5E)f(UoGKZki}{&X%?} z$!q@WqMw||eOc%34{Xvcm3S?cXuB$=VUc-aswnHYQMz4>b5AVgi^QY>xTkexU=%Yf z#m7Orb^P|P3xxVJ>K9A2Jg(q=uiT#^f0u2-vd}cId{T9r zQAhVi+0g=})c>qk>fe$i6!DHBVD?&cL$&6O*n|ISeE?!pQqx;KN+%tD}WijG) z_61}`xN-JbcoAAVoC=#%qi`yGaiGR9HgCqk<%P8VjP5nb9(ao zKh?TwB>FUWP||*LHReY2k$)l?6>rnp#$dF#DA;&lD<5Yv8e@&cB?6CEGWwTN%y)hC zb5h$IP4phF*OQihdV({c$ zC5?V!(ah+tR{so}Q)EU7EoUCF8~oV8-`!1D`fmaK{Yd%~ zO=p79M6cP9Ed-BkfQj$W`(3@{eMfhQi`gfinrjUI&CGB(9N7_Qimd23wep@58&2*B zygak9@{HHA>?=2T?fyRHSVkeP8%+43?l*8*A+DQBTsLBweXuL~t-IJ(9lPh)PGA=8 ze`UB*1@L18Bchg9?`xZf9co`23wM*4MgpkrHTxbUlV9O$=%CGL+AT*nHO;R_A$r0% z+9Y4n++x6@js`bjvJ?K-5jb&AD4I|I6fXD7jX&cK(!n@|b7yNANwP+I{JB;6%!XPLqBDhbZ3 zm{?vVi;cS%vcksKSNQV!k{d0P%PLlwJ413>^}^C?xTJAjxvXKW?T5Cc8?zq2C<)Dz z7`evaW5JW5xn-QI0>K|R!E`8vZt(ldJQlpN3|a*IWZq0^sroT0)4E|i?Cme^Zk)FQ z{lD=}z%>d+%V6Wo1HL;W8^W{M&_1#u^i)-->9rRAhn^}4gQ zZ3=Hm-+3Z1(Vpmc%V{t)*m+0lWOq#}#BB}_S=bA!<%HRJw`DBzP0;fG%6ae;ELy>iy7L{?lvzm`iGSjRz3Y9I@5iFB{S#tXV3Cg(A7A1} z8#iHM-6WD4y;1C1v^T-WME_ajjX!`_ATlF(KKkw&jH^M0?H7;)wzp>J-cE87Rk<)S z%`aae^?&P=uNL=E;`>r#yI14KoF=={jPw8h`F|A#mQ^Fnn&uJ5t927(_7Ako{(;Es zpNgs=x=J%74w(jUmIc+^MOCZu?reJua{Y3;DRpghE<--(ZwjHlhC-WFD73ig?&i?F z0kJNG=Dxidv($@0_CLWrUY$^iFGv3IwLj^4g-UZzANEh&r_HCfT0trIR0)lThLKJ4 ze>t~w&)v<}Mlk^x+wN|TG~avePkuNK_@A;lKxqmzezW|C8t@+q;Ll*thC>U)a|Gf! zRUh1T_m>X@8q-bY?7*U?yC+~99EWX>cQ<4L*zs$B(xU-8HxAgg$G*Jhu`i!!Oo!6k zK6vda7Phe(?%*OCfdJEUp)T`oH$gz~8grkjP2W58PIDQVJtHrUAHlO1-!Z9G% zl!-}M`q{{_nPUsb6CB$(wo4j0wt@a1;@HfwjpGQ1#Zd=L8#x~0C;^tG9CdO)%R4v@ z(07ERo5SL$0QM@5MI1{wsyTuj8#oSd3`m;sZ06X`v5R95$5LRZ<``f+M`-6E%2kn% zt=SNI9^tqT1m4JTE1a}}%x5`{aoootd)B95)0JGm#1Wv}N{(-k|18H>IG&a=9KGC6 zaX3;=+9D7BtQmBSw8hcJ{8}6fxh~=6d$~{2*iPAQ+TF~#jpI44AL6`+V+%U+5n$NG zv4paVI5u$9aXd#}HRl5y+c~z;<`L=~;E(~fak99^Xr{-^Nzdg5=5zZaqJ+yh;xiS4{#mh*g<*`?VaM>O?rT%0{qF}7jdmE1V7w1 zj6Yk;kRi$|{;ID?|5uY`D{EJ@-q_YqzdT$Q`Y$vxPuFh>)vOH%*M*wyTCr};nssac z^9>cZ)U>S#H8uR_YfLXW1IYgmsv9%bG}Zl|)GycLU)kF9U&P>yRqI0knf@UU=m0wV z>{??b$N!W6%J*y1Ev{W1zIDa=hVmC!2KB~7^4ELw>X@z6C8hMQfBe^00bBVmsjF>E z`I5?KS@DAhRp8mQqkMU#236&7<$RVzM)_itct)v1(!z|RN|`D!*lniW+$(CvuUu7@ zRlZBK6;tY*@|l+?-8qo%98kXO848~v@A6S>k~C@IbXuv?(w6TGX;b-64|y40vgkC8 z(xE-$*TyH{9=_*idBZHJUt15|uWy+3g0bQHdSf!Zf3E=klpR+yEH{->z7&6z|IEy# z)J!L*R6MKv{C`&Y4xUr~b3a!lKR+uMY32K+1vD>!gh56=Vk_THrGBIWjQzK7D)nac zEfsJWWoA(MDdGE$!FK)Y#$Yx};v16qTSJv(FS_Zhn#u5=RK?%r#MrViYH=c!k=|#3 zr?e{KKNs|KK@kz*yHJo(!iId$U*(N5&|Vu_chw8VfkpL(cdbX~Pmjj3Qut39mhbrr zuW)Iowr1sB>uZAHiWdxv8kaK;>S`weA@MZ^qkN-Q0@N_U@pxSMhSarIWt6s@+>r8} z9#iVLa~d>E{3zhIE@rdIY|e3%-->-Nn@w33xem2Qm5So014D6pNEIcXQodc6RNztqY`$giv)#x%qXLK0 zzgB?*@7nu@3cT?fr6Q-H5moA*Jef_TD!0>BUSA42E(-geLLq{S^JFs!mKo{aUZ+5+-2}bxS8R%J-@u zm5)omr8((zz3z0jH+?hf>cdU-YlWs~zhG4SalJ7m=dbrFl~>o>V*lep=Vi8KJ%4h%J1cY%*DWS3`M@lm&+jbSEAzJ!J-BOv?Qc-YC z?b_xtSiwi?4KFcIW9%Aec}P~DW4}R@Qt|84KtO`F)`kEfM$H*sYW`m3&#P-jRbVLR zoVM&?@aBxrhE)Htu3qZ(t5&ZoeZknitlr@2LsHFul`jq2Ql_Q+u4OqrIbgT4mYl(^ z&q+FiGIL53Kt?w77QcwB5Z74rK zud)Kq!Vi7JeaSuq@`b^)aLc!p?=^cUDytzoaV_gAkw~0BuYBj3FpbFuy;LW30s0Yc zgf6hB9$jEOd5|S$j<7IHb%W#P^LbV7s^Y#eSaIS^RINQ*Sr1!vo=1{Dn|}7$Z+|-) zjV8>ORr$;IP(}sFcDd*G?u|sFY4hcmmA`lV=3F<%dW#EV>0_=_)*)4Vh^cZ%n2>?q zs4C8<-%>^V|8W#V?}byyMPP5%hVPhp#D<&8L5=(5TP~QZE4sOAuVfoKdq|ScIGc zL+QT0AsMOv<@A1~_CKlAla=^aj2!ZfemdjnUw`+zGE+178D(DFl>aBbYhihF{BZ6ELeThUku8QTSmgjQYZ;`{1mv z#fPOxsZ+UC-zcJ2CuL;-#+S%tGR)fq04Q)sEe{wJpZuTiO(j#&Ouq+?t$`A7-jIeg zIR0$qQ4$FL*vJ`6|0=&zd0z7L_^duOk=NoH$`Yq?Oy3aL92!C)@eep?YCc$rt!BD8 zaQlYV{icw$h;qpKi3dEgmgI{Xvu6kiT`%;a+xKD43oDaaHt7 zNVL}!kmKs6eC0ABdR2rb*DJ(irr@)==S)@dj6K9ubCClVqYjgjWqRynR0X~V%(fe2 zSUDAlG6GkX*bG*N;@jgY{{^L>!ylwH^9Q-MsZpTvrlTnuK@(s}$qa9`-2b*CEkY7Nl;>a&-l@dZ(_sQ2Ag5B9QERl@7UFRw41-$3ogm(JX$y6Xwk8#ynovUxiqFEo5yeLmAJpw!8qNzO(g-|cz#JJFPNX zb?U4ckMTF0(WlXbK8eo2HpzFM8mEUl`T4Ch3&s$IKM)ZjTU7!UpTdShzV_PxS2U(4j7vgp&Q=vT*;>P-9_ zTn~{3Gh&nD->Qn98&84HXOy4++zYVqPiZ)n`?D9bV?YD9bpP!|cj8LLn z#AHt)47s^{C4{k^qBFcy(O$(HzbPtKlFNeBu|OALo=90y$RN9=fO*$JbzYmuYo*T@C*MY0>`#Brmm= zo~qboql6?K3+|j5wH=#nsK#TCjuKWknnj*#uM z&vcqGL1z>r2N?WT+G2$|IVcjNOvY9vDR(Fdkeyl*S~9<|g&}fs*e@Vqld~_IyM_b6mUYM{Ff!clnh(L z^bdG`?$9y(h@mpB6ctg={Ky1E+;Ob4P8LR;o zK<4Zi1GD3l1jEDWku%H+t)5gxuq+l!)@H-UCR3p%SyT|FI|}zj-nop}f~Ua$D9C?% zl%Jg5CQ-pjGs;+enBUi#++I~A;vSoen064_7L4q26Vz8$(n*4R#G|ky)Di#rq^Mq_ z>O0A(3*zEXuTX3Z!RI%vWXvQpeJ+MAOGZ=7&MhbQGX3X>y{ZR2F@?HYxe#h~gr?YZ z_h-}$=7F*Jy4dUCAea8mLQ8>cx2L2?Q8J5c&OqwVolUD-bCPM^4$IWp<|*{P$_J(4 zv-;L}d8|f{lIiNwUfB{;6%D8jdJK92(vEXZC^D+q=LAL8)ikXT4iWojC{ia5Fy-|b zOLG9`1qqUkiL!*OkS&T2R;qGtMhugTNTejF%|M7q8F1;kwx{3bn7iIMb9z?BqeN-3 z@_F<=_cYs)SY-$F0V`FpWOC@@#lA!gHSecF(UkVVrVmp%KVl+TDEyl#g)d#|L&!-f zwhAx1DKTpS42Co|IY7jOONX+j7UA933z$8s#5$1`CIggitcU^MSH%p*57-D$gRHMB-*&mDb}8S}Q1CB)L3Sh}SrXj9 z7Sf^k`NM~$wED90eT8KsQUa(hojN6ry!IMD&pyk~&z{YwqMnQ@W$T4hPi@`{Jjc2i z5BSO1v8?=IrmOrVa9h}jfzZbASNV!g7o+>bz?736tvs%C#GDE19j2S43vu`Mf>y>! z@2Vd%th}CL1+i6~;6^7lLiId^F4oTbS6KpR=U;;vjzDs7BK_|wB#)eEds1mD+ zJ2pBtjLlbBKnM&Y7N$~&!KW>bam@rL486gc`VfZR$UzLfa{XrjF&`jev~8*xDbZ$O z2qkW%`0cxpXSj!zf~8p&8EQl@Bx{ow zcT7P^ux?$`I#3ch1WI(`JwP+pj(0P?(2-_TDyvzVYy>b}({!ISbO_)s&!VooRy2l} zm_;uooc#|VWCw)U@f5o;Adh)ioB@hQK-?J>IKzn&y=LY#9G?W83X_HlVh{0)F_3wH zJ7aDW4EI3eJ=h5q8>DC&W(tS)du)&*F;xnSOeY~4!CY5Q;x{948#d^3qr$~yeYs~Z zdRLm~k>huVr!qTP+k=|Z)d^p;*h6aG34kT4?=HF@kMLnb`)5kt}#T{+y+e1uW$uV#GCi8C6M03MM znq(lRm9b-88tfk3@Pvy-a8(~#(NK%IAx5jElNncQP~T~%lD*!9dLEi7 z6S{m|8xtBl?oFtqG!%buWtAzEvpQ50tb=m)P)R69r`e^0lp9aN2-)uE*b&)X$S4$_ zoap@EUuFSAplSAbs1Iw@PoWYqkqeca^r%FVH+!wV?!Ygk(IIhY(wsDjN)eBr7p(Vb z)FY$3hBxVk+1E;R##O6po9fnun`F(ful?dF_O+kB|BD+x|KGm&xw}7mKP%%Klq%g@ zI_j&Co7e9}MM=e?NGAA<=?L}_HzQ*(L&q(LLMM<{w|w2YJ8l*=|1`++QV&CshPrb& zn@b`@@`#lDa4gD}S7-ElQmJbcnEkL;8+ysQTd`Clg&jf_A zXmpJAGwPUj+%-5{lTE5Bcq<7D`q(7IR+hbnFV{B>>i$WnWoqBjP^Sdii36y)9LB6R z3AEnj=^vqrN!W|EO=%i-MbvKWo`8Mr6B_mh&SBWj<`M-X6Bi_-eOZ%0Iw^c?*g}0~ zD(R%t-E2n<6XJnCxe2JqpVBBsc{N|xzzTYkV8Am0VhDy}N5vP+l(4$<+#1Ak*x!@r zk$t1M%eCWgriOM>`(NHvuZ<49qWwz(&nlEqG?GFc7nY&VJ3|+-hs@;UYvY>cOY>hW#*D@-V_8e(ZbwZswJo- zhIlp_F8U*A%q9kt)<`ayXK%(mCpG3|Qi$`U%zP$Wu1fpRjI-({C`+lg1oXb?#JQ54 zaT60;-PvOuU`PgP#%0AfurM zP-AGomM2bn$>mqN-lwrPNn5p{HML>7E*X)obxOppp-S`GK*G*$>O!wYdb&BdF0eh#1KQ{ZUzFZokUw=QFJ(MsM1ld@? z6E5QT(sc5e26v_>mHc(9*3N{nkJcM<*{YjaH8NoJT2?wUjH%jZ6;!d2$wd>HT&^!I zJ8^Ra2?9+M{^I6gU4u+w+LXPfX=|T3EKT#blcwpCt3Aoz)|$=a2v*m()G~r6Vlo0r zh`cq_$A(>0RFQoUN8FC5H3agL(>TZ5lF4afs%W%hnH*Nf5%lzgOvLB)$R<}n{mPqJ z0ajdA0N0{S80y9mH=c+MyTe+|`^)Kb0q$3>V=f*_$kgeSH+67D>3~2u;)l}_O(1MCWH7>O$FQ!9u>{2Kof(R`&@ZIVPg3Y#s1PzsQz7Ff z(|N*TAid5^HZC58bS@(cOe;VUjmSxIYp7pSFZ9!v7MOMFk8ltgY8lPiCd!MNZGj=! z4wE+V$DYE(vY4>h(N8qoI-QOi)~yb%qTxLm-LRxSqQ`ghFp6_h&kzQ$f}2JWJj*oG zi6a(6O9UumVXtn3aMin@9_=r*MToqA9@@K*_Y@LPJt33ROdv}4;a;!VO0%a9KU)~?Ke_L1^9{C(zCkKNM)j)yim>O36GXc}KE%6Nq$JX<2OvmNg z<;|-^1?{#4BAxnx#^w8Hh-!Vg1Wtn44h#t8HI+nRm}!*zN~_tnAZWF1_kT<$sdddw z!DgD=oRubZ>i2MiNs3s67ge_uI zX!BZ)+$jw;X`6D+YpAJ?pcu&ix)F%W&8MSDF_;-nT8u>n@Py&gN?3Lj7q^km*<-S<|+gwelG;H8~iX2J%Ll6O7qq;WG1C(DEe%>xz>X zKg<=YKj^KTrFjh<2}l;`KHM$q1rw%~kuAJl5b*q*w;m7&XOf=W5d_Gf|71Ev1sm$a zt3mcd1wpn>;V7cv*I%v?Qt3 zx}{r0a%D&R1ar`p=2c>292iOtAUupr`8!IH#NzH^C8(=Fl~KO1v;|ZYi>}}bHvVGz zT!q;9FzI`Iw=?OIc(?AVa$tZM2ABj5joP*|Hb7&HT$Y#&{_J#+L&yP%wAiKuWSwf$ z?c8uaDMBtU0t}v=qZRZimSsvCdwuw(^-6V|QLtePq!nvbA8%yfeL|~xgC_y+3F^>6(MU^329Ewm9rppmg z=jfN;m>GA{sG*}VGi?eTF=+@HFm580BORxWAM67zdrXhNa` zrtBiZ!I~996N|2rmUL>K2H`^^Xj2dbfrZeimMiy9uiCseSaXMvldh^$o`}Aw+a*jP z!`xUY>c*iAg=P>}^W0eZg3=mzVJ>A3rE-j}z^|FgCn>{=K7j9m=?k`bU9f2domgc8 zpO@0`l^hqvMQ=N%BWF#!?2M>VcQsYK)FL)=hWg;HQ9H+4iO0v%qCpT=1|>l?1f$@l z@SWFevSAtkYuZ$ zX=B+0H#rzs5B@hR&1~f)$mWs=6zoxc+c}?Qm0e1zcjqnJMCS3JuZ!*R-L7S)vbM#L zA18{%ChEu!26E+r(k8=5_gorMOZ$7AOG87pn&lvcCopk%nvM?C>q2Y5#L{^l)TBZh z>LtgrHuUmQikcx5Y-9s{Ht zx~}}gX+l0b2s?_q$?sJLvtY^{iGoaFD>+#VVnKyMz!ZsWj!QP^O4F(zSv0OBeeDWz zsb9CE4q$i8_kbmJNCW%P<4h~W1_PjJ6IEnJ8mRNG1EcrnEcVWBjsOlovCO<4SUznD zD~@9iMR+so=us44*RvXu^N*S_iMw>MWX3Gqv#!|YeHropbHy*4UNi!~J0=FP_fk>1 zMv*X8O9Gsg`%CBf49t?EALLv!>y?frgsj{&m7owJQIo2~c#&0}PdR1`bQW^SA;ORX z#9t*XCnrjWCAB4%OX~hNFhxk|B`1g0YU6G3=kiHMqW*|6afjTqKQ@Qh-tcZcSCV3!u%_FN=q2cn zL$r8yGL{$;uuhD{nD#*2v{NIobPT{!aaQLUn3#Ac4R)bEJKc*rE}0uuaaN(lc)r3K z6Iq@|SmiH>Jb`0K0FOVPW=clf!YNeUtWErtKQ@GOoQMzFkr-B$I>St)qGm27#aYIn z3e{*h2}%0hZ0cxS=?E)3ihl~+iX@XC7Wt?uKxH%Z;2dt2NCw4>^VT!#HM{f)wQic9fo z!4e4xgtt2CJr2^pW?FCqX!}P-E!C1hC-d#J}lJcb-d=aWQ9G zCMpi3(W}aRzhzA_9q`!U0jv`u!}Doo-t0wqY3a^Vzb`B10diC54$m{RO-U{e8uG}} zB^36&2pr)}M7Z&n5nG_0#>z12U1uzF@-iN;-b>giRKO<3k1+yTLvbJIgYMEfTVj61 z>B8J)naF`0Dx~IZEF=rjJ#-UcE_w_6kPRLZ~;5Y`H@>BB^V2BiDf?;xCB1 zy@WgG4NE^x@bq|Zd&GH&5`@VHD-?~t zq@z?b{p>WshL{bpMvJLTM0~(zbIc-*j-kE7YDDQy=0-+rX3Mc-Lrhl=8+59_AFanf zf+_+%jKJ!Q@>_YV#W;_G{HVlhd{#@QH?*!h!Iwkr-WGpR5WcJvw`!7 z3~jqN1}0s*52rqS3*QX|Fkty$aC!sPGHpge?W;U|Y-!Z^kkt2e%k}38xk+o8?IP4N z7h-w0fB`LKjhWW@&Q9g;954sWKAuzbBV*6OxU<(Zggow z##Lam;G$XwO25xDYcBD3Eb<>RK{0iMo3Y2(kNSQE@7zt6nw>SrU;!tL7ih$#WRF zvD}Clx!*?SxS}nNVW16+NN}6)^|aI5-PzmQjpV~yg9wv93DFd_L5N5nl<&>G4-UXK z@ikDL><64guHUr!Z4A`{S$Hp&<4ko_qb3DwHJz5A0MMo4{HW8`DnB%e47 zy(Co`JJPI3f-xRNOeeDWLe9)O`C-{Fb=s~7E~XLUu`^f={g#tunevpX?>JHN{v0#) zP2tc23@bly@f1pe2#SB5CMZJf_3N}%eA@;MjHK3UU_NfDV&rd31{6OB0S9syWk&!e z5xwZ8f|0knSZimPA648Vd&`sOa-_JpRB-X5DRqR+-APv4`5tCYx?ebLn*#EWt$`BO?_g1#$=9CX*V7n9bhrI z*@Ov_1kixyQx9A*?1lzyXsCL?>zC9Wy5CyaoA?u>f_N9iqdc=+aXq{rmdJPm+8oQq z;yku6obI=g9k~mGQb^+Gm+L zz>XC2-C4)&Ne^NHGe@Nto>0I`VYK;tQeR~8mu0NS)U7*{(%~-h$Vhne9c-XlV zGxKZ%T=s9^$S_lHxY0pZ^rMWZTWCq(hw{#FPL?x4MBIX^3g#KKru-QeTX81~yH}Ke zP83v?9Y!;Voqod^Mm@^@2Dv7K{Pnb$olv_xIL06!`n(>bq`b9$|4}uACyP)G3AUm^ zc@)Mw!^0tA#UPCM}DP8(x49K&J7kBTY9 zTk)=$%-Ja?16sw-4AnrX3ITm_*cucyGy*N#nbZm@uuHy=cIunUJl3NwjJ#-v5@9(;EXfb~gJ$a)^zZ?30F3m(fH765Qb~UJb+! zl14Cfa$2Z)NX&ky@^zcF$ROe2>EXAsacjhjZele2p(~@d__JxWHMm*Px!xo?dB1R9 zH`SjW&Jl{YzH*_4~4DgKXIGMiSyMd`p|AdXcxCw5p3V*gm)?H^WkZh91U#oI1eOGsWjLF9M< z-_M{qG!i>E%)=8!Lt?WL0{5lI*duUeCJC(8V`YXD#0lV`Kuswz;;6V*50j`F&OhlV zp)WIE@w-5x1CMJGm6W$Hn=_z_Au1jy^JOnPVxl-=?7W*ihKkF^7BtIb-gYLBnqkhpSm?ds1@O$3s@8#t5;gQoC<#dlts69;R40ze`glSq0^Lr%~ z(XkR$vg8{cIHWL{gPqzDkyI#`>9`h5Ou2*=Ob8~T0xez*F%li}38XVjKqluFi1YEK*pk zK|is}q}`}SnBiuz2*XqI2|(?E2_daO1%r`=C~pw zH1|!%W=lFX_L}kYQCo3xx-enfK4N*LzZP@ zrb&O(G!(384>s%SN4)BiqB_{CoKjsD&$Q|j(J8Ci>(>&Uvh=&R8v(g~NmspNmuKL> zE*#U=$9M*MdgTH!RSv=ReyptWm&s&NxEd`nZ$CyLLNt%Po{7q;9nDKoj1WW_ID`BiR)#JFPsG>z9#0GHbovtEP#<6f?=J{=_lyBRhcLj*pi@q z@u?-$j9((0O&oifL6}PcX{#5e`8RvnXM2}6uQ!q47O|S510b;OK9C*7MuO)AxqKm= z6yX09%Wru_=?97bN|+ba)vObL8aFxSnRJ(R0$D`Nh^;<^V4tIP&;dt`ZOlIlb*?dr zLCg}bdR7zno6|N?tJ>FLu3&yke#rcKsTVbtZ^K;#ea22o^qIV7#+Img+P#3(U~7ps z!Z0N;hOHvE<$)FBO$puRku|X@SV{2-V3}p_&T9bj;v@GH2LXrgKSKeYaS}(K8x=#3 zYs*V?up#8J8KY>X)H__UvQxzx!g|aZkI<-ilqd}H<9U2bf^fjV`mf&Y;N}7qvwa2@ z%Fpc0X=YNK4tS{EkQo&Z(Zh{;2zJ|jsSLKCj>xj z9kk}_OJ!5>6gwu?R|)EzerQW$^hK?_Pp}Jcs8!O)ZrFuRc=%lQ4--_IF*C6-*;Bc3 z71+&|+y5KbqLt-gIC&PrGW{h!3k4HX6BI2JB`g%p&yJ&70w?w^#363r#{O~$F7BC$$&%({Hq}aMMT3bx;Aaf}G zZ?k-M>7e+Na@i54_ATi3g9fT+E{?QVG$w&bsA$l=DE!nf&?g}kWOsI}8w@b=*Wv^5 zB3rRIF;xSo&P7h|*-t$?QTmIYeTZxrjKL~e z_;Xg##(Sh0GVdZ2_O*q~z{Y$2^0Q=BdgcD7SMI-k_U_GOe$dN&$;*7`3!nQUnHR~F z2NQmAyHULHp3i*lGhe)!+;4li)xXraK>GPx$ZdneQ+E5_$y_P>&y*#sdca>Hqjm_Z z_NNT|WOx)^*D%KT!3N4~%Q6)Lu`{l0GblHzr;`QE{#Bx}i6=oP;W0J{zZi87DvY5~ zk5Pr`mMY?q8f8|+s+yzPOt@=`B1B2 zoEgk58hqYO@X#%Kj*;qyF{^S{7%RO0GJ#Qvnfj|~YH;0(I)d&Y%LDr$OD~1y zLw{GD&q!#EA-48(`l7=_idt8H4U+LaKN~%$3qpo=ivps0WGx}j% ziBkrFrq=ZV!Y~Q+5YG;x8K4JpiA=(|$mETIK(Qr)uUwkv^dSWm>jdC8HNX?{o?h0o zU^cuU$l-dP?sAEl?18((+r~uAm1?^*oD)h)-O3f~R*Ak^@@pBVPR$vwItZse2Y0n} zG?*8@GdaFhH#5G6s6<%r&4jdonH59_>#*MJFizlO^#^!2AEA;j!nwX<6S zIT455;}4JdyGMxOwa(i;v^T`PthC9y>ZfR?1U0V=qM1H-2vMUGt8}~X#Yu;XIFym! zLADDBZJcg{0C`1%04JuCNL6-v7^d{K(rVxv(*?rEksEa0M<=MO#RxGwW3Xzyea_b6!M1wSZ)P-=i;sbY zl>QaNK99YEE)%~BzPFfr?Orc|lSRs#<`7%PS$d~Es`bYSZKsa?&I7fo%ti`rq< z-rG3-*!UAVfl7ss2}cEu5~*P0OioKAI@)9MbdQty=>UBXOzBkpj2Yy~NuDN`LaZ;L zkWtWxFo$kG&((dV4(Isvk(%a8BCOsekq6p&v>Rks;``?Q|Y&55{sCi3@TsBr|ET z=)w^rLR)NoNFyI3fD+40O{FqfYj`-B;#rb0_L;t8P^lrABeCC;>9FgkAc$ATBF zipza!uAtgI0iDXj@qI;tpNVJxyfE;a(IM+DphJ!)Z_^`M*^|UF$Gb)#a34l{mQ2Fo zg?{)kMC}$6Y|bcORr+ak&_HPe?DKjp|5i-r^}*21Ezs?@i$b@OU^CA$So%!N&JK$% zLNFd%)O0+qLm{$OYIqQ4$aVt(kc_cVpkKT$mV`3Kos39lVJ-mG$Cd(Y6GAJBS9e}Q zLy;K6!)6NMNqO1FW24gzT*P9*u4ROxy`zpq>BSu0e$}785GPhTIijCo>P+U7sl0ei zJFR?5t~ycDjFg)`Av_zCt05hIdUtRYEZLTQVq7GwA)hTsAid;I#ro%*Y$QFJi0 zwn?6u=pNG)C@CI`1*0D|trN&Je4z3KB$_*apx_sm5*H5=A$3Vu)OW9(n|0zv*%I^S zW?j<{bxXHR(DErw%d9)JPRQ7VQZtY(FE3ydN8q(`_(uR+tYJ35zC82g@|7b2udbdV=xq!VFc6OFv8j! zU>oUJ6yBH5WqP3l?59tV$h+dLLIn7F2@@oG-e$-+2ER?P$2q~+>}eL#x}dz{0qk$I zH1;Ls@uxo#aUsqR(dP)T8Py&&WZ$rR3aDkXpaZtwoX*vQSYZHtsjWdLab;^-4$>M% z6p^I=yVmrxkR@5Kwfd&N(et@hXczZUM*mBo9HK?A>VBYUUCNIcmSb&YhD z=^T7PkStzIEC$NV=DG<{!Yt;=uafEV7uWn+P`gCk0ppPbPb<;aM_$7!1?y#OJRr$i zeNdQu6AumK`s8^5@O)b4zHRzyXY*2TBhWD1F^N%0KYTZQ0Ur)tk#p%WT*BBa zC5R6ei?c*#*5)4r`@u9xty`5yrM4LpeS|$npzcH7oHz`Y6XQ*HLPxSk%v|8vDB8?< zZQlJmZLZbtiz^;)bM5j48tz5#$bPhmlo!i|NsNu1hU#CZVTwl*?41M=N&IDOqZ$m_t`_;@<?G4PQyrvEU26gfRm5eKo_-5lW%X%T{4Hjm|DGJJ(_SH2ZQG=hDsoRs( z7Tw+wiCdEg&*iZnH<__x;=%=ud517i<=-hDQ0Rtb3cnf^jrg2sqwMy?=yqDJu)#40 zkY^H$IMIo`))q4vk4wp0NAx3vCozojK9L+26XcE1p@-JsG`6Rql!-ZY^#qj5>ot@g z>fy;T;AFUP2%sZ^4`&%qMTl>i#)u`amlvk9LWoI)#lI#mO0xxcJ2xyN5Ze056?Cwg zcj5_BW_jI_;-Mmt{JxPCp-f_ZB**1 zSmt9;k*=S(vVk`J{KG>Py6bvU&ANe`h>{k|Yd%5j0@K1{AW5FKWW*9a#FjCa8z6-- zD$6^WpelLRqGSy7EB__HQ>3v?K2KgC+%3BP-W8)>QxlR9mx?*MYe{`qcfI5_i7Xy} zQl*n8RYqant~_2LPpUARJQ848$JN!N-jgcHbbl_*yABBKqngC?X5F4F>QR46y@#-J zd0YiwmprcW9`A7#8zVSxV{k7&u2NLm3WEAac^Avaj`!qvUWMo+WZNuaF(;o{`K*@1 zm)Xvmb=u>+I}hhS}TDPqUbyt=%AjR}ikQi9XM#bk;wp}OoqS)(o?SPT(1M6`VxED>2PB3gYgQGRtP9)5ya z7<=L@;n!3|2hV3LH;oe+hV9SCEqUh~Z*ampi}FJllHvhFhPGs+=pCMG z!h9@DFoRo8D&)k?E=m;G0J7B_c;^H-A@89h%>>zZjL0V4Rt;nLvKbc( z?ovkL0C+lA)Bh;XKhyltGB``qv1P6XeMg%iMrJ+fE?M6yt6F@^aiE+HZtuON%o`k=&Q zl)OvVm6R9WJAXvDD0B(MGqINLc)Cw@ZF@tleu8GRRQ6II-V2Xce}L>xrDFZ4?YNVm zE~4OJ{w#doZxBbWO$0n?;_S2?oXZiRiC3phLa1GQCBRm91I>FWFAldldP+85IV@{& zJ+FB6-*$P8CUC+@Fs0?y94O<}NgZf<5PPV7C2td=<;ab?Wl8bKCVD{P~B zAga0tOlve@#wGMotIIt7GDTX_Qf-5<$g1~xEs?_NMoZ0SvaA_iWrd_Qc#W%nBc>c= z51UgmM&R7SyaQGj^OJ_#Y$o3i2OQz8BfP3{u(PYHQ{tXMo;+$K;ir&Ku8-&oBGR+6 z{V`&8?1Yx%{Z3zmWu_hWzeKn>4zZgvQiT)wF2eX}g$OzoNZ2r!Q89X_U^a&Iz>}fS zS5#gKo#H_e9~L%#qKEe4bL6e&a8@Ee(I3*o2$rHk46}wE?H)RNwkw~3Tho-C5$lm* zkNr+A;}Nz9;h$&u^Mn(t!#GD=3$Z1`1Yq)N8A=Raiv6$R&OETn^3M0?yjdWq7xDB#;RKBIC4DL8Y!$Z0%grZeMc+p*i!PSqN< ziYSV>A&P-sHXp zSK(X*cT+NdK$5`#T)`mR?izdx8sbuyW{vM*QUNPip?Gg)@L0dX0Y{{ol)v!S`VW@9mr-mOA(Ev+P{LS@(L5|yx3*~j_CPrZ@1uO zKwDFgJs(Pidvg+F{UnqhNTl{1IDjYPT#+4K$n6K*<4 zuc4IqYC1a-8+@y``#`ro%qabW@kw?b!f8|ybFazkY$+SvmpPQhdwB54XXyGH;M*QV zQj!Enf~%A+{QwxbnK1{yVXl6NV58LwK8LLZdczcXD0+MsyNHd;Z|qTqypvzj(2tt7 z5ya1A=+`Z>p)2)so8Kh|QC*~aGOm#WUh2Ww1%IH`x%;}ATWPm4)gjdRUU!PTEC)In zwIW*~bSa1y;hP7B<3(S54OCurh0?ibf6_1PgKZ8@80;VOVby1}S4>-#{l}F0>9N?r zTaXGeh)EWs2iP$?`ZK*IRX~581vM-*ZCtg=dp23qip!RXl6!EO)uhx_HZzy$>Sxbs0YRI%y70qai#{v3 z5`JO0!18EAbF4AC0t2-VYPP9-IF-ZnW+p-oE==f5gu+R@?_^6NkeHvO)@O7Y*lkR#;z@de-`~;4pp-p)xVr@KQsi;e90NQ)Y;* z#{o#@edZY{U2R}gKCU%&hR_J~CgZqt#XWdtCuQQrJ$g>_ECq4{`K5ur&>N{jcSM%8 zs`-HY!e;i{m-qV(JSxY(R+Uo*Xe9Bti~>HAhf|jofxVM z)OC3?M|CUzi^*9gHvn3Lf(qBIvEeH9bQk%(&&rl7_#(3QkUuI5=U{Tk>8Z;cB8%R1s~PqLG_GPQXYie)O^9+DA;h=^HGixn;_q##)=OE0n?aY37@aGUH;rO zZSFPgV&KiY3eHOVS`y#0A>yQ`J~YDY00CsH?ZNKmk)Psg=o^TQ$Mb$pFEr<26u7md zWXH)3I=l)BfltdkH2Gn^=#Q1ss;hu2${>nk(qBQg9*&?+$zsX+qT5HwiVxahe411WrEAx}nUu=yKpe_ekTd4lY4Wm~u=gz=VA>dyIRKP3j2v zU!plBsGvBKlWfgd-#-oK&lmH%)Hl_VAdHPq8&l6wcvCW50JFlIAQ2dlI|wm_fHsp25e%cdq{ zT;A&XObQ$bvVCP)E77ZiN{C2bdMjqZRMDkFpY0Pk5kz`kIC=>xN&>r6<_Ggz^~@}cYqw<};X{X!RNciyjim;k*_P~{Gc zqH>roklY}T3R&;-MeGGT5Qp(9i;pCQZm}`q)Qz3=y-3c7ApcN6MP}nTKpjdKrFxZp znV!Uvm!$Ki{OetTt*y^is%f|abd9lnlxhKz=^}_}rB2XE`VFK&tLS5pii8M3fi_RT z28o_Lcw|R4e3^1tZ!WsAbq!Yr1E+Uo@qKZ~yP>2G!_4v;;Eraz|L#1Ae7wq1#MV;m zlb$;(YuV}6a(QJf>wBd>f?7T%DK(pegG>kJ-^`BpGBOCTSJC>S%Am0L2smME!wTS| z0Nn&3+osJ}L`4ujp!ccbRv1U~?= znA#acql|4xtu?|Sna&8>v_Op6SYoD9n!_1h03BpKr9n7sTX<*KI`2jAQ5GTyJZXpS z0#~Cf>xa4K@SngpqxMY{VPYLS3eo~+DRh9A!3jN1U12mO1DJ;yBcR_CM<{E+rh8urdSqq9l9TyD_a@ zdp7oF{P{gx=X?qh1qQgz2fZX0`NeSlvl9H74|{k5^BT_NkJkwJ!HCDOg8Qnd0ya=< zhnUWH{f*l|q}&F&KI(XATxi%c!xTqyDz+I@{=?(}+UzYLSOsxG_RA=JPQdr&b-Doq z3f=p8uRoX0<}!5UKVV*n5$EHnzgR-Io;w)JGx`Ldla3>msX-2nr%Mo7CXcC&;P(e) zm*a;Rw-#wFBV%?^)Wc~l#3Y>(ut~5TA}9hdbh$~7iOq=rm*{`#(o#?;4Pv4I4ght{ zQ7KB|n_3+Cxu?KJVEz+qj|u7shCyn@yg0nr((jHy$*=#C(M zhqSe4f+<9a{t*z9jx8hfGFl~)V~*Pwo4n+1H^-#h9REpFEZfuLDb8SB80MKK10Jkb z{~P*60)0*R%OK0@0P|Y+sCQf3#HR(7A+|+#7{UjrFaRqjZrQJ?`>qo`o}aF z00k{9a$Ryo&`s6}*Q2l#6N;PTJt+?aI(n}N45PLh$2fw0&2gcoXL0rm(~dw`xN!O_ z2s!19fYE%dYXqH9U1EL~f`ntjHRj!J2&k$5i|+w6K)^)_0I*s?)i`DFnaBcMUu9`2 zJ3%{_Kk^^I45O^W{+Gkmd|WqlNe1XBjkB}-ytw-Rc;pOAqowf&l^JgNid|_-Cs?gf zZWlRE;eJRP`jJ*E`}`1 z!t94x%#kbugi_pF=DQA6;YzH2N4u`u%)PE}q!5y_zs~A4on9SX_-a^v_i-lbvY?<0 zSXj!8h6~G8R{J2ZDh2g-{;*PI=cld8i(QpMzIDgST~Wq6iG}lCWsh9W`qpJd&${}L zk|!5qf>yh3Y+8#xH#pkRKN^lk;sL9@1-3rD#q%|KHVt{4$McD?NP@?3;~IUeI^=%C z!y1HHN=3$2Y2QXY2zE)x70jY#1bdYQtFczehD;MsjmttDpQ}3 zrc|&vdqKmu*(XF@A_4R)!pJP`=D$GXaR?y<3o@hca8PJz0CmLfI}VZaJiJ2 zyNfad-pc<+(OY>Cs}dc~5~$Ou0wP6kF7T3&?D{~W zRGNKB!wcL{0?=QpqW{?_O-(va4?S3!-p)tdRzYIGq;(^?K7@#dRoA)?>jHt|0=Xes z%h)ue+F|tZNuwPlyj_77Fq4-z^V&g}Szae7u&x>(pT+4AbM$jvmvttoEkX{$O9Dv% z?#coXNIHQ$c;0?c;fBLNu0ml5K!e*&V<;V4%H&a$KRrcdDUD17%K24lI}C04`8vwK zbZ21s`RG#sdt_@UUeulgh7^2t8jZGM`Fsjp^{aBB`ICkAu%NHHLO(d7&;=CAR0>@% zS?D>a-sfDQ$B!sFP8RwVo9QuEsP~9MpPYI=6xm$s)egLC^I|g>@~qE1T4@; zM{8#iOC6;XBn~y9^Sd~RS>a&FN`TiWletEDL$xRt&=U9>`_P1pwzWPsjgDO5F1DGE zx~U;`u1(FE<%_N49UIbB`5~}{u|DoLp9I_*tITbL3JZ>T?r7H$+&)oR=S5c8Mdd3E zX6CVDuv2D8@@V&3eU1yF30T!A=aL6!&u>^#u6xe(HSFk=dQi{r${p?OaLkT5rDTK& z;ifO-qH&=Draf|_ZMRC-uwKBZlj3cUQQW1F<4Vg3R|=pCr7^IE+B8t0H~WKyE?!eN|B{-S!VHA+bW!hqY9J)@Gest>+v!1Dscd#l8Y%wH~Lb5qTs&;;DfsG z=)bC7-L{1*j1ha@4p%R!-`jf38ugCd!iC3;4^e|AvD7*t2uA>ksG5`x#WROFOsNc5 zFqVh}0e+r*iRVj!Cz%=t*80ekO=N~4<>3gHBqP58AX|)=L`{}N5vJqASt!U{#(zFj z3hcv#teDABO|keSu4OVj@%l+1bwi;f@C_1zC9pJyKEcUx?Rj(HHt>xP#;V(O(CCf| zGgGS8vR-d|U1w2=|7iofcnJ3>M8{;0adw>Ewbq#}mCc|ZfU61=rSnB37qTynB}@mK zeTXy_FhM8^IbbLj2ISdNW(=8lOtOJI+}^QNWC&G_NblfCj?vz~os$72#970h8?Io<=vV|dz4V%Vf z_S_1|ti~i&J8(Vxd?pjoF-;r9zK`euMTnCKz1|cVFneA_|7qRM6}& z8h}RW;X^2jbv`gRGfbG3I?!$kdHBgHU@s+Mf+7^lwndR}xU6y_{&^FNVOF6Sj$Sw9 zIuz=gOzSaSqD*$B^RWRupfTcCrZ3cT0nN?(-9jzzKqLTUxGI_2Rf>&|hYsQ!04XDK zbnyX$r7(~J1rzFI2HtrHe|}&i()9>SRy9B@sQvLlnRu`OxG3W{r8E~Xw6-y(U4T7m zNHH<_o*p)DG?@Y+XCHZ6VFZ3F)-f3e& zA)2wwJ!E4cvChVVjOgglaAt@b1%3&|dk`%^j*V$|z{yOY$py)u>kVnEFaOZjAzv_$A($7hUZj~LTH07Sz!LM2Z1Us^+Q7xT4_%b zI{4CzY8c~5@tiO#WF1&Yg{f!f67LU69>pU*&_LWN01JD@HwpU-jvRX}kCQs@C@kge z4}>4u(GqEA()1XOtTCuiNYz*d>CSJU6j28)0^xs*Yf-)WxAH4ljqaG%eY7P_3O{1q zSL)xb`;Bt>CI%n|5xU0Z)c}=oZUIQInlTjD02y8*9JH*t&IN50pD_aqhpZeoqe(9+ zap%IT!I>i9PuSGxFnmiXdAS>Jd%EL>{tn3+O53|C913fP)9L zvge{vF+a(Mg}GMmJd8oz9)p8R?E*F@+ZTwg(|LUrRxB5)En-DI6sychi(BA%AxElL z%?TtgYQ@BwNT-w7xk^hQ5kWvimo;js?`qWS*iu3vxEV832eUI0rOPM<8a%!r8HwTo zLWDo0FnQ=9dzUKlwDaLY#*5sc)2N%-kMwzN`tQ8wg=e<^!zDY81iq4_`z%V z5;HEBJDAPEeWkEdP=46(hsxzD1t6IMMAN`IOLTSVp8G-%1I?g|qjkW77`}-t*P+1^ z+2|evF(7aS!i&C*rFRaEP82Y>bE12()O}MmfUOM`OTD>Ne;o9Dedvd2sgnqin%h_+GP;&n|f4MQXsM}ULh z=)U2>K#sh2A$_0X36A0ySDw)ni1l;zBri)~g z=*6U9oz%d}Bwl<9{XRiBAFM*agHNV-087s#qEIsy8ERCZx?>wYS0IWwL z7x$yl0wV$kPynDbM>K{N3t;CskgA4;-S8E%-Z3_W&sFX8_O)0^Nl&md*dkp{XjE=1`99^g3e>d(YW3V` zdw7=itwdm1nLB;dN>q^IwQU5|6EQK5GW9Nn@hv<5!=rt#?L259?NPPS7DPyWlpP*C zoJ^r^B&}a11*OgPs=`t;tqL>*XpoaOCHJDWE0^27cDHi76nGiK-FPtWr;|x}_LE~B zS_HEoh)F~6j37`V8s4Rg56FtV4HS$C&182^{)U!(VIq}Jyy1Iam!lSD1FZ$||0xYX z7O3Z%1RlRA$OZ@vg7P3q_QTyKLcyp`xFDK!xOVt~k8c_?d>t2%24UpFs3{$gF>;a1 zBYOzDrE!kJxm{dPE-7gis_1-k&@Zv~;MRE`7K{TC(|pXUqDtU#w=|0?*)p5?a4C%9 zjdjJ*!^Mv$E_L1>p4?^C1Ndpsh#!3Nk`zLH@~l(3<|g^+$F>9f0K z7!aTeJh4DXznb63z_LgEhds{?_}^(X4pRAAarOxfn{{Wv;zsA03p*P(^?Ft^urqIt zhBUm|IDy4cJ3BrSqxf$@h?qV07$yb6=$nwC)JWhoNL*$Qh46%And3kU+}tEU3%o3m ze!b6e%88fDB!vQjJG*jTBlin~NB%U5I2G(BgCB$0u&Ka;PQrmB(ka|{p!6a3_EB)g zgpLstf<-$mnoUKKMA-BKjiP`Lq?HK^?u}q4L%mJ-_x5Ilvr)8b0}V@o>ihveu&tD+ z(m%AB7KE62YGtNdzh*PNth|**$LK>%4tMckZb8`Dth;R+JiOHZI*-a+?GTfMDAYS9 z#J0gXMFR9OAY~Pz7eMLf(ikX!(=rebttFXJfu&^eg<_`v)JSeTY#Bq%1-PmJFzcQW z&HGNTbg%5xyv2+oYNGu)qQ|fsU>%Bh!7^CHHU98x|1*c_ZbozvM_4u!MZbVS4tzuw zxmXy)fHQ!H14Z}Hbz2V?yC>tF5Q($WY;ab(aw1@Q%|uCBHAUnh)R%ZZ0ltm)cy5Hh z^1rf}vm;qAgM>LQ8&{Udgoe;^a%Kd`%S%Z7u~Zh5U_29erv(xa6|n!JY()5v1tW3U$Jr+2)p^*L4bJIOK3(24$uKM_*;3R9(!iGBkm4~K^c|4FoC_5JLZHiD$6T;zJwv#Q83sp*Kt^zyDrF`$$BHl$1=L2q? z-)8iza02AL>b9G~oeHwH6bnp+jeF?*EpS4V;I4+iK4vJHLA_}NxjzmtiM;{tjp8ui zThR*&5mA=zuAW+x{0TnzfpSgFnEp}I(f$6gtn{RMVsXAj&p}KSB(l|20KY`6j+d$? zd?{groju37Ot?$I_*Z?*^XOP=Y@9u9D8styf=%ttFKCYyw3NjL^e*;`gE4uSA1syP z!|{9{94B0l;yA3PH<}Ps^1(liu!j#`pLcr#O)bv4X)oip#Ye8{OF^3{8%CX!EvpzP{GR+(3?B{yJ%FQcL4PNp z<#8vCThA!ED8+nlI$_adiN0W|G-^142YC9$2^{ja(NS%>h!|KFn*;SNp-~W0tUj?N zpdpfJG(x1_9*hg$8Y$%Wr;zjR7X}Mz>Xn9}qMgcDO<=F$)ud9Q)B?Q6h69|rlya}d ze1PcGdUBaGG~5LkHxn}rNu)U3H2tP`Ojgp?%C7#1)W=#b;u=tjD2oYWSNn0(Y zZno9B%pYbOA~jYojK>vaa-}yTZX=+D{uR4Hh(Kd_M=rq@Qo6|wi~T#yyDp_{x*p-{ z0z7okN#4Ig%FgDAFKRct!19%2P82e8Pc-w>9CJ&YYjE&*qsV-QW z@aiEP-=NP@!!e1sIDX6s(fveSqVi<04$GV=Kzz~K1?`KaF23}$wC7UVIstFpPRb?^ zgUX>2naRAyuJXpCdHrR6;nlFHCK{qEq({-}^7=q0QRC^?!IyCw2Z9Zf+#kaQV_zzX z9+X$}3!m7QaML{Rm(Y3MFV#oyEid?#JiKsaCb5AgYK4cnUEMeM3T`afH30q|tDsk0 zhTN`6E)?)N*$?T;$Mi7+3mVA7CeF>khaHHLma5-q)e0)HXzgXoR*B^{Z;_2xslTxC zt_Fkhm`Gx3OFy6w#s!VOruY{aLV1#KvR6T23Zqgxc!MvnVG*iK0cOby5XUSPOF>dU zC5|WlDmhPmNIX44&2gL_N41C}2t0k1CmsX71Q_3}0*vZkr!LjUmX!O~+5S!IUpxKd zZotc>L~3*mo*Ab$3#%oV9q~(rB$l6yS{pqOjgPQf`Q~6Dks6j}*|X{sdYVCe!t0BE zLO2YAp9i1O`DBC&@K=KsgTAAdSYa5JoW8}jt2~th)4GaXp5$%!EwipF_0QJT7O6!F zxWFZ0yGNy&0a2&Bmpy@V{RVWLr9g#jnXgj$-q27eDhpeg*P_Y=PiyQ|hWG{pd`ZAW zjA}N^lTshNpH4q;pHD!i?6?acJxjyO0nk|(gQ`l^QImxlwW`64BNm9?F`zY0rP z1o~xSfYsJ_5@-%k>~!edWO58Pj$s0*xmF$bl|WF|Y=}~#%t?L2ihY5WJ!0@CQoD81 z8IBDQHiy`b!Gks&x##m_pT?=qh#kTRWuBA~k0qFT9ZU}QL=1G|U1H}L=ejn(H!5iK zQ)&WtWkZSGEB6V&f8Pf857YKm$6^z6-?i5Isnq+epErbtL!9_$=|?6rl!y-RPv;Z6 zh@BT7P?b9I4x&!3amN)}FoXFM*2 zTO(#-0ZLD_O7nEVw2q9^Z#*-tyoFT2ffCChUK(1=5H;Lc-+*5~qv1~OS0WBV)s*B) z3JjYqQx_hS!V^Ej-#F168f>fh6_c$E>^1v?LnHn|sRTp9()iN-wm;<2U!3Ymn}<@Y znKTok%sOfq6oZuF)t9=Y{>-g`v8`Nrn><|WPE5w5qwUV z55%@&5^~72)BnH^{`nc@`%y);xb62&Cu0^al~MY=SG!3iwZJCz3j^)xm0gy(B}NROj31a+|KoNi}CToYWqQ z)LG&vn=FV!fCLSkUNyU|YvodhCzo>hqbyEUyE`vj`Griz1 zGtf7HIT{&}GJw_BSXG~Zq4^%V<;&FPQdinCehQQo{#t?PQ*}k_nd1dyy$|`NH|eQ# z#Ww%j`wgPvQh2TEOFJ@_aa z<9$%-erg~4{uA&vZ98T5iv@;dFGKZ-`!kL;HAcov6>6GOZd;xIHto=vo5~fpEyEZW zsQ)3fk0~6NiB?StO@8;uIh|4%`>Wjt0sUCqV znehg4cr6Bm5MmFg=LL!{NKxz&acLf{{P)8Lcm?PI{Zp;f5~r4dG@e|jMfb1d2uuh% z?@{17xJz0-W!+|5HVyq}yXwlg^v=q-mfmgSYO{l}Pa}eiKxYPI0^EmD!NDsQh9o2V zts7MV&tjw=)~GO6&UDiaVSIR45j<3&OAV&9b1?r(HvfwK3mnY3l^@C^f2&s0Y)WoCV&e)?*mXh-RsHRqNBi^MwewE<0mE^9nXN6~CU4A;OgkGlCB^3dj+{dA(7i+U zdx%-Fui#-(%@`mG6D2qq?*+>7v_JVA#SBd9f_)evqjNx+ z!4V|qyLiRa12h4zDIzS@Xo>h1u=oOlf*cvQ;MoDu266(YiGUE7x>+D3%oqm?yats; z4-5AQ*GQ6=S}KWqVDp*gML5M-j=x`*X#wU!8M z*j(zFu008lr*x0gC#I<4_NsGyiJplc9rlwz8a2rG#Xr$1@U>gB9ESxjqOekIIE4SC zY8#MR4di@r^w@{`P&y>gx8*&$ZYCh_lFL?f0zY^DM37erkZR!PNoZoRX%Cy|zenZ1 zTzyR~L1<$9;-4DGRO&)2c{cQ?HaOjq?3W44WJX5dmb1+bn)3$n1tK2$Xk?+oTPJ(8 zxZUo8f43fyINR!d@4+##NVNdi?WXci(LnMY=99l~sDtmF2Gh$9qVIUnI;a#douO^s zNhBcna*%mGq5)M2qIDo<2DcHnxhE({@6Cv|~J2SSO=Shh&0Y4u5kru7N(A>sN2&qx=J z`jkl|h}k)ehO0Ux!FzGo_2pe^uyWQD>e6;pPgFy(Tm#o-KwL@V18Kgtc7;lPvh_Mt zJvKKoybm*acK|R+*7eFBBL{@PXoiW4!u4Xc9Q*^dh%$0hnX9S=<;96w1Zi+J zor$M2#3CX0=(|ml=j|K*^X_0o5l)^TAbCE&qx8@syvB!D2dTe{>~pzJ>Wvi+pa3)&!& zZ}$2wUt@KflwJdB`*fe@a2ms{Eii*dnVZ1OPFEhDif~`6HvKNe>AP=iJS(oS5!|8< z@a#RY3eR2Ayu4ZB!Oq{?`BdsRc0SijBFZGsjwn>FeW2w)GU}7~yUb!QuE4gSE4m@+ z2p?%ENvO>m)XeqKBS;p)wBz)heJr1z03#gM8Uo@%Z`wbp_=+%u-)eR1{>&*R0raR8 zN6K~E1N%FUO1ml%*r}~zur@DgUSldrS8Z{_B6YkC>tp}}bF1h&1(Ux`gQv}FI<8Qg80f4MtKI>lIcJ=MNY;`%WE5BU7 zLE)Qlo}!$Om0w=F?p9vj;a<*ru3Y>Uy*%5!?5Mo_s=_>7=w5EFy!?uSJe6NQS$=ub z9g5R*zRMXczr1#XUT$(P*KaE~bir47iQGb6dU@jIS9p1edpYxYm-E^S*7FjMvm!S= z5Ny<(9Ow!pqp%Ju|0W(dOHzPm=Ma-Ah@BWF59NOo51bcn5RL^Xvo}-OQkjG=^YR&J zy=t#2M4FXH&b+0R2Wnd?nf7LYqTj88f`o+|Jg!6cvR2aA4`!i^2&wDJ`7T&O(fh{S67 zM|}O|$H?~sRob7;OWGH-Nyd81i>#eXZMFV(;B;$OE;o?z$4e3DvO2#IE~52Gw>U*Y z;uJVI24yOrE``Ed_^}Cw(y25^4*(v3{5BxSkU#SCWB|!ajgIcc&rLlrLmr0uhrFYs z^6K(}9B<<5^}RXoxO^CW)+{t2dlcIn>$Me^o?+whE7TBLyZcz~>VvWWg`ZU)$1i)4)uCuYQP4SNvimAuo5 zhYO1j%VD_c1} zo-JWM#1$$hsD4behOSpQT_99`rZn{KUn&|)rqGS1F9aDsQ9bW-&nbc#Wk!ruVOCkf zS*cSE|f7wYEGBFktUXV51K94nMOeT(iX8Ij%Z%8Qbs9`ij z^rG66ISBj%mDF!eaT126y+VPA&p4N;>GiHM+FTG0n{PH8?r6Yb}pGC2xeu)937>4?d`Ko>+Y@TmbZ%&0Ad?`{VnMq@gf}sWrmM8V|F4V}RN@oB4Qk32T zmJ|bk@{{n`J(bZI!(;N}0m6q(9vXmwJ?}x{^ z9t_gnf4q>jDT};BiyU{0yyP@n08Der=Opcv zD+P}Zy|PdF*5m9F`7{vL>8I!Br?+u2;;70?K5)!uCM*gm41=!no?? zjFLXu9Y zlqv)ACRGzfGM%S#uM{4keX(Tm*)NT9G~q&_B*AK=V}f3^Y+_A$wlp-z-~8LC!V#QR zevJ8&NC;6((R}-bkV8Wo7iKJdx*=h`xB}uA8l(0KF^Du_xhwcD1#@v7l>`Hp)ewke zHff*%9*=G@NYz>6CwIln(D>|{Km>YtCh>RuY^a+xJZWb28m{FmvlV6*Z7V3{*tIx8 zkeQC|dSmhfI~kdYM6?xdwXHA#9+o+t#a+}=*Q`XV?Z?mhlB?PWMNhAGz)uh7;!i#G zyWbTu##IU#Dy$4K{4t6%9Dt`^jFBy-Y@9;aC2H_RL+U3RTxDocTd-9Pl9*!+p5P~Y z!^0Uui1QyGGy@je2PApwzHMF8Zgftg*9wwiDW~>&vY+c=ya5@)2n;{Uh@a;FBa(&$ ze`(SqXDvO^j}>huOxRMRekgH@`r&);m&lR8pj$+<~7HAGme#B86is?M5~`|DD6Iel8SCVF5~i` z!qfI53kDDpU(B7oSZs7uUQ8@VN}@`xi|cjM@@t!+t|@`eG<=$q{p?G?F*!fb0hAt< zEo+d-w3!zJ2?)-JEE6J@gyL zv^v5(8T@&?8rf`XCakc)*io(pQjS2I2^R_VOkHnpsb^oXiLZp6Y;J2I?BpF3s^RhP z)u#jA$Mz!uW|P^iE(pi=AO~I&Kj(X9xsPHV0t9X4N1OFdX1V-96PrVw2r% zrMveYYu#-vclX2l0VVxuyv-iY9;Wo69_*`i+rfu|3Bb$|b%$9lN`(pMhVD6mJ4j8u zbSh<(j*GDJ3wDx>9jt^lzvWVKKKc%s2z8?}|GS6Lh6dfyvXr~~!7BT*=C-z#9n!nn zeuAxvQYP7wx)x-KI8LuRP#7`bB3*=6UnSfz1jnn?ofA)PC#MfsYbXElYD{D$x-VcN zn@`f-RKnCPeDB;8b4<4mYYMNZKJjETRmdR<)vM5|w)bk?RcZ)~AJH(vVY5W_M95}}XSBf9p7 zR->)eiZ;%*#+3R$F51z#K-Mw$xuQxJkmshp>S*dGH+87zRphood@!g%LIZ*m{3Zet-fzt0w8b>#@2MgaQfxiK>l z6ajQK0a+8ajc{pJwd>a`TdfXso^5?s$_ci;6H>7ff_L~fCd}2UiaRw0-(9(8*&4HL ztdw1|+^`N`Wxe`c(@NiCr6)VS#)2fAV;x_!+*pE=$8%h`>*1&z(e?YSf{A;eLy@Ng z@7F!h(Rrz6ZPfedun^bh#vlsW@&M}i;dmBakD@3VK*wf?4DnnFysIzs)bGZ|IB3DZ zJ`L|4yG|!M2olB2-g~Y($a5J$o`x%(RJc#9^L#&!72+9eHQaSPP?;XFEbBYZKfif- z2jSuGIP8tTYuYEH}ZC_dwb%C?Cp&=Z`ybbZ_jgYFDbuOIC$Qk;of$-w{+t=@h-(( zrQVm_+naB>Y5i@y9by7_`|wAtUfx=3+rcz>d*}J~_SW03wzsw9rMq`sKzBFZG5?D< ztJ()$wdY-ES$TPlI(Dghd!2iG+x#!yuD3!$srI~2Sl%y}-+sis?Qn1D!Yy}@_if-7 zc|Y<=%X{?=x7yq5c}o}Wz1X^N^$qq?74Y)8^2=N7rKX&hANiE!{HiPdx9;U*<(C_$ z=A8R!%X#aK_Od*Om++ERxcUaxfli52tl64)Yx6SGb@j>5Sl-(<++c6z2JNM* zTow0BzSX4v$i1Dna3b&3ye&_|mAqvdZrFH}X6zC-4figpWW7-p{F$pDIr&x_d()na^?!0{Bt!lW?)%%cpd(92&Oc-&cdwcYpB`;Z+ zTQ_|92Cd=;P{pQ#zgkmydz-e`FTi?{N8Ef?qu^a0D;zwgff)PQp@6)-91e)d?|Xf-ob2-zNiy}wvdX0ZI0nBX%^okrHz3!pjN&le2EMQMvmo^WYxjU{oc{& zD}=pSncRIaIs-{c!aP1>u))?HH2odh@>?(4&z6oehl#p}6nK2(b0IyRq{h7*9B zc3ywIzlcjvuTB&`mZB8gACPsQ^pgrnV#&dgi1x<=dz2kdT+lkOP$X9&nc5}JMD}&O z(;&;vJbr(+&=p6&Djygg7PADtOU*D;omln5g9N6O?bNVn9|V2tjhM>I^t4$_~Xt@UvdA!a-6~>V}V&WZm zeOw|J%@+JV;D*-#OIp_=KZ#el2)nuQMrZ{UVt&&HrnWZO9sG7+fd5#Mm+(cC^N!N0 zyt9W05Bi$9DHQ$(9Q^Xj@^Uncqd1@`Lj_6ZLd7mTnV>#||10%DONDHAD4NFyi7mJk zbaF+wX-wwbu4U7_A{-5Zj_jdgW`rJ>P&J`yBnE05YLXB*wUmd;zUM{q1!N&+xO&9fZRX6`{rPdXx+!5`vbGBGwfJbF(dC8;9K+O)CS z!$gli-t7WVT{c1@@FEkdUmT2#+rSwdvDp%c6QRAQ^PoRu$?BWhWTAU6ZwD~%$4gs) ztq22-?E#bt4hERf(v)9gJ*7T+6&S}loE?Ws&QW3!EQ>`SMW65}{e@miDVwtYZ9&?L$kutQzj3oGc+o zon!5Piq5BZB%afO;f{lm$C!X&L)mUP*6KjDWk)knm=}qk_uxjWNvUH^Sag>7jBuWc z@&+MvaOw&#S-3*Y_mm5fq7a+Z3ZXx3g@ndd6>?&!#xJ>+NG-QQm%o*c_D^U}lxJQO zk7iRimmDbgks;|#g@s};sHq7K_DFU+?n=w^^!&7~Sk_70bAz?1)c4A5#*xDWyV>EB z4OX5e8!n*#%Q7&V03XSNIj(e}z%5#NPAi833I4$x^f8v+ZW>%1JJ_Ft6lUW|gkA3T z>}n<}e#l%b=Uc4XN*RL*ILw|lcKmy%O)h!FcANR-N{Qw_Uc$sA=T%BHcepLI5U#is zso^b4zqL-A2)~3SB7rb+ZJ~df-gd_dxxm<7u8EY1NxX&-0F%SNQG~-!V_$X zwQVh6^cUYMwgk^hZFSmv$41fTVR1$>!_1kaNx+@l!>q+F`KDDWSzbAgZ#@4Rylt)+ z;=yU-xT7{|dtpjTt+aIB?XIQP&DPR&y&!2Q{sd);29yYXFCd$i!@Fpe4VXEEwh#z> z?I;olkP5^ruf)T-0+BB~pTimCh9zeodi8OA!h~=olm#6a%WY>{Oz*7qb=PEH%RP-j zgG@asZL3yFO=IqVvBgwsiS>5gXc2FL5-Ij3a4Cv#w5|}14n{I54r^^%kMvNFVLhUZ zDAY>YAR)}YFsqUt+`?%`q}{FFb$@A%DYeiVn-$8ZKn3LK9B*_7Q#pKxaG@f3iKG6G zgHAjcaKw2+BF|TR7szp?34b<7vvwK;U%9|yjQv*wbtF!;W0{+snuDdKeiaXuuc7Ww>0Ski_}(*CfV<|2?E@FE|L^hp?%&h+tNsEAf| z3U+0l$#7+6(y^qb5maYHjwBEgKn2JN?l9*4!Sv73^e+QoXXQQ#D>^!X74yDru%e^0#VTuu3Ix{UbqfRM zSUAMA0U}tFydnr9{*qGxY&d(|J;LTA4k-?GGC&k>ps-`IwQtxFoc&}#tkoHK?IAAo zqQ<8Jg}-YJG~D>LFTgNef4kUG5qPqWjEi^ zwodw(%5xlNgFLfZ*ImD%v-!q##<&yj@g(@Vd@);v7u~w9BVZCZE3M5Api36pZk_sf&gzJWn)_{xT+TW`K` z;kv7@6IbbjS8*0*dlzETS?@f4(t53~jvRYlXS8>Uv!!ie~K(T~etyQTYDW%AcEmXpEN9&8O9 ztI}$EFU-=fE)i>ufY9EPbCAYL0*hqM_M-djb0zuM&5}a5zDqFTR#=#JP z=a0YyNKC=2=ga&Me*vuAjP-zX_orU5|FVHs$Ou%J5%6Y$qt3u64X3Q|yc+Vv-GbS{ zAHF+5l3-{ig}jx^27-HYcu|`;NF{s~#!q8-2ruHWQSmMqcms;cdtc3X#9|(>Xv# zF$s+%#2%;5nuoAB72$Za1ak_fcrXj#Q8CWH@sq_^05#ZIBK;()fg2-qkSWB|pqk!M zc)4hClOP#sLdFHOKL=qy^M6!aiw392qgJvP@;GJpuneJK9$gIzFd0l#p8oJu>c+=V zm&jp?aOp4uDAs$gGc#&4P7p-aq9z_8wIla0*v|cH$fVZ| zXok*Y#fJSD7aY~VuG`Nj)xR0+dRkx_UPd#?BRm^4y&0b?A3X-U#m|&RBw?DCAATo@ zJ}+m(sP%{l6-Ejg_HUj%og_ik;)F=5n9WjE>{ zBp4JJCg`Y#d8L;K4~2^n@yh0Py*=EA+6psbJ|X4St@!4F{saAQzWpY->D4|w$uf~_ zM7)u6=}t%=n-(B_JnvVMJ~l1*q^`RI-beOFk-5TFJ)9oM#fN|nhYoYKQ4MZn^Sgil zXf79_V@0|9p@jZ^B> zC|k@hf};#`oUEm+YxLklR*O>D{e%3~W4Qv~+R9u2=$wK@G_|f+eUWf0SH@CSrpE8n zrWN9`;>aSh?3ni(Ylb3=9pW(q9oomybEU{%Sdj@lp~{Wi6l9yOqw)H-Y?aD-58KwSc8JToT$N%ErsvS$c&n6D_Ie#>jmHDS%2qn`g5KgEfX}F))A(?up7|~&&bc~$?9yN&GFizaXRA`({v)fqfa;AFqt;!jD;dvr8c<St~M2vj&qnWZ471gt{zvX zQm`5zNX`&Hzi;0=``&@3c^f+vWOLk^m{hWC#e5P{%l%5|$$lAp-zy-$pR-`Wd+su@ zHvtxwG)YDOs^1GN@NBvkUQ4emd^tSHa2#&Reu@htFwX7nX}w*#pu;@;KV>tZ)W6#d zyboW3Zx1OvmYSCw4uFguZ7@W{GH&2nX60Zafi^ZZOEuK*E*?TWn25%rI6Ghg41Vk0 z$$+~Ee<6$~&Nrw{&&BYF!FN^8y|=wcjO-d|Lqq%%%0i=+TBD*pj19tR@|iFB zNJYCx+vmI0%&aAF-Lz4+Z*_wqPHFM<#dfuNt<1LD&H9aIbP0XSKcQ`#o( zP3N;VrERVFrQ}q7tQT%?HW9+y340N7Ob_?rfFcY?K+A_2P(|yf?JoF^It5#vv+gMM z*KT*=Z%%iT!hM1zf{>sc35FzN#=Lh7qF-!KFtdgT#19odbbRl05#jGa2}kbLh;}$b zfr>_yAIqSE_a6ClzZ$EZeEy(f6BcnOCch;Z^PJ8_J~v(9Xq<)Yhx*RIFBYBcnbujT zXwBVy&s%4ea*Wmn@FIADprjP~Q1$Lvx^i{1Stwc|OPMO8_Im9QArOh`i>nR{YvlcX zyib$#Vs#bEnwncw#eFZRT}wF{_`SXYM_^RRGEq3ne6WBDHZ0dM!EuE=@Z?o|I~Xj; z4w|sov@7Zh1@PSUifnd`5&pgEkuGam-l0%)7r*FQB-L!oa<&*eds5*DE)Qxl;i(_c z%2Z-F85_prM{#6o#xod$<;AD<3-BGK3kBY04vqdt^$A^O7x&JWw4Hc%oX>L(jHKfI z!^QER{HS=KtE;aROM$>^BL#91(^mUhHG0$9o16yUYwX z``Z{5jHG*V1d`$K*m={ux!Tzb0JXhCR>ZM zJJ>rZKao~!-*h6&@yCz?lU#WxLBUzt%t@X1BsJ9H&5l>t-Auf~`N1G8NL+^C%Lor; z`^H|luuIT{PEgjzr3qibvF+io`Vqg|=Ycd^N&k5#94IU&Y1hIWnS#)@l~KvS+nQ@j z{h3Y9!d_8g$e!7E1i@skCGUZ?#PqZ84hdG`0Y7-?^NkR8NJ*U&bY!#fe&ye&h#^5sl*{E z1sVp6&%LU0E%=_~sNT|TjVg7qO~u*xIRlI3SO)Jm_)rskp#1k=`Ac^m)BIWpYzrc0 zfZ&m7Luy&mEZNd|J+5|A4re`;+F3yB(KOLgTk8UIpx5cjDCH!MEDj~ykN)VtO|P5o z@*^8ib1N0TsT*$P!WGh$s7;nh}5OP}JvU!g@A4@N2F* zcsWs|=;>@}j1WG>QewD7D3`&cEE&)d!ik%XOL(l53e@cJ(!{fRhr+gy{PhqflDB*AIa=wy;eR;Ehdg62tOr-n(s_@oW zJQ3p`)!JV@kqMZqBfy50gxafn%Mg{rtWT|W5|QfWjCB@SK*ElQIDb9f2|4_p-AUthMVuv#8zi4Ur}|`f zxF|M{72LTX<%q5`LcN(ogz;h|vS8&zJvr?(ceE}LVtz-_l_ceE=!*d~Gk*Ha_E%or z{=&9BPwd{YYumPMPi))qw0=LaZQCOxr+#>4pB>w_ZQ1tH#5ZIo`N-~V+aBlLj+Y+a zMh1QC@tJ3M;=A(a@p7$?@R#~krApf8-M+IdPdq{!JGSh2Y1{~mu|E*X0GP~lL zc#8e(XJuQ-?jocTe6o_A+relO5`|OEE4<^0@Dm|Y37^5E__T2ZI$rSUA#{XZl@1Ak z^>Ctweh_2TF`0r zuI!EXLl4UdF-nw&l9BFr-u)9J5=B7@Z@?%oP>^UDIFL=77~BNQuS>A(jc6t6>Z?{_ z?UJR-b!Bf^s8LzU+0vcm7g88Ug=oDXQes2(eqXy}d56lKx5$+vWws#R`+Ws+z{g!l zmK;`eno*W?804>Nvn#69AJa%!xp=v`_jDzca-BSe#vrdW?KZ8`_!_t`G}Em;R?bq+ z6tT7xNAD(>$0;GZB|GK05W&63l=ReRP2YE`i4z!?Yd*zTu4~dXSPH+Yz?d0+Hj~8o z7Fr51Z{MK^dxYx&!7IO!hST98V*5qV=nESE(7E)UVB(1XC;F~&sa33$qwnN`51rq% zVLP9#UKFu5)tfd~NGZo;f*l&O7|ipl3*b7;SVfzyfTgel0@16(1reSz5Dv(J|1^f< z68zxnBq78+ueyuM zYE=0|!TDF(44?WEv=X-9f(bEHl^xA#zmboy-3ue2UQDz7&Pdk*`kQ+spM##?&4V#n z*Z@L#@&(xUdt6{czj}?^7L_lBW$L=6nyd%M!XY9)!hTLS2k*B;(HNisLa`o@U3PdB zPis(K@Z9Jdzf%OGg=ZdD0BS%J8JXI1sz`~}wTqgi3enTLTwyjyaEWj^e)_3$$P28< zdqGqbc!gWP<}KI@IjSq+T?v^{&Cj@4iQo(9L6!rg0}mB=l&yR;Gc3HxIjqb=<8hbe zoUWiQaQo0N;~o-r`=R$BHU4VqP|~QdP&)5Cx!M7Df%YN6p*#nU_U7 zaY1{LbfVyYfaNuR~fhc?Yzv?QA#O%%v-tR+mDbk-)+{lri$f+MfaN~bb`-fKRIgjuw8UImT%z1pU*nt< zwe!u)t`q*cQEyL2Kw2j@A9|({!W%OzCUHEK7%!`1mg{l+`nz2{&gN->tLIyddV5k; zJ(D$X$W=rA!lspr7t6uyn`ExP`kLD|Y>)__t5SVAyHT%?r;Zs|{3nElju%`PDo|o! z)0)LA6ey^16&1KtgByCub{DXoDX+|v1y^Es%^`Q4CE#u_PshB){(yIr$8;Ll#kQ5I zBF{l0h}#T4Fs+`B6|1Oci>rqO$DewR3dx^Q#vt+1>JqCuR1!USNQtwiz1*sMDVd+OL)~?R>pzW?|uwezHDK#`vd@1)6#b@;zQ4Y+2VUaaH z;J2)=m6n@YRxD^=LeX2+(6UR-w4(LKQ=46tyLn+#>nah0cPY0^!BbN|chEO{^22YE zcTj$Gljm}}M5a~IvTC_5#KummLMgCLs+bwV(?nk65I^SDTlPkiXQ+Ac1x==I*=8jz z^%*O9@&Q}EP@0I+M70)L--{0`4iNzYJZWbk%A7$YaH__TLC_QbP;_lU1@_Sp<589B z+C5jOYnEz!%u;pdpxe3l+td5gVf~rA)@3KvVf{I2vOlaFr%9gWj)&RJqZqx6kHATC zgLDzz&QVE2gM+@Z4HaJGg;6?sVs%HqGrgl7*3pOltkUvII;xcG=#Q&Ay1c`!ap#rF zZmD-&V>b({7OrR&vU8o~wiJ~s`EaIv*nkASu~4Kx#U=x^DLZ3oyOGEDNHn*NcfdZskFV{Sta^4?8>eNxLRlYjS+D3ItDap}ggkCzs@D3;FT0|{+>1O% zhH{GRO1bP4N~$h<`$`Hh1^t%YQe;=kWp@y~azZa()!No6oFMRd)ov+wxR38eP&|r6 z4RWC-Z5#S7T9`aB%6x^2-c>1j#e8J5OmUx$Ngy zcCHP5>RMN_M*!YW>U&GjznxZ8-^?S`H<3D@iY%jn2bt>G{Zpyv3RRRWS7ckr5|;U- zs?5s;g~@#MRkUgeYPN)B<`7KTZ-2TR#Xg;(^T=KAQpY_OXuP5>P=Ai*wB=~>Q-QvB zV|0+TGJEaI7q!yZ5A}6(Iq{GZ?TcE?iR2Tim;|g)X8Af&waP}7dUjQQo;GOpjLBA^ zAn9eL5zQ8bx2te@PWP&?%_6N2RT7#+<#N@2d|D-T3Nx+}n?gOithkDrve`S@7c5-- zr_4&@Iy&!CZZpjQSH;Ok1}P21li>bJD_L3cRhPA_SVNE2Q?Skw^vDu6`Da#|;cuXR z)n%)eFVtQ8S8B$k$gY&@)dbPuvM*fG)+}o7G0Sc#vMc4X!;+b@v_M-{ub6A%QPhQ4%LUW{HN6&AqH;!SZEuKh`y^G4hB^Y5Agt zqT*T$rCj}PEdWIN)UR54zEhFD)3RHN>`J-pPX0F0ou%ZaJ6+ZtCFqV4lim4C>kb73 zMCw)%@=66BRE3s+1{BbFlWSq9G+>a*@$T7UDpI=&Ng#V{&eiNOJ-hX82uXOG#(h65 z6bonV*1G~xSFt$^1-XTC^)9v8nwqr*5?cb~8l*^>SgFrK9w9-=j1>=37q~HTHF4kt z20;{9Q-mrFlJJWMV6JLwZLPYgSKGuh#TRa%DVJi-lyYY1#1cJ;bTx^fm*bF9hwn9JI{oUD3&*Q_g7Ny5C}vhqw; zJ-e(9XjL}E>dxlnt1n}4Nix*C6xo$>*(a!C`d!YTOJdPAmFx@2uGG}*6LYb4ar+A9 z;vRj!S_v{+!cOJH#M$1VCznZL*rUvra9aVbj9>_oPAK2FVa}~Khm}32tO}Dc@7i*? zm2+$()NyTv9?4~+kehNAh^tW6_GebMvW=FkT)JY7=#d@@*Sl1iG!T8C?=?^(`eyP* cHefAmY3_BDaVbZ`)cWSc%Uwp4`TzR)Uo=$suK)l5 literal 0 HcmV?d00001 diff --git a/code/input-output/cyl.out b/code/input-output/cyl.out new file mode 100644 index 0000000..2e2cb85 --- /dev/null +++ b/code/input-output/cyl.out @@ -0,0 +1,1667 @@ +1 + ............................................................................................................................. + . . + . HBOOK HBOOK CERN VERSION 4.21 HISTOGRAM AND PLOT INDEX 18/09/94 . + . . + ............................................................................................................................. + . . + . NO TITLE ID B/C ENTRIES DIM NCHA LOWER UPPER ADDRESS LENGTH . + . . + ............................................................................................................................. + . . + . . + . 1 Zona 1 : aria r=10cm : Distribuzione en 101 32 336 1 X 100 -0.100E+02 0.100E+02 989926 159 . + . ergia ceduta lungo l' asse z . + . . + . 2 Zona 2 : aria r=1cm : Distribuzione e 102 32 132 1 X 100 -0.100E+02 0.100E+02 989764 160 . + . nergia ceduta lungo l' asse z . + . . + . 3 Zona 3 : aria : Distribuzione ene 103 32 597 1 X 100 -0.100E+02 0.100E+02 989603 159 . + . rgia ceduta lungo l' asse z . + . . + . 4 Zona 4 : YAP 1 : Distribuzione prof 104 32 32859 1 X 100 -0.100E+02 0.100E+02 989443 158 . + . ondita energia ceduta . + . . + . 5 Zona 5 : YAP 2 : Distribuzione prof 105 32 49567 1 X 100 -0.100E+02 0.100E+02 989283 158 . + . ondita energia ceduta . + . . + . 6 Zona 6 : YAP 3 : Distribuzione prof 106 32 38015 1 X 100 -0.100E+02 0.100E+02 989123 158 . + . ondita energia ceduta . + . . + . 7 Zona 7 : YAP 4 : Distribuzione prof 107 32 35163 1 X 100 -0.100E+02 0.100E+02 988963 158 . + . ondita energia ceduta . + . . + . 8 Zona 8 : fughe : Distribuzione prof 108 32 210 1 X 100 -0.100E+02 0.100E+02 988803 158 . + . ondita energia ceduta . + . . + . 9 Zona 9 : assorbimenti da parte dei coll 109 32 0 1 X 100 -0.100E+02 0.100E+02 988647 154 . + . imatori . + . . + . 10 Zona 10 : uscite dai rivelatori 110 32 0 1 X 100 -0.100E+02 0.100E+02 988495 150 . + . . + . . + . 11 DISTRIBUZIONE ANGOLO DECADIMENTO POSITR 501 32 69 1 X 72 0.000E+00 0.628E+01 988340 125 . + . ONI . + . . + . 12 Zona 1 : Aria r=10cm : Distribuzione en 201 32 336 2 X 60 -0.300E+02 0.300E+02 988205 3903 . + . ergia ceduta nel piano x-y Y 60 -0.300E+02 0.300E+02 984340 3856 . + . . + . 13 Zona 2 : Aria r=1cm : Distribuzione ene 202 32 132 2 X 60 -0.300E+02 0.300E+02 984300 3903 . + . rgia ceduta nel piano x-y Y 60 -0.300E+02 0.300E+02 980435 3856 . + . . + . 14 Zona 3 : Aria Distribuzione energia ced 203 32 597 2 X 60 -0.300E+02 0.300E+02 980397 3901 . + . uta nel piano x-y Y 60 -0.300E+02 0.300E+02 976532 3856 . + . . + . 15 Zona 4 : YAP 1 Distribuzione energia ce 204 32 32859 2 X 60 -0.300E+02 0.300E+02 976493 3902 . + . duta nel piano del yap Y 60 -0.300E+02 0.300E+02 972628 3856 . + . . + . 16 Zona 5 : YAP 2 Distribuzione energia ce 205 32 49567 2 X 60 -0.300E+02 0.300E+02 972589 3902 . + . duta nel piano del yap Y 60 -0.300E+02 0.300E+02 968724 3856 . + . . + . 17 Zona 6 : YAP 3 Distribuzione energia ce 206 32 38015 2 X 60 -0.300E+02 0.300E+02 968685 3902 . + . duta nel piano del yap Y 60 -0.300E+02 0.300E+02 964820 3856 . + . . + . 18 Zona 7 : YAP 4 Distribuzione energia ce 207 32 35163 2 X 60 -0.300E+02 0.300E+02 964781 3902 . + . duta nel piano del yap Y 60 -0.300E+02 0.300E+02 960916 3856 . + . . + . 19 Zona 8 : fughe dal sistema : distribuzi 208 32 210 2 X 60 -0.300E+02 0.300E+02 960880 3899 . + . one in x-y Y 60 -0.300E+02 0.300E+02 957015 3856 . + . . + . 20 Zona 9 : assorbimento dei collimatori d 209 32 0 2 X 60 -0.300E+02 0.300E+02 956980 3898 . + . istr x-y Y 60 -0.300E+02 0.300E+02 953115 3856 . + . . + . 21 Zona 10 : uscite dai rivelatori 210 32 0 2 X 60 -0.300E+02 0.300E+02 953084 3894 . + . Y 60 -0.300E+02 0.300E+02 949219 3856 . + . . + . 22 DISTRIBUZIONE R-Z , PESATA SU ENERGIA - 700 32 156879 2 X 30 0.000E+00 0.300E+02 949185 757 . + . - Y 20 -0.100E+02 0.100E+02 948460 716 . + . . + . 23 DISTRIBUZIONE x-y , PESATA SU ENERGIA - 701 32 156879 2 X 60 -0.300E+02 0.300E+02 948426 3897 . + . - Y 60 -0.300E+02 0.300E+02 944561 3856 . + . . + . 24 DISTRIBUZIONE X-Y PUNTI DECADIMENTO POS 400 32 69 2 X 60 -0.300E+02 0.300E+02 944526 3898 . + . ITRONE Y 60 -0.300E+02 0.300E+02 940661 3856 . + . . + . 25 DISTRIBUZIONE R-Z PUNTI DECADIMENTO PPO 401 32 69 2 X 30 0.000E+00 0.300E+02 940626 758 . + . SITRONE Y 20 -0.100E+02 0.100E+02 939901 716 . + . . + . 26 x,y,z punti decadimento del positrone 800 N 939842 47 . + . . + . . + . 27 energia persa nei rivelatori a ogni eve 801 N 939699 74 . + . nto . + . . + ............................................................................................................................. + + + + MEMORY UTILISATION + + MAXIMUM TOTAL SIZE OF COMMON /PAWC/ 1000000 +1 Zona 1 : aria r=10cm : Distribuzione energia ceduta lungo l' asse z + + HBOOK ID = 101 DATE 18/09/94 NO = 1 + + 2.25 - + 2.2 I + 2.15 I + 2.1 I + 2.05 I + 2 I + 1.95 I + 1.9 I + 1.85 I + 1.8 I + 1.75 I + 1.7 I + 1.65 I + 1.6 I + 1.55 I + 1.5 I + 1.45 I + 1.4 I + 1.35 I + 1.3 I + 1.25 I + 1.2 I + 1.15 I + 1.1 I + 1.05 I + 1 I + .95 I + .9 I + .85 I + .8 I + .75 I + .7 I + .65 -I + .6 II + .55 II + .5 II + .45 II + .4 II + .35 II + .3 II + .25 II + .2 II + .15 II + .1 - II + .05 - ----I ------II-- ----- - + + CHANNELS 100 0 1 + 10 0 1 2 3 4 5 6 7 8 9 0 + 1 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 + + CONTENTS 1. 2 + 0 0000000000000000000000000000000000000000000000000620000000000000000000000000000000000000000000000000 + 0 0000000000000000000000000200000000001111600313242310201101000000000000000000000000000000000000000000 + 0 0000000000000000000000000400000000000067300096871745207072308000000000000000000000000000000000000000 + 0 0000000000000000000000000300000000008094300760145633205431506000000000000000000000000000000000000000 + + LOW-EDGE --------------------------------------------------- + 10 1 + 1. 0999998888877777666665555544444333332222211111 111112222233333444445555566666777778888899999 + 0 0864208642086420864208642086420864208642086420864202468024680246802468024680246802468024680246802468 + + * ENTRIES = 336 * ALL CHANNELS = 0.3265E+01 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.2000E+00 * MEAN VALUE =-0.8069E-01 * R . M . S = 0.6500E+00 +1 Zona 2 : aria r=1cm : Distribuzione energia ceduta lungo l' asse z + + HBOOK ID = 102 DATE 18/09/94 NO = 2 + + 4 - + 3.9 I + 3.8 I + 3.7 I + 3.6 I + 3.5 - I + 3.4 I I + 3.3 I I + 3.2 I I + 3.1 I I + 3 I I + 2.9 I I + 2.8 I I + 2.7 - -I I + 2.6 I II I + 2.5 I II I + 2.4 I II I + 2.3 I - II - I + 2.2 I I II I I + 2.1 I I II I I + 2 I I II I I + 1.9 I I II I I + 1.8 I I II I I + 1.7 I I II I I + 1.6 I I II I I + 1.5 I I II I I + 1.4 I I II I I + 1.3 I I II I I + 1.2 I I II - I I + 1.1 I I II I - I I + 1 I I II I I I I + .9 - I I - II I I -I I + .8 I I I I II I -I II I + .7 I I I- - I II-I II II I + .6 I - --I II -I I I I -II II-I + .5 I I I I II II -I I I I I I I + .4 I -I I I- II----- II- II I I I I -I I + .3 I II I I I I I I II I I I I I I - + .2 I--II--I I I I--- I I-II I I-I I I I-I- + .1 --I I I I I I I I I I- + + CHANNELS 100 0 1 + 10 0 1 2 3 4 5 6 7 8 9 0 + 1 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 + + CONTENTS 1. 2 2 23 1 1 2 3 + *10** 2 0 0000000000000000000000000008113511556302633333111056314806461157003825912100000000000000000000000000 + 0 0000000000000000000000000591775066204204633222656006268204263655603632076930000000000000000000000000 + 0 0000000000000000000000000307566867013806320623391001503406138436605651197690000000000000000000000000 + 0 0000000000000000000000000438258329324405991718899023280005071667600039090030000000000000000000000000 + + LOW-EDGE --------------------------------------------------- + 10 1 + 1. 0999998888877777666665555544444333332222211111 111112222233333444445555566666777778888899999 + 0 0864208642086420864208642086420864208642086420864202468024680246802468024680246802468024680246802468 + + * ENTRIES = 132 * ALL CHANNELS = 0.3344E+00 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.2000E+00 * MEAN VALUE = 0.7802E+00 * R . M . S = 0.2700E+01 +1 Zona 3 : aria : Distribuzione energia ceduta lungo l' asse z + + HBOOK ID = 103 DATE 18/09/94 NO = 3 + + 6.8 - + 6.6 I + 6.4 I + 6.2 I - + 6 I I + 5.8 I I + 5.6 I I + 5.4 I I + 5.2 I I + 5 I I + 4.8 I I + 4.6 I I + 4.4 I I + 4.2 I I + 4 I I + 3.8 I I + 3.6 I I + 3.4 I I + 3.2 I I + 3 I I + 2.8 I I + 2.6 I I + 2.4 I I + 2.2 I I + 2 I I + 1.8 I I + 1.6 I I + 1.4 I I + 1.2 I I + 1 I I + .8 I I + .6 I I + .4 - - - I-- -- I + .2 -- -I I-- --I I I-----II-----------------------------------------I- -------------------- + + CHANNELS 100 0 1 + 10 0 1 2 3 4 5 6 7 8 9 0 + 1 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 + + CONTENTS 1. 6 6 + 0 1100200002000000000200006230110022000000100000000000000000000000000000010001000000001000100000100000 + 0 9401300001160000092500003143033166853238048355337468692526038454319284544378100401001264311010187070 + 0 1309000002380000068800008908161650799040424664753880859123305490619755814288000736627198650776708660 + 0 6801800007350000094000003631417092036486076744034817413122880624109059287628100517906400792279488000 + + LOW-EDGE --------------------------------------------------- + 10 1 + 1. 0999998888877777666665555544444333332222211111 111112222233333444445555566666777778888899999 + 0 0864208642086420864208642086420864208642086420864202468024680246802468024680246802468024680246802468 + + * ENTRIES = 597 * ALL CHANNELS = 0.1869E+02 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.2000E+00 * MEAN VALUE =-0.4880E+00 * R . M . S = 0.5248E+01 +1 Zona 4 : YAP 1 : Distribuzione profondita energia ceduta + + HBOOK ID = 104 DATE 18/09/94 NO = 4 + + 19.5 - + 19 I + 18.5 I + 18 I + 17.5 I + 17 I + 16.5 I + 16 I + 15.5 I + 15 I + 14.5 I + 14 I + 13.5 I + 13 I + 12.5 I + 12 I + 11.5 I + 11 I + 10.5 I + 10 I + 9.5 I + 9 I + 8.5 I + 8 I + 7.5 I + 7 I + 6.5 I + 6 I + 5.5 I + 5 I + 4.5 I + 4 I + 3.5 I + 3 I- + 2.5 II + 2 II + 1.5 II- + 1 I I - + .5 -I I -I- + + CHANNELS 100 0 1 + 10 0 1 2 3 4 5 6 7 8 9 0 + 1 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 + + CONTENTS 10 1 + 1. 921 + 0 0000000000000000000000000153005400000000000000000000000000000000000000000000000000000000000000000000 + 0 0000000000000000000000000105071300000000000000000000000000000000000000000000000000000000000000000000 + 0 0000000000000000000000004744061500000000000000000000000000000000000000000000000000000000000000000000 + + LOW-EDGE --------------------------------------------------- + 10 1 + 1. 0999998888877777666665555544444333332222211111 111112222233333444445555566666777778888899999 + 0 0864208642086420864208642086420864208642086420864202468024680246802468024680246802468024680246802468 + + * ENTRIES = 32859 * ALL CHANNELS = 0.2400E+02 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.2000E+00 * MEAN VALUE =-0.4811E+01 * R . M . S = 0.2339E+00 +1 Zona 5 : YAP 2 : Distribuzione profondita energia ceduta + + HBOOK ID = 105 DATE 18/09/94 NO = 5 + + 29 - + 28 I + 27 I + 26 I + 25 I + 24 I + 23 I + 22 I + 21 I + 20 I + 19 I + 18 I + 17 I + 16 I + 15 I + 14 I + 13 I + 12 I + 11 I + 10 I + 9 I + 8 I + 7 I + 6 I + 5 I + 4 I + 3 I-- + 2 I I- + 1 -I I- - + + CHANNELS 100 0 1 + 10 0 1 2 3 4 5 6 7 8 9 0 + 1 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 + + CONTENTS 10 2 + 1. 8221 + 0 0000000000000000000000000195360050000000000000000000000000000000000000000000000000000000000000000000 + 0 0000000000000000000000000073490010000000000000000000000000000000000000000000000000000000000000000000 + 0 0000000000000000000000002475770010000000000000000000000000000000000000000000000000000000000000000000 + + LOW-EDGE --------------------------------------------------- + 10 1 + 1. 0999998888877777666665555544444333332222211111 111112222233333444445555566666777778888899999 + 0 0864208642086420864208642086420864208642086420864202468024680246802468024680246802468024680246802468 + + * ENTRIES = 49567 * ALL CHANNELS = 0.3617E+02 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.2000E+00 * MEAN VALUE =-0.4798E+01 * R . M . S = 0.2398E+00 +1 Zona 6 : YAP 3 : Distribuzione profondita energia ceduta + + HBOOK ID = 106 DATE 18/09/94 NO = 6 + + 25 - + 24 I + 23 I + 22 I + 21 I + 20 I + 19 I + 18 I + 17 I + 16 I + 15 I + 14 I + 13 I + 12 I + 11 I + 10 I + 9 I + 8 I + 7 I + 6 I + 5 I + 4 I + 3 I - + 2 I-I + 1 -I I - --- + + CHANNELS 100 0 1 + 10 0 1 2 3 4 5 6 7 8 9 0 + 1 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 + + CONTENTS 10 2 + 1. 412 + 0 0000000000000000000000000101090001110000000000000000000000000000000000000000000000000000000000000000 + 0 0000000000000000000000000226000004790000000000000000000000000000000000000000000000000000000000000000 + 0 0000000000000000000000003224020005070000000000000000000000000000000000000000000000000000000000000000 + + LOW-EDGE --------------------------------------------------- + 10 1 + 1. 0999998888877777666665555544444333332222211111 111112222233333444445555566666777778888899999 + 0 0864208642086420864208642086420864208642086420864202468024680246802468024680246802468024680246802468 + + * ENTRIES = 38015 * ALL CHANNELS = 0.2872E+02 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.2000E+00 * MEAN VALUE =-0.4805E+01 * R . M . S = 0.2899E+00 +1 Zona 7 : YAP 4 : Distribuzione profondita energia ceduta + + HBOOK ID = 107 DATE 18/09/94 NO = 7 + + 22.5 - + 22 I + 21.5 I + 21 I + 20.5 I + 20 I + 19.5 I + 19 I + 18.5 I + 18 I + 17.5 I + 17 I + 16.5 I + 16 I + 15.5 I + 15 I + 14.5 I + 14 I + 13.5 I + 13 I + 12.5 I + 12 I + 11.5 I + 11 I + 10.5 I + 10 I + 9.5 I + 9 I + 8.5 I + 8 I + 7.5 I + 7 I + 6.5 I + 6 I + 5.5 I + 5 I + 4.5 I + 4 I + 3.5 I + 3 I + 2.5 I - + 2 I I + 1.5 I-I + 1 I I-- + .5 -I I + + CHANNELS 100 0 1 + 10 0 1 2 3 4 5 6 7 8 9 0 + 1 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 + + CONTENTS 10 2 + 1. 212 + 0 0000000000000000000000000231950000000000000000000000000000000000000000000000000000000000000000000000 + 0 0000000000000000000000000860710000000000000000000000000000000000000000000000000000000000000000000000 + 0 0000000000000000000000008553910000000000000000000000000000000000000000000000000000000000000000000000 + + LOW-EDGE --------------------------------------------------- + 10 1 + 1. 0999998888877777666665555544444333332222211111 111112222233333444445555566666777778888899999 + 0 0864208642086420864208642086420864208642086420864202468024680246802468024680246802468024680246802468 + + * ENTRIES = 35163 * ALL CHANNELS = 0.2725E+02 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.2000E+00 * MEAN VALUE =-0.4823E+01 * R . M . S = 0.1825E+00 +1 Zona 8 : fughe : Distribuzione profondita energia ceduta + + HBOOK ID = 108 DATE 18/09/94 NO = 8 + + 1.52 - + 1.48 I + 1.44 I + 1.4 I + 1.36 I + 1.32 I + 1.28 I + 1.24 I + 1.2 I + 1.16 I + 1.12 I + 1.08 I + 1.04 I + 1 I + .96 I + .92 I + .88 I + .84 I + .8 I + .76 I + .72 I + .68 I + .64 I + .6 I + .56 I + .52 - I + .48 I I + .44 I I + .4 I I + .36 I I + .32 I I + .28 I I + .24 I I + .2 I I + .16 I I + .12 I I + .08 I I + .04 I I + + CHANNELS 100 0 1 + 10 0 1 2 3 4 5 6 7 8 9 0 + 1 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 + + CONTENTS 1. 1 + 0 0000000000000000000000000000000000000000005000000000004000000000000000000000000000000000000000000000 + 0 0000000000000000000000000000000000000000001000000000008000000000000000000000000000000000000000000000 + 0 0000000000000000000000000000000000000000001000000000006000000000000000000000000000000000000000000000 + 0 0000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000 + + LOW-EDGE --------------------------------------------------- + 10 1 + 1. 0999998888877777666665555544444333332222211111 111112222233333444445555566666777778888899999 + 0 0864208642086420864208642086420864208642086420864202468024680246802468024680246802468024680246802468 + + * ENTRIES = 210 * ALL CHANNELS = 0.1997E+01 * UNDERFLOW = 0.1354E+03 * OVERFLOW = 0.1286E+03 + * BIN WID = 0.2000E+00 * MEAN VALUE = 0.2859E+00 * R . M . S = 0.1047E+01 + + ****** HBOOK NO ENTRIES FOR HISTOGRAM ID= 109 + + ****** HBOOK NO ENTRIES FOR HISTOGRAM ID= 110 +1 DISTRIBUZIONE ANGOLO DECADIMENTO POSITRONI + + HBOOK ID = 501 DATE 18/09/94 NO = 11 + + 70 + 68 - + 66 I + 64 I + 62 I + 60 I + 58 I + 56 I + 54 I + 52 I + 50 I + 48 I + 46 I + 44 I + 42 I + 40 I + 38 I + 36 I + 34 I + 32 I + 30 I + 28 I + 26 I + 24 I + 22 I + 20 I + 18 I + 16 I + 14 I + 12 I + 10 I + 8 I + 6 I + 4 I + 2 - I + + CHANNELS 10 0 1 2 3 4 5 6 7 + 1 123456789012345678901234567890123456789012345678901234567890123456789012 + + CONTENTS 10 6 + 1. 1 8 + + LOW-EDGE 1. 111111111112222222222223333333333344444444444455555555555666 + 0 001234566789012334567890012345677890123445678900123456778901234456789011 + 0 087643219875432098754310986542109765421087653219876432198754320986543109 + 0 074186307529631852074186307529631852074186307529631852074186307529631852 + 0 024791368024791368024791368024791368024791368024791368024791368024791368 + + * ENTRIES = 69 * ALL CHANNELS = 0.6900E+02 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.8722E-01 * MEAN VALUE = 0.3170E+01 * R . M . S = 0.1147E+00 +1 Zona 1 : Aria r=10cm : Distribuzione energia ceduta nel piano x-y + + HBOOK ID = 201 DATE 18/09/94 NO = 12 + + CHANNELS 10 U 0 1 2 3 4 5 6 O + 1 N 123456789012345678901234567890123456789012345678901234567890 V + ******************************************************************** + OVE * * OVE + 29 * * 60 + 28 * * 59 + 27 * * 58 + 26 * * 57 + 25 * * 56 + 24 * * 55 + 23 * * 54 + 22 * * 53 + 21 * * 52 + 20 * * 51 + 19 * * 50 + 18 * * 49 + 17 * * 48 + 16 * * 47 + 15 * * 46 + 14 * * 45 + 13 * * 44 + 12 * * 43 + 11 * * 42 + 10 * * 41 + 9 * .. . * 40 + 8 * . * 39 + 7 * * 38 + 6 * . * 37 + 5 * * 36 + 4 * * 35 + 3 * . * 34 + 2 * * 33 + 1 * * 32 + * .+ . * 31 + - 1 * . .... . * 30 + - 2 * . * 29 + - 3 * .. * 28 + - 4 * . * 27 + - 5 * * 26 + - 6 * * 25 + - 7 * * 24 + - 8 * . * 23 + - 9 * * 22 + - 10 * * 21 + - 11 * * 20 + - 12 * * 19 + - 13 * * 18 + - 14 * * 17 + - 15 * * 16 + - 16 * * 15 + - 17 * * 14 + - 18 * * 13 + - 19 * * 12 + - 20 * * 11 + - 21 * * 10 + - 22 * * 9 + - 23 * * 8 + - 24 * * 7 + - 25 * * 6 + - 26 * * 5 + - 27 * * 4 + - 28 * * 3 + - 29 * * 2 + - 30 * * 1 + UND * * UND + ******************************************************************** + LOW-EDGE ------------------------------ + 10 322222222221111111111 11111111112222222222 + 1. 098765432109876543210987654321 12345678901234567890123456789 + + * I I + * ENTRIES = 336 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 3.265I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 Zona 2 : Aria r=1cm : Distribuzione energia ceduta nel piano x-y + + HBOOK ID = 202 DATE 18/09/94 NO = 13 + + CHANNELS 10 U 0 1 2 3 4 5 6 O + 1 N 123456789012345678901234567890123456789012345678901234567890 V + ******************************************************************** + OVE * * OVE + 29 * * 60 + 28 * * 59 + 27 * * 58 + 26 * * 57 + 25 * * 56 + 24 * * 55 + 23 * * 54 + 22 * * 53 + 21 * * 52 + 20 * * 51 + 19 * * 50 + 18 * * 49 + 17 * * 48 + 16 * * 47 + 15 * * 46 + 14 * * 45 + 13 * * 44 + 12 * * 43 + 11 * * 42 + 10 * .. * 41 + 9 * . * 40 + 8 * * 39 + 7 * * 38 + 6 * * 37 + 5 * * 36 + 4 * * 35 + 3 * * 34 + 2 * * 33 + 1 * * 32 + * * 31 + - 1 * * 30 + - 2 * * 29 + - 3 * * 28 + - 4 * * 27 + - 5 * * 26 + - 6 * . * 25 + - 7 * * 24 + - 8 * * 23 + - 9 * * 22 + - 10 * . . * 21 + - 11 * . * 20 + - 12 * * 19 + - 13 * * 18 + - 14 * * 17 + - 15 * * 16 + - 16 * * 15 + - 17 * * 14 + - 18 * * 13 + - 19 * * 12 + - 20 * * 11 + - 21 * * 10 + - 22 * * 9 + - 23 * * 8 + - 24 * * 7 + - 25 * * 6 + - 26 * * 5 + - 27 * * 4 + - 28 * * 3 + - 29 * * 2 + - 30 * * 1 + UND * * UND + ******************************************************************** + LOW-EDGE ------------------------------ + 10 322222222221111111111 11111111112222222222 + 1. 098765432109876543210987654321 12345678901234567890123456789 + + * I I + * ENTRIES = 132 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I .334I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 Zona 3 : Aria Distribuzione energia ceduta nel piano x-y + + HBOOK ID = 203 DATE 18/09/94 NO = 14 + + CHANNELS 10 U 0 1 2 3 4 5 6 O + 1 N 123456789012345678901234567890123456789012345678901234567890 V + ******************************************************************** + OVE * * OVE + 29 * * 60 + 28 * * 59 + 27 * * 58 + 26 * * 57 + 25 * * 56 + 24 * * 55 + 23 * * 54 + 22 * * 53 + 21 * * 52 + 20 * * 51 + 19 * . . . . . . . * 50 + 18 * .. * 49 + 17 * . . * 48 + 16 * * 47 + 15 * . . .. * 46 + 14 * * 45 + 13 * . . . * 44 + 12 * * 43 + 11 * . . . . * 42 + 10 * . . .. ...... * 41 + 9 * . . . . * 40 + 8 * . . . * 39 + 7 * . . . . * 38 + 6 * . . .. * 37 + 5 * . . . * 36 + 4 * . . .. . .. * 35 + 3 * . . . . . . * 34 + 2 * . .. .. .. . . . . * 33 + 1 * . . . .. . ... . . . * 32 + * . . ... . .. . . * 31 + - 1 * . .. ... .. . . . * 30 + - 2 * .. . .. .. . * 29 + - 3 * . . . . .... . . * 28 + - 4 * . . . . . . . * 27 + - 5 * . . .. . . . * 26 + - 6 * . . . . . . . . * 25 + - 7 * . . . . . * 24 + - 8 * .. . .. * 23 + - 9 * . . .. * 22 + - 10 * .. . . . * 21 + - 11 * . ....... * 20 + - 12 * . * 19 + - 13 * .. * 18 + - 14 * . .. * 17 + - 15 * . * 16 + - 16 * . * 15 + - 17 * . . . . * 14 + - 18 * .. * 13 + - 19 * . * 12 + - 20 * . . . . . .. . * 11 + - 21 * * 10 + - 22 * * 9 + - 23 * * 8 + - 24 * * 7 + - 25 * * 6 + - 26 * * 5 + - 27 * * 4 + - 28 * * 3 + - 29 * * 2 + - 30 * * 1 + UND * * UND + ******************************************************************** + LOW-EDGE ------------------------------ + 10 322222222221111111111 11111111112222222222 + 1. 098765432109876543210987654321 12345678901234567890123456789 + + * I I + * ENTRIES = 597 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 18.693I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 Zona 4 : YAP 1 Distribuzione energia ceduta nel piano del yap + + HBOOK ID = 204 DATE 18/09/94 NO = 15 + + CHANNELS 10 U 0 1 2 3 4 5 6 O + 1 N 123456789012345678901234567890123456789012345678901234567890 V + ******************************************************************** + OVE * * OVE + 29 * * 60 + 28 * * 59 + 27 * * 58 + 26 * * 57 + 25 * * 56 + 24 * * 55 + 23 * * 54 + 22 * * 53 + 21 * * 52 + 20 * * 51 + 19 * * 50 + 18 * * 49 + 17 * * 48 + 16 * * 47 + 15 * * 46 + 14 * * 45 + 13 * * 44 + 12 * * 43 + 11 * * 42 + 10 * * 41 + 9 * + * 40 + 8 * . * 39 + 7 * . * 38 + 6 * +. . + * 37 + 5 * . * 36 + 4 * . . + * 35 + 3 * . * 34 + 2 * . * 33 + 1 * . * 32 + * * 31 + - 1 * + . * 30 + - 2 * . . +. * 29 + - 3 * . . . * 28 + - 4 * . * 27 + - 5 * . . * 26 + - 6 * * 25 + - 7 * + .. * 24 + - 8 * . . . * 23 + - 9 * . ... * 22 + - 10 * . * 21 + - 11 * * 20 + - 12 * * 19 + - 13 * * 18 + - 14 * * 17 + - 15 * * 16 + - 16 * * 15 + - 17 * * 14 + - 18 * * 13 + - 19 * * 12 + - 20 * * 11 + - 21 * * 10 + - 22 * * 9 + - 23 * * 8 + - 24 * * 7 + - 25 * * 6 + - 26 * * 5 + - 27 * * 4 + - 28 * * 3 + - 29 * * 2 + - 30 * * 1 + UND * * UND + ******************************************************************** + LOW-EDGE ------------------------------ + 10 322222222221111111111 11111111112222222222 + 1. 098765432109876543210987654321 12345678901234567890123456789 + + * I I + * ENTRIES = 32859 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 24.001I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 Zona 5 : YAP 2 Distribuzione energia ceduta nel piano del yap + + HBOOK ID = 205 DATE 18/09/94 NO = 16 + + CHANNELS 10 U 0 1 2 3 4 5 6 O + 1 N 123456789012345678901234567890123456789012345678901234567890 V + ******************************************************************** + OVE * * OVE + 29 * * 60 + 28 * * 59 + 27 * * 58 + 26 * * 57 + 25 * * 56 + 24 * * 55 + 23 * * 54 + 22 * * 53 + 21 * * 52 + 20 * * 51 + 19 * * 50 + 18 * * 49 + 17 * * 48 + 16 * * 47 + 15 * * 46 + 14 * * 45 + 13 * * 44 + 12 * * 43 + 11 * * 42 + 10 * * 41 + 9 * . . + * 40 + 8 * . . . * 39 + 7 * . .+. * 38 + 6 * . + . + * 37 + 5 * . * 36 + 4 * . . .. . * 35 + 3 * . . . * 34 + 2 * . . * 33 + 1 * . . * 32 + * . .. * 31 + - 1 * + . * 30 + - 2 * . * 29 + - 3 * + * 28 + - 4 * . .. * 27 + - 5 * . .. . . + . * 26 + - 6 * . . . . * 25 + - 7 * * 24 + - 8 * . ... . * 23 + - 9 * . . . * 22 + - 10 * * 21 + - 11 * * 20 + - 12 * * 19 + - 13 * * 18 + - 14 * * 17 + - 15 * * 16 + - 16 * * 15 + - 17 * * 14 + - 18 * * 13 + - 19 * * 12 + - 20 * * 11 + - 21 * * 10 + - 22 * * 9 + - 23 * * 8 + - 24 * * 7 + - 25 * * 6 + - 26 * * 5 + - 27 * * 4 + - 28 * * 3 + - 29 * * 2 + - 30 * * 1 + UND * * UND + ******************************************************************** + LOW-EDGE ------------------------------ + 10 322222222221111111111 11111111112222222222 + 1. 098765432109876543210987654321 12345678901234567890123456789 + + * I I + * ENTRIES = 49567 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 36.172I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 Zona 6 : YAP 3 Distribuzione energia ceduta nel piano del yap + + HBOOK ID = 206 DATE 18/09/94 NO = 17 + + CHANNELS 10 U 0 1 2 3 4 5 6 O + 1 N 123456789012345678901234567890123456789012345678901234567890 V + ******************************************************************** + OVE * * OVE + 29 * * 60 + 28 * * 59 + 27 * * 58 + 26 * * 57 + 25 * * 56 + 24 * * 55 + 23 * * 54 + 22 * * 53 + 21 * * 52 + 20 * * 51 + 19 * * 50 + 18 * * 49 + 17 * * 48 + 16 * * 47 + 15 * * 46 + 14 * * 45 + 13 * * 44 + 12 * * 43 + 11 * * 42 + 10 * * 41 + 9 * . * 40 + 8 * * 39 + 7 * . . * 38 + 6 * . . . * 37 + 5 * . * 36 + 4 * . * 35 + 3 * . + * 34 + 2 * + + .. * 33 + 1 * + .. * 32 + * . + + + * 31 + - 1 * . * 30 + - 2 * + * 29 + - 3 * * 28 + - 4 * . .. * 27 + - 5 * + .. * 26 + - 6 * + + . * 25 + - 7 * . * 24 + - 8 * . * 23 + - 9 * . + * 22 + - 10 * . * 21 + - 11 * * 20 + - 12 * * 19 + - 13 * * 18 + - 14 * * 17 + - 15 * * 16 + - 16 * * 15 + - 17 * * 14 + - 18 * * 13 + - 19 * * 12 + - 20 * * 11 + - 21 * * 10 + - 22 * * 9 + - 23 * * 8 + - 24 * * 7 + - 25 * * 6 + - 26 * * 5 + - 27 * * 4 + - 28 * * 3 + - 29 * * 2 + - 30 * * 1 + UND * * UND + ******************************************************************** + LOW-EDGE ------------------------------ + 10 322222222221111111111 11111111112222222222 + 1. 098765432109876543210987654321 12345678901234567890123456789 + + * I I + * ENTRIES = 38015 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 28.723I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 Zona 7 : YAP 4 Distribuzione energia ceduta nel piano del yap + + HBOOK ID = 207 DATE 18/09/94 NO = 18 + + CHANNELS 10 U 0 1 2 3 4 5 6 O + 1 N 123456789012345678901234567890123456789012345678901234567890 V + ******************************************************************** + OVE * * OVE + 29 * * 60 + 28 * * 59 + 27 * * 58 + 26 * * 57 + 25 * * 56 + 24 * * 55 + 23 * * 54 + 22 * * 53 + 21 * * 52 + 20 * * 51 + 19 * * 50 + 18 * * 49 + 17 * * 48 + 16 * * 47 + 15 * * 46 + 14 * * 45 + 13 * * 44 + 12 * * 43 + 11 * * 42 + 10 * * 41 + 9 * . .. .. ... * 40 + 8 * + + * 39 + 7 * + . . * 38 + 6 * + . + . * 37 + 5 * . .. . * 36 + 4 * .. * 35 + 3 * * 34 + 2 * * 33 + 1 * + * 32 + * * 31 + - 1 * . . * 30 + - 2 * . . . * 29 + - 3 * . * 28 + - 4 * +. + * 27 + - 5 * + +. * 26 + - 6 * * 25 + - 7 * * 24 + - 8 * . * 23 + - 9 * . * 22 + - 10 * * 21 + - 11 * * 20 + - 12 * * 19 + - 13 * * 18 + - 14 * * 17 + - 15 * * 16 + - 16 * * 15 + - 17 * * 14 + - 18 * * 13 + - 19 * * 12 + - 20 * * 11 + - 21 * * 10 + - 22 * * 9 + - 23 * * 8 + - 24 * * 7 + - 25 * * 6 + - 26 * * 5 + - 27 * * 4 + - 28 * * 3 + - 29 * * 2 + - 30 * * 1 + UND * * UND + ******************************************************************** + LOW-EDGE ------------------------------ + 10 322222222221111111111 11111111112222222222 + 1. 098765432109876543210987654321 12345678901234567890123456789 + + * I I + * ENTRIES = 35163 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 27.252I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 Zona 8 : fughe dal sistema : distribuzione in x-y + + HBOOK ID = 208 DATE 18/09/94 NO = 19 + + CHANNELS 10 U 0 1 2 3 4 5 6 O + 1 N 123456789012345678901234567890123456789012345678901234567890 V + ******************************************************************** + OVE * G + + + + . + + + F * OVE + 29 * + * 60 + 28 * * 59 + 27 * * 58 + 26 * * 57 + 25 * * 56 + 24 * + * 55 + 23 * + * 54 + 22 * + + * 53 + 21 * + + * 52 + 20 * + + * 51 + 19 * * 50 + 18 * . * 49 + 17 * * 48 + 16 * + * 47 + 15 * * 46 + 14 * + * 45 + 13 * + + * 44 + 12 * + + + + * 43 + 11 * + * 42 + 10 * + * 41 + 9 * + + + + + * 40 + 8 * + + + * 39 + 7 * + + + * 38 + 6 * * 37 + 5 * + * 36 + 4 * + + + * 35 + 3 * 3 + + + * 34 + 2 * * 33 + 1 * + + + + + * 32 + * + * 31 + - 1 * + 2 + * 30 + - 2 * + + * 29 + - 3 * + + + * 28 + - 4 * + + + + * 27 + - 5 * * 26 + - 6 * 3 + + * 25 + - 7 * + * 24 + - 8 * + + . * 23 + - 9 * + * 22 + - 10 * + + + + * 21 + - 11 * + + * 20 + - 12 * + * 19 + - 13 * + + * 18 + - 14 * + + * 17 + - 15 * * 16 + - 16 * + + * 15 + - 17 * + + * 14 + - 18 * * 13 + - 19 * * 12 + - 20 * * 11 + - 21 * + * 10 + - 22 * + * 9 + - 23 * + * 8 + - 24 * * 7 + - 25 * * 6 + - 26 * + + * 5 + - 27 * + * 4 + - 28 * * 3 + - 29 * + + + * 2 + - 30 * * 1 + UND * N ++ + + + + 3 + F * UND + ******************************************************************** + LOW-EDGE ------------------------------ + 10 322222222221111111111 11111111112222222222 + 1. 098765432109876543210987654321 12345678901234567890123456789 + + * 16.924I 13.273I 15.407 + * ENTRIES = 210 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY 27.647I 117.055I 20.282 + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 23.778I 16.53 I 15.065 + + ****** HBOOK NO ENTRIES FOR HISTOGRAM ID= 209 + + ****** HBOOK NO ENTRIES FOR HISTOGRAM ID= 210 +1 DISTRIBUZIONE R-Z , PESATA SU ENERGIA -- + + HBOOK ID = 700 DATE 18/09/94 NO = 22 + + CHANNELS 10 U 0 1 2 3 O + 1 N 123456789012345678901234567890 V + ************************************** + OVE * +3+++332+ 3+3++++++5334++ * * OVE + 9 * . .. 4+ +.. . * 20 + 8 * ..2 + . * 19 + 7 * . . . + ...2. * 18 + 6 * . . 4. ...+3. * 17 + 5 * ..+........ . . . .. * 16 + 4 * .. .. ++..+ .. * 15 + 3 * .. .+ .. .. * 14 + 2 * .. .. +++... . * 13 + 1 * ... .+ + +. * 12 + * 2.. . .. .. .+2. + .+ * 11 + - 1 * ... . . . .3+. . * 10 + - 2 * . .. .. .. ..4. . .. . * 9 + - 3 * .. .... . .++ + * 8 + - 4 * .. .6 ... * 7 + - 5 * ... .62+ .. * 6 + - 6 * ..+..+..... 3..... * 5 + - 7 * . ... .. .+ * 4 + - 8 * . 3.+ + * 3 + - 9 * . 2...+ .. * 2 + - 10 * . . . . * 1 + UND * 2 3 7 3 3.++5+ ++3 * * UND + ************************************** + LOW-EDGE 10 11111111112222222222 + 1. 12345678901234567890123456789 + + * I 62.638I 65.953 + * ENTRIES = 156879 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 139.927I .511 + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I 41.41 I 93.961 +1 DISTRIBUZIONE x-y , PESATA SU ENERGIA -- + + HBOOK ID = 701 DATE 18/09/94 NO = 23 + + CHANNELS 10 U 0 1 2 3 4 5 6 O + 1 N 123456789012345678901234567890123456789012345678901234567890 V + ******************************************************************** + OVE * G + + + + . + + + F * OVE + 29 * + * 60 + 28 * * 59 + 27 * * 58 + 26 * * 57 + 25 * * 56 + 24 * + * 55 + 23 * + * 54 + 22 * + + * 53 + 21 * + + . * 52 + 20 * +2+ +. 23.+ . .5...2 2+ 3+ . + + . * 51 + 19 * . . . . . . . * 50 + 18 * ... * 49 + 17 * 2 . . * 48 + 16 * + * 47 + 15 * .. . .. * 46 + 14 * + . * 45 + 13 * + . . + .3 * 44 + 12 * + + + + * 43 + 11 * + . . . .. * 42 + 10 * + . . .. ...... * 41 + 9 * + + . 2... . . + + . * 40 + 8 * . . . . .+ + + * 39 + 7 * + . + . . . .. + * 38 + 6 * . . . .. . * 37 + 5 * 2 . . + . . * 36 + 4 * + + . . .. . .. + 2 * 35 + 3 * 3 2 . . . . . 2 . + +. * 34 + 2 * + . .. .. .. . . . .. * 33 + 1 * + . . . +.. . +.. + . .. + * 32 + * 2. . ... ..+.. . . . + * 31 + - 1 * + + . . .. ...2.. .. . .+ + * 30 + - 2 * +. .. . .. ...+ . . * 29 + - 3 * + .. . . +. ...... . . + * 28 + - 4 * + . . . . . +. . + . + + * 27 + - 5 * . . . .. . . . .. * 26 + - 6 * 3 +..+ . . . . . . . . + * 25 + - 7 * .. . + . . . * 24 + - 8 * + .2. . ... * 23 + - 9 * + . . + .. * 22 + - 10 * + + + .. . . + + * 21 + - 11 * + . ....... + * 20 + - 12 * + . . * 19 + - 13 * . ..+ + .. * 18 + - 14 * ++ 2 .. + * 17 + - 15 * .. + * 16 + - 16 * +. + + * 15 + - 17 * + . . . .. + * 14 + - 18 * .. * 13 + - 19 * . . * 12 + - 20 * + . . . . . .. . 2 * 11 + - 21 * +. +++ . .+.+2.++..2. .. +. + 2.. * 10 + - 22 * + * 9 + - 23 * + * 8 + - 24 * * 7 + - 25 * * 6 + - 26 * + + * 5 + - 27 * + * 4 + - 28 * * 3 + - 29 * + + + * 2 + - 30 * * 1 + UND * N ++ + + + + 3 + F * UND + ******************************************************************** + LOW-EDGE ------------------------------ + 10 322222222221111111111 11111111112222222222 + 1. 098765432109876543210987654321 12345678901234567890123456789 + + * 16.924I 13.273I 15.407 + * ENTRIES = 156879 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY 27.647I 255.496I 20.282 + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 23.778I 16.53 I 15.065 +1 DISTRIBUZIONE X-Y PUNTI DECADIMENTO POSITRONE + + HBOOK ID = 400 DATE 18/09/94 NO = 24 + + CHANNELS 10 U 0 1 2 3 4 5 6 O + 1 N 123456789012345678901234567890123456789012345678901234567890 V + ******************************************************************** + OVE * * OVE + 29 * * 60 + 28 * * 59 + 27 * * 58 + 26 * * 57 + 25 * * 56 + 24 * * 55 + 23 * * 54 + 22 * * 53 + 21 * * 52 + 20 * +2 ++ 22 + 4 ++ 2+ 2+ + * 51 + 19 * * 50 + 18 * * 49 + 17 * + * 48 + 16 * * 47 + 15 * * 46 + 14 * + * 45 + 13 * 2 * 44 + 12 * * 43 + 11 * * 42 + 10 * * 41 + 9 * * 40 + 8 * * 39 + 7 * * 38 + 6 * * 37 + 5 * 2 * 36 + 4 * 2 * 35 + 3 * 2 * 34 + 2 * + * 33 + 1 * * 32 + * 2 * 31 + - 1 * + + * 30 + - 2 * + + * 29 + - 3 * * 28 + - 4 * + * 27 + - 5 * + + * 26 + - 6 * + * 25 + - 7 * * 24 + - 8 * * 23 + - 9 * + * 22 + - 10 * + * 21 + - 11 * * 20 + - 12 * * 19 + - 13 * + * 18 + - 14 * + 2 * 17 + - 15 * + * 16 + - 16 * * 15 + - 17 * * 14 + - 18 * * 13 + - 19 * * 12 + - 20 * + 2 * 11 + - 21 * + + + + +2 +++ + + + 2 * 10 + - 22 * * 9 + - 23 * * 8 + - 24 * * 7 + - 25 * * 6 + - 26 * * 5 + - 27 * * 4 + - 28 * * 3 + - 29 * * 2 + - 30 * * 1 + UND * * UND + ******************************************************************** + LOW-EDGE ------------------------------ + 10 322222222221111111111 11111111112222222222 + 1. 098765432109876543210987654321 12345678901234567890123456789 + + * I I + * ENTRIES = 69 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 69 I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 DISTRIBUZIONE R-Z PUNTI DECADIMENTO PPOSITRONE + + HBOOK ID = 401 DATE 18/09/94 NO = 25 + + CHANNELS 10 U 0 1 2 3 O + 1 N 123456789012345678901234567890 V + ************************************** + OVE * * OVE + 9 * 3 + * 20 + 8 * 2 + * 19 + 7 * + 2 * 18 + 6 * 3 + +2 * 17 + 5 * * 16 + 4 * ++ + + * 15 + 3 * + + + * 14 + 2 * +2+ * 13 + 1 * + + + * 12 + * ++ + * 11 + - 1 * 2 + * 10 + - 2 * 2+ * 9 + - 3 * + + * 8 + - 4 * 5 + * 7 + - 5 * 32 * 6 + - 6 * 3 + * 5 + - 7 * + ++ * 4 + - 8 * 2 + + * 3 + - 9 * 2+ + + * 2 + - 10 * * 1 + UND * * UND + ************************************** + LOW-EDGE 10 11111111112222222222 + 1. 12345678901234567890123456789 + + * I I + * ENTRIES = 69 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 69 I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I + + + ******************************************************** + * NTUPLE ID= 800 ENTRIES= 69 x,y,z punti decadimento del positrone + ******************************************************** + * Var numb * Name * Lower * Upper * + ******************************************************** + * 1 * x-decay * -.200220E+02 * 0.200165E+02 * + * 2 * y-decay * -.200132E+02 * 0.200193E+02 * + * 3 * z-decay * -.873869E+01 * 0.978190E+01 * + ******************************************************** + + + + ******************************************************** + * NTUPLE ID= 801 ENTRIES= 800 energia persa nei rivelatori a ogni evento + ******************************************************** + * Var numb * Name * Lower * Upper * + ******************************************************** + * 1 * shower * 0.100000E+01 * 0.200000E+03 * + * 2 * rivelat * 0.100000E+01 * 0.400000E+01 * + * 3 * xmedio * -.499991E+01 * 0.000000E+00 * + * 4 * ymedio * -.198235E+02 * 0.196352E+02 * + * 5 * zmedio * -.970737E+01 * 0.982341E+01 * + * 6 * sigmax * -.476837E-04 * 0.896375E+00 * + * 7 * sigmay * -.610352E-03 * 0.111468E+02 * + * 8 * sigmaz * -.335693E-03 * 0.310985E+01 * + * 9 * etot * 0.000000E+00 * 0.198803E+01 * + * 10 * etot-po * 0.000000E+00 * 0.923879E+00 * + * 11 * etot-el * 0.000000E+00 * 0.104180E+01 * + * 12 * etot-ga * 0.000000E+00 * 0.234354E+00 * + * 13 * num-par * 0.000000E+00 * 0.340000E+02 * + * 14 * num-pos * 0.000000E+00 * 0.100000E+01 * + * 15 * num-ele * 0.000000E+00 * 0.230000E+02 * + * 16 * num-gam * 0.000000E+00 * 0.100000E+02 * + ******************************************************** + + + + ===> Directory : //PAWC + 101 (1) Zona 1 : aria r=10cm : Distribuzione energia ceduta lungo l' asse z + 102 (1) Zona 2 : aria r=1cm : Distribuzione energia ceduta lungo l' asse z + 103 (1) Zona 3 : aria : Distribuzione energia ceduta lungo l' asse z + 104 (1) Zona 4 : YAP 1 : Distribuzione profondita energia ceduta + 105 (1) Zona 5 : YAP 2 : Distribuzione profondita energia ceduta + 106 (1) Zona 6 : YAP 3 : Distribuzione profondita energia ceduta + 107 (1) Zona 7 : YAP 4 : Distribuzione profondita energia ceduta + 108 (1) Zona 8 : fughe : Distribuzione profondita energia ceduta + 109 (1) Zona 9 : assorbimenti da parte dei collimatori + 110 (1) Zona 10 : uscite dai rivelatori + 501 (1) DISTRIBUZIONE ANGOLO DECADIMENTO POSITRONI + 201 (2) Zona 1 : Aria r=10cm : Distribuzione energia ceduta nel piano x-y + 202 (2) Zona 2 : Aria r=1cm : Distribuzione energia ceduta nel piano x-y + 203 (2) Zona 3 : Aria Distribuzione energia ceduta nel piano x-y + 204 (2) Zona 4 : YAP 1 Distribuzione energia ceduta nel piano del yap + 205 (2) Zona 5 : YAP 2 Distribuzione energia ceduta nel piano del yap + 206 (2) Zona 6 : YAP 3 Distribuzione energia ceduta nel piano del yap + 207 (2) Zona 7 : YAP 4 Distribuzione energia ceduta nel piano del yap + 208 (2) Zona 8 : fughe dal sistema : distribuzione in x-y + 209 (2) Zona 9 : assorbimento dei collimatori distr x-y + 210 (2) Zona 10 : uscite dai rivelatori + 700 (2) DISTRIBUZIONE R-Z , PESATA SU ENERGIA -- + 701 (2) DISTRIBUZIONE x-y , PESATA SU ENERGIA -- + 400 (2) DISTRIBUZIONE X-Y PUNTI DECADIMENTO POSITRONE + 401 (2) DISTRIBUZIONE R-Z PUNTI DECADIMENTO PPOSITRONE + 800 (N) x,y,z punti decadimento del positrone + 801 (N) energia persa nei rivelatori a ogni evento + + + ===> Directory : //topw + 101 (1) Zona 1 : aria r=10cm : Distribuzione energia ceduta lungo l' asse z + 201 (2) Zona 1 : Aria r=10cm : Distribuzione energia ceduta nel piano x-y + 102 (1) Zona 2 : aria r=1cm : Distribuzione energia ceduta lungo l' asse z + 202 (2) Zona 2 : Aria r=1cm : Distribuzione energia ceduta nel piano x-y + 103 (1) Zona 3 : aria : Distribuzione energia ceduta lungo l' asse z + 203 (2) Zona 3 : Aria Distribuzione energia ceduta nel piano x-y + 104 (1) Zona 4 : YAP 1 : Distribuzione profondita energia ceduta + 204 (2) Zona 4 : YAP 1 Distribuzione energia ceduta nel piano del yap + 105 (1) Zona 5 : YAP 2 : Distribuzione profondita energia ceduta + 205 (2) Zona 5 : YAP 2 Distribuzione energia ceduta nel piano del yap + 106 (1) Zona 6 : YAP 3 : Distribuzione profondita energia ceduta + 206 (2) Zona 6 : YAP 3 Distribuzione energia ceduta nel piano del yap + 107 (1) Zona 7 : YAP 4 : Distribuzione profondita energia ceduta + 207 (2) Zona 7 : YAP 4 Distribuzione energia ceduta nel piano del yap + 108 (1) Zona 8 : fughe : Distribuzione profondita energia ceduta + 208 (2) Zona 8 : fughe dal sistema : distribuzione in x-y + 109 (1) Zona 9 : assorbimenti da parte dei collimatori + 209 (2) Zona 9 : assorbimento dei collimatori distr x-y + 110 (1) Zona 10 : uscite dai rivelatori + 210 (2) Zona 10 : uscite dai rivelatori + 501 (1) DISTRIBUZIONE ANGOLO DECADIMENTO POSITRONI + 700 (2) DISTRIBUZIONE R-Z , PESATA SU ENERGIA -- + 701 (2) DISTRIBUZIONE x-y , PESATA SU ENERGIA -- + 400 (2) DISTRIBUZIONE X-Y PUNTI DECADIMENTO POSITRONE + 401 (2) DISTRIBUZIONE R-Z PUNTI DECADIMENTO PPOSITRONE + + + ===> Directory : //topw1 + 800 (N) x,y,z punti decadimento del positrone + + + ===> Directory : //topwy + 801 (N) energia persa nei rivelatori a ogni evento + + + ENERGIA TOTALE POSITRONI := 302.2008 MEV + ENERGIA CINETICA TOTALE := 200.0000 MEV + ENERGIA TOTALE DEPOSITATA := 404.4013 MEV + NUMERO POSITRONI := 200 + ENERGIA INIZIALE/DEPOSITATA := 1.338187 + + + + % FRACTION IN REGION 1= 0.8073228 MEV: 3.264824 + % FRACTION IN REGION 2= 0.8270055E-01 MEV: 0.3344421 + % FRACTION IN REGION 3= 4.622417 MEV: 18.69312 + % FRACTION IN REGION 4= 5.934879 MEV: 24.00073 + % FRACTION IN REGION 5= 8.944691 MEV: 36.17245 + % FRACTION IN REGION 6= 7.102716 MEV: 28.72348 + % FRACTION IN REGION 7= 6.738783 MEV: 27.25173 + % FRACTION IN REGION 8= 65.76649 MEV: 265.9606 + % FRACTION IN REGION 9= 0.0000000E+00 MEV: 0.0000000E+00 + % FRACTION IN REGION 10= 0.0000000E+00 MEV: 0.0000000E+00 + + + FRACTION OF ENERGY DEPOSITED IN EACH REGION + region total e+ e- gamma + 1 0.81E-02 0.71E-02 0.96E-03 0.00E+00 + 2 0.83E-03 0.66E-03 0.17E-03 0.00E+00 + 3 0.46E-01 0.44E-01 0.25E-02 0.00E+00 + 4 0.59E-01 0.26E-01 0.28E-01 0.56E-02 + 5 0.89E-01 0.39E-01 0.42E-01 0.89E-02 + 6 0.71E-01 0.33E-01 0.31E-01 0.69E-02 + 7 0.67E-01 0.33E-01 0.29E-01 0.57E-02 + 8 0.66E+00 0.59E+00 0.16E-02 0.62E-01 + 9 0.00E+00 0.00E+00 0.00E+00 0.00E+00 + 10 0.00E+00 0.00E+00 0.00E+00 0.00E+00 + + + Particelle assorbite in ogni regione + region total e+ e- gamma + 1 12 0 12 0 + 2 2 0 2 0 + 3 19 0 19 0 + 4 231 14 169 48 + 5 386 23 271 92 + 6 309 17 212 80 + 7 280 14 202 64 + 8 210 131 25 54 + 9 0 0 0 0 + 10 0 0 0 0 + + + Posizione media energia assorbita: + zona x medio y medio z medio + 1 -0.63188E-01 0.11193 -0.12875 + 2 0.71532E-01 -1.0525 0.75117 + 3 -0.73921 -0.55522 -0.48853 + 8 -48.297 -40.926 -89.189 + 9 0.00000E+00 0.00000E+00 0.00000E+00 + + + Posizione media assorbimenti nei rivelatori + zona riv. x medio +- y medio +- z medio +- + 4 1 -4.8817 0.25221 -1.0041 11.081 -0.61163 5.8049 + 5 2 -4.8604 0.25726 1.4468 10.171 0.63837 5.9657 + 6 3 -4.8618 0.31187 2.3348 9.3791 -1.2977 4.0930 + 7 4 -4.8810 0.21861 -0.13773E-01 10.392 3.0883 5.4679 diff --git a/code/input-output/cyl_2.dat b/code/input-output/cyl_2.dat new file mode 100644 index 0000000..2ef449a --- /dev/null +++ b/code/input-output/cyl_2.dat @@ -0,0 +1,20 @@ + 5000 1 1 1.00 ! N. storie , carica,regione,mev della sorgente + 100 1 -122545931 ! ogni 10 stampa, user seed:(SI se >0) e seed + 5 ! numero materiali +WATER-3MEV-10KEV-02KEV +ALUM-3MEV-10KEV-02KEV +AIR-3MEV-10KEV-02KEV ! nomi materiali +CD+TE-3MEV-10KEV-02KEV +LEAD-3MEV-10KEV-02KEV + 1 0.002 0.002 + 2 0.002 0.002 ! materiale, E inf. gamma e positroni + 3 0.002 0.002 + 4 0.002 0.002 + 5 0.002 0.002 + 2 5. ! num cylindri e meta altezza + 10. 3 ! spessore , materiale del cilindro + 1. 3 + 1 0 1 1 0 0 ! out.flags:interac.,paths,n-tuple decay,n-tuple yaps,cross.surf,unused + +12345678901234567890123456789012345678901234567890123456789012345678901234567890 + 1 2 3 4 5 6 7 8 diff --git a/code/input-output/cyl_2_y.dat b/code/input-output/cyl_2_y.dat new file mode 100644 index 0000000..9974f49 --- /dev/null +++ b/code/input-output/cyl_2_y.dat @@ -0,0 +1,20 @@ + 500 1 1 1.00 ! N. storie , carica,regione,mev della sorgente + 100 1 -122545931 ! ogni 10 stampa, user seed:(SI se >0) e seed + 5 ! numero materiali +WATER-3MEV-10KEV-02KEV +ALUM-3MEV-10KEV-02KEV +AIR-3MEV-10KEV-02KEV ! nomi materiali +CD+TE-3MEV-10KEV-02KEV +LEAD-3MEV-10KEV-02KEV + 1 0.002 0.002 + 2 0.002 0.002 ! materiale, E inf. gamma e positroni + 3 0.002 0.002 + 4 0.002 0.002 + 5 0.002 0.002 + 2 2.1 ! num cylindri e meta altezza + 2. 1 ! spessore , materiale del cilindro + 0.5 2 + 1 0 1 1 0 0 ! out.flags: interac., paths, n-tuple decay, n-tuple yaps, cross.surf + +12345678901234567890123456789012345678901234567890123456789012345678901234567890 + 1 2 3 4 5 6 7 8 diff --git a/code/input-output/cyl_y.out b/code/input-output/cyl_y.out new file mode 100644 index 0000000..147550e --- /dev/null +++ b/code/input-output/cyl_y.out @@ -0,0 +1,2404 @@ +1 + ............................................................................................................................. + . . + . HBOOK HBOOK CERN VERSION 4.21 HISTOGRAM AND PLOT INDEX 31/08/94 . + . . + ............................................................................................................................. + . . + . NO TITLE ID B/C ENTRIES DIM NCHA LOWER UPPER ADDRESS LENGTH . + . . + ............................................................................................................................. + . . + . . + . 1 Zona 1 : H2O r=2cm : Distribuzione ener 101 32 43606 1 X 60 -0.300E+01 0.300E+01 989926 119 . + . gia ceduta lungo l' asse z . + . . + . 2 Zona 2 : Al r=0.5cm : Distribuzione e 102 32 5242 1 X 60 -0.300E+01 0.300E+01 989804 120 . + . nergia ceduta lungo l' asse z . + . . + . 3 Zona 3 : aria : Distribuzione ene 103 32 715 1 X 60 -0.300E+01 0.300E+01 989683 119 . + . rgia ceduta lungo l' asse z . + . . + . 4 Zona 4 : YAP 1 : Distribuzione prof 104 32 14111 1 X 40 -0.200E+01 0.200E+01 989563 98 . + . ondita energia ceduta . + . . + . 5 Zona 5 : YAP 2 : Distribuzione prof 105 32 12111 1 X 40 -0.200E+01 0.200E+01 989463 98 . + . ondita energia ceduta . + . . + . 6 Zona 6 : YAP 3 : Distribuzione prof 106 32 19809 1 X 40 -0.200E+01 0.200E+01 989363 98 . + . ondita energia ceduta . + . . + . 7 Zona 7 : YAP 4 : Distribuzione prof 107 32 9664 1 X 40 -0.200E+01 0.200E+01 989263 98 . + . ondita energia ceduta . + . . + . 8 Zona 8 : YAP 5 : Distribuzione prof 108 32 14603 1 X 40 -0.200E+01 0.200E+01 989163 98 . + . ondita energia ceduta . + . . + . 9 Zona 9 : YAP 6 : Distribuzione prof 109 32 17304 1 X 40 -0.200E+01 0.200E+01 989063 98 . + . ondita energia ceduta . + . . + . 10 Zona 10 : fughe : Distribuzione lun 110 32 664 1 X 100 -0.500E+01 0.500E+01 988966 155 . + . go asse z . + . . + . 11 Zona 11 : assorbimenti da parte dei col 111 32 0 1 X 100 -0.500E+01 0.500E+01 988810 154 . + . limatori . + . . + . 12 Zona 12 : part. uscenti dai rivelatori 112 32 14 1 X 100 -0.500E+01 0.500E+01 988656 152 . + . . + . . + . 13 Distribuzione angolo decadimento positr 501 32 400 1 X 72 0.000E+00 0.628E+01 988501 125 . + . oni . + . . + . 14 Zona 1 : H2O r=0.5cm : Distribuzione en 201 32 43606 2 X 100 -0.100E+02 0.100E+02 988366 10463 . + . ergia ceduta nel piano x-y Y 100 -0.100E+02 0.100E+02 977941 10416 . + . . + . 15 Zona 2 : Al r=0.5cm : Distribuzione ene 202 32 5242 2 X 100 -0.100E+02 0.100E+02 977901 10463 . + . rgia ceduta nel piano x-y Y 100 -0.100E+02 0.100E+02 967476 10416 . + . . + . 16 Zona 3 : Aria Distribuzione energia ced 203 32 715 2 X 100 -0.100E+02 0.100E+02 967438 10461 . + . uta nel piano x-y Y 100 -0.100E+02 0.100E+02 957013 10416 . + . . + . 17 Zona 4 : YAP 1 Distribuzione energia ce 204 32 14111 2 X 70 -0.210E+01 0.210E+01 956974 5242 . + . duta nel piano del yap Y 70 -0.210E+01 0.210E+01 951769 5196 . + . . + . 18 Zona 5 : YAP 2 Distribuzione energia ce 205 32 12111 2 X 70 -0.210E+01 0.210E+01 951730 5242 . + . duta nel piano del yap Y 70 -0.210E+01 0.210E+01 946525 5196 . + . . + . 19 Zona 6 : YAP 3 Distribuzione energia ce 206 32 19809 2 X 70 -0.210E+01 0.210E+01 946486 5242 . + . duta nel piano del yap Y 70 -0.210E+01 0.210E+01 941281 5196 . + . . + . 20 Zona 7 : YAP 4 Distribuzione energia ce 207 32 9664 2 X 70 -0.210E+01 0.210E+01 941242 5242 . + . duta nel piano del yap Y 70 -0.210E+01 0.210E+01 936037 5196 . + . . + . 21 Zona 8 : YAP 5 Distribuzione energia ce 208 32 14603 2 X 70 -0.210E+01 0.210E+01 935998 5242 . + . duta nel piano del yap Y 70 -0.210E+01 0.210E+01 930793 5196 . + . . + . 22 Zona 9 : YAP 6 Distribuzione energia ce 209 32 17304 2 X 70 -0.210E+01 0.210E+01 930754 5242 . + . duta nel piano del yap Y 70 -0.210E+01 0.210E+01 925549 5196 . + . . + . 23 Zona 10 : fughe dal sistema : distribuz 210 32 664 2 X 70 -0.210E+01 0.210E+01 925513 5239 . + . ione in x-y Y 70 -0.210E+01 0.210E+01 920308 5196 . + . . + . 24 Zona 11 : assorbimento dei collimatori 211 32 0 2 X 100 -0.100E+02 0.100E+02 920270 10461 . + . distribuzione x-y Y 100 -0.100E+02 0.100E+02 909845 10416 . + . . + . 25 Zona 12 : uscite dai rivelatori distrib 212 32 14 2 X 100 -0.100E+02 0.100E+02 909809 10459 . + . uzione x-y Y 100 -0.100E+02 0.100E+02 899384 10416 . + . . + . 26 DISTRIBUZIONE R-Z , PESATA SU ENERGIA - 700 32 137843 2 X 100 -0.100E+02 0.100E+02 899350 3317 . + . - Y 30 -0.300E+01 0.300E+01 896065 3276 . + . . + . 27 DISTRIBUZIONE x-y , PESATA SU ENERGIA - 701 32 137843 2 X 100 -0.100E+02 0.100E+02 896031 10457 . + . - Y 100 -0.100E+02 0.100E+02 885606 10416 . + . . + . 28 DISTRIBUZIONE X-Y PUNTI DECADIMENTO POS 400 32 400 2 X 100 -0.100E+02 0.100E+02 885571 10458 . + . ITRONE Y 100 -0.100E+02 0.100E+02 875146 10416 . + . . + . 29 DISTRIBUZIONE R-Z PUNTI DECADIMENTO PPO 401 32 400 2 X 100 -0.100E+02 0.100E+02 875111 3318 . + . SITRONE Y 30 -0.300E+01 0.300E+01 871826 3276 . + . . + . 30 x,y,z punti decadimento del positrone 800 N 871767 47 . + . . + . . + . 31 energia persa nei rivelatori a ogni eve 801 N 871624 74 . + . nto . + . . + ............................................................................................................................. + + + + MEMORY UTILISATION + + MAXIMUM TOTAL SIZE OF COMMON /PAWC/ 1000000 +1 Zona 1 : H2O r=2cm : Distribuzione energia ceduta lungo l' asse z + + HBOOK ID = 101 DATE 31/08/94 NO = 1 + + 160 - + 156 I + 152 I + 148 I + 144 I + 140 I + 136 I + 132 I + 128 I + 124 I + 120 I + 116 I + 112 I + 108 I + 104 I + 100 -I + 96 II + 92 II + 88 II + 84 II + 80 II + 76 II + 72 II + 68 II + 64 II + 60 II- + 56 I I + 52 -I I + 48 I I + 44 I I + 40 I I + 36 I I + 32 I I + 28 I I + 24 -I I + 20 I I + 16 I I- + 12 I I + 8 I I + 4 -----------------I I------------------ - + + CHANNELS 10 0 1 2 3 4 5 6 + 1 123456789012345678901234567890123456789012345678901234567890 + + CONTENTS 100 1 + 10 259551 + 1. 1 1 1117695 + 0 000000000000310805288185204201689628473205521132401000000000 + 0 000000000010769583684722126518752819719901229931422000000100 + + LOW-EDGE ------------------------------- + 1. 322222222221111111111 11111111112222222222 + 0 098765432109876543210987654321012345678901234567890123456789 + + * ENTRIES = 43606 * ALL CHANNELS = 0.4176E+03 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.1000E+00 * MEAN VALUE = 0.1594E-02 * R . M . S = 0.2361E+00 +1 Zona 2 : Al r=0.5cm : Distribuzione energia ceduta lungo l' asse z + + HBOOK ID = 102 DATE 31/08/94 NO = 2 + + 1.15 - + 1.125 I + 1.1 I + 1.075 - I + 1.05 I I + 1.025 I I + 1 I I + .975 I I + .95 I I - + .925 I I I + .9 I I I + .875 I I I + .85 I I I + .825 I -I I + .8 I II I - + .775 I II I -I + .75 I II I II + .725 I II I II + .7 I II I II + .675 I II I II + .65 I II I II + .625 I II I II + .6 I II I II - + .575 I - II I II I + .55 I - I -II I -II I + .525 I I I I I I I I I + .5 I I I I I I I I I + .475 I I I I I I I I I + .45 I I I- I I I I I I + .425 I I II I I I - I I I + .4 I I II I I I I I I I + .375 I I II I I- I I I I I + .35 - I I II-I I I I I I I - + .325 I I I I I- I I I I I I + .3 I - I -I I I I I I I I I + .275 I I I II -I I I I I I I I + .25 I I I II I I I I I I I I + .225 I I-I II- - I I I I I I I I + .2 I I I I I I I I I I I I I I + .175 I I I I I I I I I I I I I I + .15 I I I I I I I I I I- I I- I- I + .125 I I I I I -I I I I II I I II I + .1 - I I I I I II I I-I II I I II I + .075 I -I I I I I-II I I-II I I- II I + .05 I II I I I I I I I I II I + .025 I II I I I I I I-I I II I - + + CHANNELS 10 0 1 2 3 4 5 6 + 1 123456789012345678901234567890123456789012345678901234567890 + + CONTENTS 1. 1 1 + 0 000000000000302200252012025435813309041057710051030000000000 + 0 000000000906208150931521076442126083723026926073030000000000 + 0 000000000203702880675712011805875213126151385066090900000000 + 0 000000000304709080687040090079314185647226608040080300000000 + + LOW-EDGE ------------------------------- + 1. 322222222221111111111 11111111112222222222 + 0 098765432109876543210987654321012345678901234567890123456789 + + * ENTRIES = 5242 * ALL CHANNELS = 0.1323E+02 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.1000E+00 * MEAN VALUE = 0.7838E-01 * R . M . S = 0.1007E+01 +1 Zona 3 : aria : Distribuzione energia ceduta lungo l' asse z + + HBOOK ID = 103 DATE 31/08/94 NO = 3 + + + CHANNELS 10 0 1 2 3 4 5 6 + 1 123456789012345678901234567890123456789012345678901234567890 + + CONTENTS . + 0 000000000000000000000000000000000000000000000000000000000000 + 0 000000000000000000000000000000000000000000000000000000000000 + + LOW-EDGE ------------------------------- + 1. 322222222221111111111 11111111112222222222 + 0 098765432109876543210987654321012345678901234567890123456789 + + * ENTRIES = 715 * ALL CHANNELS = 0.0000E+00 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.1000E+00 * MEAN VALUE = 0.0000E+00 * R . M . S = 0.0000E+00 +1 Zona 4 : YAP 1 : Distribuzione profondita energia ceduta + + HBOOK ID = 104 DATE 31/08/94 NO = 4 + + 1.9 - + 1.85 I + 1.8 I + 1.75 I + 1.7 I + 1.65 I + 1.6 I + 1.55 I + 1.5 I + 1.45 - I + 1.4 I I + 1.35 I I + 1.3 I I + 1.25 I I + 1.2 I I + 1.15 - I I - + 1.1 I I I I- + 1.05 I I I II + 1 I I I II + .95 I I I II + .9 I I I II + .85 I I I II + .8 I I I II + .75 I -I I II + .7 I II I II - + .65 I-II I- II - I + .6 I I II -II I I + .55 I I II I I I I + .5 I I II I I I I + .45 I I II I I I I + .4 I I II I I- I I + .35 I I II I I I I + .3 I I II I I-I I + .25 I I-II I I I + .2 I I-I I- I + .15 I I I + .1 I I I + .05 I I- I + + CHANNELS 10 0 1 2 3 4 + 1 1234567890123456789012345678901234567890 + + CONTENTS 1. 1 1 1 11 + 0 0000000000167428615103261000600000000000 + 0 0000000000022139196096606400900000000000 + 0 0000000000550517958111637600900000000000 + 0 0000000000175387127586448100100000000000 + + LOW-EDGE -------------------- + 1. 21111111111 1111111111 + 0 0987654321098765432101234567890123456789 + + * ENTRIES = 14111 * ALL CHANNELS = 0.1172E+02 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.1000E+00 * MEAN VALUE =-0.2857E+00 * R . M . S = 0.4808E+00 +1 Zona 5 : YAP 2 : Distribuzione profondita energia ceduta + + HBOOK ID = 105 DATE 31/08/94 NO = 5 + + 2.5 - + 2.4 I + 2.3 I + 2.2 I + 2.1 I + 2 I + 1.9 I + 1.8 I + 1.7 I + 1.6 I + 1.5 I + 1.4 I + 1.3 I + 1.2 I + 1.1 I - + 1 I I + .9 I I + .8 I I - + .7 I - I I + .6 I -I I- -I + .5 I II -II II + .4 I-II I I --- - II - + .3 I I I I-I I I II- I + .2 I I I I-I-I I I + .1 I I-I I I + + CHANNELS 10 0 1 2 3 4 + 1 1234567890123456789012345678901234567890 + + CONTENTS 1. 2 1 + 0 0000000000435604052333131572030000000000 + 0 0000000000602868185436499731090000000000 + 0 0000000000079911689267780087080000000000 + 0 0000000000232483402311113313030000000000 + + LOW-EDGE -------------------- + 1. 21111111111 1111111111 + 0 0987654321098765432101234567890123456789 + + * ENTRIES = 12111 * ALL CHANNELS = 0.1010E+02 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.1000E+00 * MEAN VALUE =-0.2559E+00 * R . M . S = 0.6074E+00 +1 Zona 6 : YAP 3 : Distribuzione profondita energia ceduta + + HBOOK ID = 106 DATE 31/08/94 NO = 6 + + 2.8 - + 2.7 I + 2.6 I + 2.5 I + 2.4 I + 2.3 I + 2.2 I + 2.1 I + 2 I + 1.9 - I + 1.8 -I I + 1.7 II--I + 1.6 I I + 1.5 I I - + 1.4 I I I + 1.3 I I I - + 1.2 I I I I - + 1.1 I I I I I + 1 I I I I I + .9 I I I I I + .8 I I - I I I + .7 I I-I I I I - + .6 I I-I I- I I + .5 I I II I I + .4 I I -II I-I + .3 I I I I I I + .2 I I-I I-I I + .1 I I + + CHANNELS 10 0 1 2 3 4 + 1 1234567890123456789012345678901234567890 + + CONTENTS 1. 11112 1 1 1 + 0 0000000000786676754132511360000000000000 + 0 0000000000196131360814710590000000000000 + 0 0000000000834934530745367760000000000000 + 0 0000000000634979927224555310000000000000 + + LOW-EDGE -------------------- + 1. 21111111111 1111111111 + 0 0987654321098765432101234567890123456789 + + * ENTRIES = 19809 * ALL CHANNELS = 0.1754E+02 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.1000E+00 * MEAN VALUE =-0.3652E+00 * R . M . S = 0.4783E+00 +1 Zona 7 : YAP 4 : Distribuzione profondita energia ceduta + + HBOOK ID = 107 DATE 31/08/94 NO = 7 + + 1.32 - + 1.28 I + 1.24 I + 1.2 I + 1.16 I + 1.12 I- + 1.08 II + 1.04 II + 1 II + .96 II + .92 II - + .88 II I + .84 II I + .8 II I + .76 II I + .72 II - - I + .68 II I I I + .64 II I - I I + .6 II I I I I + .56 II I I I I + .52 II I -I - I I + .48 II I II I I I + .44 II I II I I I + .4 II-I- II I I I + .36 I I II I I -I + .32 I I-II-I I-II + .28 I I I I + .24 I I I I + .2 I I I I + .16 I I I I + .12 I I I I + .08 I I I I + .04 I I- -I I + + CHANNELS 10 0 1 2 3 4 + 1 1234567890123456789012345678901234567890 + + CONTENTS 1. 11 + 0 0000000000203733462500073380000000000000 + 0 0000000000987170808000200380000000000000 + 0 0000000000543787285350139620000000000000 + 0 0000000000880434313010712960000000000000 + + LOW-EDGE -------------------- + 1. 21111111111 1111111111 + 0 0987654321098765432101234567890123456789 + + * ENTRIES = 9664 * ALL CHANNELS = 0.8294E+01 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.1000E+00 * MEAN VALUE =-0.2995E+00 * R . M . S = 0.5629E+00 +1 Zona 8 : YAP 5 : Distribuzione profondita energia ceduta + + HBOOK ID = 108 DATE 31/08/94 NO = 8 + + 1.48 - + 1.44 I + 1.4 I + 1.36 I + 1.32 I + 1.28 -I + 1.24 II + 1.2 II + 1.16 II + 1.12 II - + 1.08 II I + 1.04 II I + 1 II I + .96 II I + .92 II I - + .88 II I I + .84 II I I - + .8 II I I I - + .76 II I I I- I + .72 II I I II I + .68 II-I I II I + .64 I I I -II I + .6 I I-I I I I + .56 I I I I I + .52 I I I I I + .48 I I I I - I + .44 I I I I -I I + .4 I I I I II I- - + .36 I I I I II II I + .32 I I I I II II I + .28 I I I I II -II I + .24 I I I I-II I I I + .2 I I I I I I I + .16 I I I I I I I + .12 I I-I I I I I + .08 I I I I I + .04 I I I I I + + CHANNELS 10 0 1 2 3 4 + 1 1234567890123456789012345678901234567890 + + CONTENTS 1. 11 1 + 0 0000000000246159168724400273030000000000 + 0 0000000000446091023202700578070000000000 + 0 0000000000211794138003200827000000000000 + 0 0000000000272275674118200095050000000000 + + LOW-EDGE -------------------- + 1. 21111111111 1111111111 + 0 0987654321098765432101234567890123456789 + + * ENTRIES = 14603 * ALL CHANNELS = 0.1114E+02 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.1000E+00 * MEAN VALUE =-0.2907E+00 * R . M . S = 0.5604E+00 +1 Zona 9 : YAP 6 : Distribuzione profondita energia ceduta + + HBOOK ID = 109 DATE 31/08/94 NO = 9 + + 2.1 - + 2.05 I + 2 I + 1.95 I + 1.9 I + 1.85 I + 1.8 - I + 1.75 I I + 1.7 I I + 1.65 I I + 1.6 I I + 1.55 I I + 1.5 I I + 1.45 I I - + 1.4 I I I + 1.35 I - I I + 1.3 I I I I + 1.25 I I I I + 1.2 I I I I + 1.15 I I I I + 1.1 I I I I + 1.05 I I I I + 1 I I- I I + .95 I II I I + .9 I II I I + .85 I II I I - + .8 I-II I- I - I + .75 I I II I I - -I + .7 I I II I -I I II + .65 I I II I II I II + .6 I I II I II I II- + .55 I I II I II I- I I + .5 I I II I II II I I + .45 I I-II I II II I I + .4 I I I-II II I I + .35 I I I I II I I + .3 I I I I II I I + .25 I I I I II I I + .2 I I I I II I I + .15 I I I I II I I + .1 I I-I I II I I + .05 I I II I I + + CHANNELS 10 0 1 2 3 4 + 1 1234567890123456789012345678901234567890 + + CONTENTS 1. 1 1 2 1 + 0 0000000000773940704367075078500000000000 + 0 0000000000594506880659003023800000000000 + 0 0000000000169335068108080074200000000000 + 0 0000000000557031603265009096600000000000 + + LOW-EDGE -------------------- + 1. 21111111111 1111111111 + 0 0987654321098765432101234567890123456789 + + * ENTRIES = 17304 * ALL CHANNELS = 0.1479E+02 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.1000E+00 * MEAN VALUE =-0.2309E+00 * R . M . S = 0.5586E+00 +1 Zona 10 : fughe : Distribuzione lungo asse z + + HBOOK ID = 110 DATE 31/08/94 NO = 10 + + 80 - + 78 I + 76 I + 74 - I + 72 I I + 70 I I + 68 I I + 66 I I + 64 I I + 62 I I + 60 I I + 58 I I + 56 I I + 54 I I + 52 I I + 50 I I + 48 I I + 46 I I + 44 I I + 42 I I + 40 I I + 38 I I + 36 I I + 34 I I + 32 I I + 30 I I + 28 I I + 26 I I + 24 I I + 22 I I + 20 I I + 18 I I + 16 I I + 14 I I + 12 I I + 10 I I + 8 I I + 6 I I + 4 I I + 2 - -I I -- - - + + CHANNELS 100 0 1 + 10 0 1 2 3 4 5 6 7 8 9 0 + 1 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 + + CONTENTS 10 7 7 + 1. 1 3 8 + 0 0000000000000000000000000005300000000000000000000000000000000000000000090150000000000000000000000500 + 0 0000000000000000000000000201800000000000000000000000000000000000000000050810060000000000000000000100 + 0 0000000000000000000000000201500000000000000000000000000000000000000000010510030000000000000000000100 + + LOW-EDGE --------------------------------------------------- + 1. 54444444444333333333322222222221111111111 1111111111222222222233333333334444444444 + 0 0987654321098765432109876543210987654321098765432101234567890123456789012345678901234567890123456789 + + * ENTRIES = 664 * ALL CHANNELS = 0.1551E+03 * UNDERFLOW = 0.7612E+02 * OVERFLOW = 0.7050E+02 + * BIN WID = 0.1000E+00 * MEAN VALUE = 0.8121E-01 * R . M . S = 0.2166E+01 + + ****** HBOOK NO ENTRIES FOR HISTOGRAM ID= 111 +1 Zona 12 : part. uscenti dai rivelatori + + HBOOK ID = 112 DATE 31/08/94 NO = 12 + + 3.8 - + 3.7 I + 3.6 I + 3.5 I + 3.4 I + 3.3 I + 3.2 I + 3.1 I + 3 I + 2.9 I + 2.8 I + 2.7 I + 2.6 I - + 2.5 I I + 2.4 I - I - + 2.3 I I I I + 2.2 I I I I - + 2.1 I I I I I - - + 2 I I I - I I I I + 1.9 I I - I I I I I - I + 1.8 - I I I I I I I I I I + 1.7 I I I I I I I I I I I + 1.6 I I I I I I I I I I I + 1.5 I I I I I I I I I I I + 1.4 I I I I I I I I I I I + 1.3 I I I I I I I I I I I + 1.2 I I I I I I I I I I I- + 1.1 I I I-I I I I I I I II + 1 I I I I I I I I I I II + .9 I I I I I I I I I I II + .8 I I I I I I I I I I II + .7 I I I I I I I I I I II + .6 I I I I I I I I I I II + .5 I I I I I I I I I I II + .4 I I I I I I I I I I II + .3 I I I I I I I I I I II + .2 I I I I I I I I I I II + .1 I I I I I I I I I I II + + CHANNELS 100 0 1 + 10 0 1 2 3 4 5 6 7 8 9 0 + 1 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 + + CONTENTS 1. 1 3 211 2 1 2 2 2 1 21 + *10** 1 0 0000000000000000000000000000070000000700308050090300100000000000080001000000000000000000000000000000 + 0 0000000000000000000000000000070000000700062080060000000400000000080057000000000000000000000000000000 + 0 0000000000000000000000000000050000000300253090010500500500000000040088000000000000000000000000000000 + 0 0000000000000000000000000000050000000900745030070800500500000000000081000000000000000000000000000000 + + LOW-EDGE --------------------------------------------------- + 1. 54444444444333333333322222222221111111111 1111111111222222222233333333334444444444 + 0 0987654321098765432109876543210987654321098765432101234567890123456789012345678901234567890123456789 + + * ENTRIES = 14 * ALL CHANNELS = 0.2687E+01 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.1000E+00 * MEAN VALUE =-0.1552E+00 * R . M . S = 0.1130E+01 +1 Distribuzione angolo decadimento positroni + + HBOOK ID = 501 DATE 31/08/94 NO = 13 + + 390 - + 380 I + 370 I + 360 I + 350 I + 340 I + 330 I + 320 I + 310 I + 300 I + 290 I + 280 I + 270 I + 260 I + 250 I + 240 I + 230 I + 220 I + 210 I + 200 I + 190 I + 180 I + 170 I + 160 I + 150 I + 140 I + 130 I + 120 I + 110 I + 100 I + 90 I + 80 I + 70 I + 60 I + 50 I + 40 I + 30 I + 20 I + 10 ---- ----- - - I + + CHANNELS 10 0 1 2 3 4 5 6 7 + 1 123456789012345678901234567890123456789012345678901234567890123456789012 + + CONTENTS 100 3 + 10 8 + 1. 1111 11211 1 1 8 + + LOW-EDGE 1. 111111111112222222222223333333333344444444444455555555555666 + 0 001234566789012334567890012345677890123445678900123456778901234456789011 + 0 087643219875432098754310986542109765421087653219876432198754320986543109 + 0 074186307529631852074186307529631852074186307529631852074186307529631852 + 0 024791368024791368024791368024791368024791368024791368024791368024791368 + + * ENTRIES = 400 * ALL CHANNELS = 0.4000E+03 * UNDERFLOW = 0.0000E+00 * OVERFLOW = 0.0000E+00 + * BIN WID = 0.8722E-01 * MEAN VALUE = 0.3153E+01 * R . M . S = 0.1866E+00 +1 Zona 1 : H2O r=0.5cm : Distribuzione energia ceduta nel piano x-y + + HBOOK ID = 201 DATE 31/08/94 NO = 14 + + CHANNELS 100 U 0 1 O + 10 N 0 1 2 3 4 5 6 7 8 9 0 V + 1 D 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 E + ************************************************************************************************************ + OVE * * OVE + 9.8 * * 100 + 9.6 * * 99 + 9.4 * * 98 + 9.2 * * 97 + 9 * * 96 + 8.8 * * 95 + 8.6 * * 94 + 8.4 * * 93 + 8.2 * * 92 + 8 * * 91 + 7.8 * * 90 + 7.6 * * 89 + 7.4 * * 88 + 7.2 * * 87 + 7 * * 86 + 6.8 * * 85 + 6.6 * * 84 + 6.4 * * 83 + 6.2 * * 82 + 6 * * 81 + 5.8 * * 80 + 5.6 * * 79 + 5.4 * * 78 + 5.2 * * 77 + 5 * * 76 + 4.8 * * 75 + 4.6 * * 74 + 4.4 * * 73 + 4.2 * * 72 + 4 * * 71 + 3.8 * * 70 + 3.6 * * 69 + 3.4 * * 68 + 3.2 * * 67 + 3 * * 66 + 2.8 * * 65 + 2.6 * * 64 + 2.4 * * 63 + 2.2 * * 62 + 2 * * 61 + 1.8 * . . * 60 + 1.6 * . . * 59 + 1.4 * . . . * 58 + 1.2 * . . * 57 + 1 * . . .. . . * 56 + .8 * . . . . . * 55 + .6 * . . .. .... . * 54 + .4 * ... ..... . * 53 + .2 * . . .+67+ . . . * 52 + * . ..7**7. . .. * 51 + - .2 * ...7**6.. * 50 + - .4 * ...87.. . * 49 + - .6 * ... ..... .. * 48 + - .8 * .. . ... . * 47 + - 1 * . .. .. . . * 46 + - 1.2 * . . ... * 45 + - 1.4 * . . .. . * 44 + - 1.6 * .. . . . . * 43 + - 1.8 * . . * 42 + - 2 * .. . * 41 + - 2.2 * * 40 + - 2.4 * * 39 + - 2.6 * * 38 + - 2.8 * * 37 + - 3 * * 36 + - 3.2 * * 35 + - 3.4 * * 34 + - 3.6 * * 33 + - 3.8 * * 32 + - 4 * * 31 + - 4.2 * * 30 + - 4.4 * * 29 + - 4.6 * * 28 + - 4.8 * * 27 + - 5 * * 26 + - 5.2 * * 25 + - 5.4 * * 24 + - 5.6 * * 23 + - 5.8 * * 22 + - 6 * * 21 + - 6.2 * * 20 + - 6.4 * * 19 + - 6.6 * * 18 + - 6.8 * * 17 + - 7 * * 16 + - 7.2 * * 15 + - 7.4 * * 14 + - 7.6 * * 13 + - 7.8 * * 12 + - 8 * * 11 + - 8.2 * * 10 + - 8.4 * * 9 + - 8.6 * * 8 + - 8.8 * * 7 + - 9 * * 6 + - 9.2 * * 5 + - 9.4 * * 4 + - 9.6 * * 3 + - 9.8 * * 2 + - 10 * * 1 + UND * * UND + ************************************************************************************************************ + LOW-EDGE --------------------------------------------------- + 10 1 + 1. 0999998888877777666665555544444333332222211111 111112222233333444445555566666777778888899999 + 0 0864208642086420864208642086420864208642086420864202468024680246802468024680246802468024680246802468 + + * I I + * ENTRIES = 43606 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 417.553I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 Zona 2 : Al r=0.5cm : Distribuzione energia ceduta nel piano x-y + + HBOOK ID = 202 DATE 31/08/94 NO = 15 + + CHANNELS 100 U 0 1 O + 10 N 0 1 2 3 4 5 6 7 8 9 0 V + 1 D 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 E + ************************************************************************************************************ + OVE * * OVE + 9.8 * * 100 + 9.6 * * 99 + 9.4 * * 98 + 9.2 * * 97 + 9 * * 96 + 8.8 * * 95 + 8.6 * * 94 + 8.4 * * 93 + 8.2 * * 92 + 8 * * 91 + 7.8 * * 90 + 7.6 * * 89 + 7.4 * * 88 + 7.2 * * 87 + 7 * * 86 + 6.8 * * 85 + 6.6 * * 84 + 6.4 * * 83 + 6.2 * * 82 + 6 * * 81 + 5.8 * * 80 + 5.6 * * 79 + 5.4 * * 78 + 5.2 * * 77 + 5 * * 76 + 4.8 * * 75 + 4.6 * * 74 + 4.4 * * 73 + 4.2 * * 72 + 4 * * 71 + 3.8 * * 70 + 3.6 * * 69 + 3.4 * * 68 + 3.2 * * 67 + 3 * * 66 + 2.8 * * 65 + 2.6 * * 64 + 2.4 * .. * 63 + 2.2 * .... . . * 62 + 2 * . .. . * 61 + 1.8 * ... .. .. * 60 + 1.6 * . . * 59 + 1.4 * .. . * 58 + 1.2 * . . * 57 + 1 * . . * 56 + .8 * . . * 55 + .6 * . .. * 54 + .4 * . .. * 53 + .2 * . . * 52 + * . . * 51 + - .2 * . .. * 50 + - .4 * . * 49 + - .6 * . * 48 + - .8 * .. .. * 47 + - 1 * . . * 46 + - 1.2 * . * 45 + - 1.4 * . * 44 + - 1.6 * . . * 43 + - 1.8 * .. .. . * 42 + - 2 * .. . . * 41 + - 2.2 * .. . . . .. .. * 40 + - 2.4 * . . . * 39 + - 2.6 * * 38 + - 2.8 * * 37 + - 3 * * 36 + - 3.2 * * 35 + - 3.4 * * 34 + - 3.6 * * 33 + - 3.8 * * 32 + - 4 * * 31 + - 4.2 * * 30 + - 4.4 * * 29 + - 4.6 * * 28 + - 4.8 * * 27 + - 5 * * 26 + - 5.2 * * 25 + - 5.4 * * 24 + - 5.6 * * 23 + - 5.8 * * 22 + - 6 * * 21 + - 6.2 * * 20 + - 6.4 * * 19 + - 6.6 * * 18 + - 6.8 * * 17 + - 7 * * 16 + - 7.2 * * 15 + - 7.4 * * 14 + - 7.6 * * 13 + - 7.8 * * 12 + - 8 * * 11 + - 8.2 * * 10 + - 8.4 * * 9 + - 8.6 * * 8 + - 8.8 * * 7 + - 9 * * 6 + - 9.2 * * 5 + - 9.4 * * 4 + - 9.6 * * 3 + - 9.8 * * 2 + - 10 * * 1 + UND * * UND + ************************************************************************************************************ + LOW-EDGE --------------------------------------------------- + 10 1 + 1. 0999998888877777666665555544444333332222211111 111112222233333444445555566666777778888899999 + 0 0864208642086420864208642086420864208642086420864202468024680246802468024680246802468024680246802468 + + * I I + * ENTRIES = 5242 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 13.227I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 Zona 3 : Aria Distribuzione energia ceduta nel piano x-y + + HBOOK ID = 203 DATE 31/08/94 NO = 16 + + CHANNELS 100 U 0 1 O + 10 N 0 1 2 3 4 5 6 7 8 9 0 V + 1 D 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 E + ************************************************************************************************************ + OVE * * OVE + 9.8 * * 100 + 9.6 * * 99 + 9.4 * * 98 + 9.2 * * 97 + 9 * * 96 + 8.8 * * 95 + 8.6 * * 94 + 8.4 * * 93 + 8.2 * * 92 + 8 * * 91 + 7.8 * * 90 + 7.6 * * 89 + 7.4 * * 88 + 7.2 * * 87 + 7 * * 86 + 6.8 * * 85 + 6.6 * * 84 + 6.4 * * 83 + 6.2 * * 82 + 6 * * 81 + 5.8 * * 80 + 5.6 * * 79 + 5.4 * * 78 + 5.2 * * 77 + 5 * * 76 + 4.8 * * 75 + 4.6 * * 74 + 4.4 * * 73 + 4.2 * * 72 + 4 * * 71 + 3.8 * * 70 + 3.6 * * 69 + 3.4 * * 68 + 3.2 * * 67 + 3 * * 66 + 2.8 * * 65 + 2.6 * * 64 + 2.4 * * 63 + 2.2 * * 62 + 2 * * 61 + 1.8 * * 60 + 1.6 * * 59 + 1.4 * * 58 + 1.2 * * 57 + 1 * * 56 + .8 * * 55 + .6 * * 54 + .4 * * 53 + .2 * * 52 + * * 51 + - .2 * * 50 + - .4 * * 49 + - .6 * * 48 + - .8 * * 47 + - 1 * * 46 + - 1.2 * * 45 + - 1.4 * * 44 + - 1.6 * * 43 + - 1.8 * * 42 + - 2 * * 41 + - 2.2 * * 40 + - 2.4 * * 39 + - 2.6 * * 38 + - 2.8 * * 37 + - 3 * * 36 + - 3.2 * * 35 + - 3.4 * * 34 + - 3.6 * * 33 + - 3.8 * * 32 + - 4 * * 31 + - 4.2 * * 30 + - 4.4 * * 29 + - 4.6 * * 28 + - 4.8 * * 27 + - 5 * * 26 + - 5.2 * * 25 + - 5.4 * * 24 + - 5.6 * * 23 + - 5.8 * * 22 + - 6 * * 21 + - 6.2 * * 20 + - 6.4 * * 19 + - 6.6 * * 18 + - 6.8 * * 17 + - 7 * * 16 + - 7.2 * * 15 + - 7.4 * * 14 + - 7.6 * * 13 + - 7.8 * * 12 + - 8 * * 11 + - 8.2 * * 10 + - 8.4 * * 9 + - 8.6 * * 8 + - 8.8 * * 7 + - 9 * * 6 + - 9.2 * * 5 + - 9.4 * * 4 + - 9.6 * * 3 + - 9.8 * * 2 + - 10 * * 1 + UND * * UND + ************************************************************************************************************ + LOW-EDGE --------------------------------------------------- + 10 1 + 1. 0999998888877777666665555544444333332222211111 111112222233333444445555566666777778888899999 + 0 0864208642086420864208642086420864208642086420864202468024680246802468024680246802468024680246802468 + + * I I + * ENTRIES = 715 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 Zona 4 : YAP 1 Distribuzione energia ceduta nel piano del yap + + HBOOK ID = 204 DATE 31/08/94 NO = 17 + + CHANNELS 10 U 0 1 2 3 4 5 6 7 O + 1 N 1234567890123456789012345678901234567890123456789012345678901234567890 V + ****************************************************************************** + OVE * * OVE + 2.04 * . * 70 + 1.98 * . * 69 + 1.92 * . * 68 + 1.86 * . . * 67 + 1.8 * . . . * 66 + 1.74 * . . * 65 + 1.68 * . * 64 + 1.62 * * 63 + 1.56 * * 62 + 1.5 * . * 61 + 1.44 * . * 60 + 1.38 * * 59 + 1.32 * .. . . * 58 + 1.26 * . * 57 + 1.2 * . * 56 + 1.14 * . * 55 + 1.08 * * 54 + 1.02 * . * 53 + .96 * . .. * 52 + .9 * * 51 + .84 * . * 50 + .78 * . * 49 + .72 * * 48 + .66 * * 47 + .6 * . . * 46 + .54 * . * 45 + .48 * * 44 + .42 * * 43 + .36 * . * 42 + .3 * . . * 41 + .24 * . * 40 + .18 * * 39 + .12 * * 38 + .06 * * 37 + * . * 36 + - .06 * . * 35 + - .12 * . * 34 + - .18 * * 33 + - .24 * . * 32 + - .3 * . . * 31 + - .36 * . * 30 + - .42 * .. . . * 29 + - .48 * * 28 + - .54 * * 27 + - .6 * . * 26 + - .66 * * 25 + - .72 * . * 24 + - .78 * . * 23 + - .84 * .. . * 22 + - .9 * * 21 + - .96 * . * 20 + - 1.02 * . . * 19 + - 1.08 * . . * 18 + - 1.14 * . . * 17 + - 1.2 * . * 16 + - 1.26 * . . * 15 + - 1.32 * . * 14 + - 1.38 * * 13 + - 1.44 * . . * 12 + - 1.5 * * 11 + - 1.56 * * 10 + - 1.62 * . * 9 + - 1.68 * * 8 + - 1.74 * . * 7 + - 1.8 * . * 6 + - 1.86 * . * 5 + - 1.92 * * 4 + - 1.98 * * 3 + - 2.04 * * 2 + - 2.1 * * 1 + UND * * UND + ****************************************************************************** + LOW-EDGE ------------------------------------ + 1. 2211111111111111111 111111111111111112 + 0 1099887665543322100998776654433211000112334456677899001223345566788990 + 0 0482604826048260482604826048260482606284062840628406284062840628406284 + + * I I + * ENTRIES = 14111 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 11.717I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 Zona 5 : YAP 2 Distribuzione energia ceduta nel piano del yap + + HBOOK ID = 205 DATE 31/08/94 NO = 18 + + CHANNELS 10 U 0 1 2 3 4 5 6 7 O + 1 N 1234567890123456789012345678901234567890123456789012345678901234567890 V + ****************************************************************************** + OVE * * OVE + 2.04 * * 70 + 1.98 * * 69 + 1.92 * * 68 + 1.86 * * 67 + 1.8 * * 66 + 1.74 * . * 65 + 1.68 * * 64 + 1.62 * * 63 + 1.56 * * 62 + 1.5 * . * 61 + 1.44 * . * 60 + 1.38 * . . . * 59 + 1.32 * .. * 58 + 1.26 * . * 57 + 1.2 * * 56 + 1.14 * . * 55 + 1.08 * * 54 + 1.02 * * 53 + .96 * . * 52 + .9 * . * 51 + .84 * * 50 + .78 * * 49 + .72 * . * 48 + .66 * . * 47 + .6 * . . * 46 + .54 * . * 45 + .48 * . * 44 + .42 * . * 43 + .36 * * 42 + .3 * . * 41 + .24 * . * 40 + .18 * . * 39 + .12 * . * 38 + .06 * . * 37 + * * 36 + - .06 * * 35 + - .12 * .. * 34 + - .18 * . * 33 + - .24 * . * 32 + - .3 * * 31 + - .36 * . * 30 + - .42 * . * 29 + - .48 * * 28 + - .54 * * 27 + - .6 * . * 26 + - .66 * . * 25 + - .72 * . * 24 + - .78 * * 23 + - .84 * . . * 22 + - .9 * . . * 21 + - .96 * . * 20 + - 1.02 * * 19 + - 1.08 * . . * 18 + - 1.14 * * 17 + - 1.2 * . . * 16 + - 1.26 * * 15 + - 1.32 * . . * 14 + - 1.38 * . * 13 + - 1.44 * . * 12 + - 1.5 * .. .. * 11 + - 1.56 * . * 10 + - 1.62 * . * 9 + - 1.68 * . * 8 + - 1.74 * * 7 + - 1.8 * * 6 + - 1.86 * * 5 + - 1.92 * . . * 4 + - 1.98 * * 3 + - 2.04 * * 2 + - 2.1 * * 1 + UND * * UND + ****************************************************************************** + LOW-EDGE ------------------------------------ + 1. 2211111111111111111 111111111111111112 + 0 1099887665543322100998776654433211000112334456677899001223345566788990 + 0 0482604826048260482604826048260482606284062840628406284062840628406284 + + * I I + * ENTRIES = 12111 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 10.098I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 Zona 6 : YAP 3 Distribuzione energia ceduta nel piano del yap + + HBOOK ID = 206 DATE 31/08/94 NO = 19 + + CHANNELS 10 U 0 1 2 3 4 5 6 7 O + 1 N 1234567890123456789012345678901234567890123456789012345678901234567890 V + ****************************************************************************** + OVE * * OVE + 2.04 * . * 70 + 1.98 * . . * 69 + 1.92 * * 68 + 1.86 * * 67 + 1.8 * * 66 + 1.74 * . * 65 + 1.68 * . .. . * 64 + 1.62 * * 63 + 1.56 * . * 62 + 1.5 * . * 61 + 1.44 * . * 60 + 1.38 * * 59 + 1.32 * . . . * 58 + 1.26 * ... * 57 + 1.2 * * 56 + 1.14 * . . . * 55 + 1.08 * . . * 54 + 1.02 * * 53 + .96 * . * 52 + .9 * * 51 + .84 * * 50 + .78 * . * 49 + .72 * * 48 + .66 * . * 47 + .6 * . * 46 + .54 * * 45 + .48 * * 44 + .42 * * 43 + .36 * . * 42 + .3 * * 41 + .24 * * 40 + .18 * . .. .. * 39 + .12 * . . . * 38 + .06 * . * 37 + * . . * 36 + - .06 * * 35 + - .12 * . . . * 34 + - .18 * . . . * 33 + - .24 * . * 32 + - .3 * * 31 + - .36 * .. * 30 + - .42 * . * 29 + - .48 * * 28 + - .54 * . * 27 + - .6 * * 26 + - .66 * * 25 + - .72 * . . * 24 + - .78 * . . * 23 + - .84 * .. * 22 + - .9 * . .. * 21 + - .96 * . . * 20 + - 1.02 * * 19 + - 1.08 * * 18 + - 1.14 * * 17 + - 1.2 * . * 16 + - 1.26 * . * 15 + - 1.32 * . . * 14 + - 1.38 * . . . . * 13 + - 1.44 * . * 12 + - 1.5 * * 11 + - 1.56 * . . * 10 + - 1.62 * * 9 + - 1.68 * . * 8 + - 1.74 * . * 7 + - 1.8 * . . * 6 + - 1.86 * . * 5 + - 1.92 * * 4 + - 1.98 * * 3 + - 2.04 * . * 2 + - 2.1 * * 1 + UND * * UND + ****************************************************************************** + LOW-EDGE ------------------------------------ + 1. 2211111111111111111 111111111111111112 + 0 1099887665543322100998776654433211000112334456677899001223345566788990 + 0 0482604826048260482604826048260482606284062840628406284062840628406284 + + * I I + * ENTRIES = 19809 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 17.542I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 Zona 7 : YAP 4 Distribuzione energia ceduta nel piano del yap + + HBOOK ID = 207 DATE 31/08/94 NO = 20 + + CHANNELS 10 U 0 1 2 3 4 5 6 7 O + 1 N 1234567890123456789012345678901234567890123456789012345678901234567890 V + ****************************************************************************** + OVE * * OVE + 2.04 * . * 70 + 1.98 * . * 69 + 1.92 * * 68 + 1.86 * * 67 + 1.8 * .. * 66 + 1.74 * . * 65 + 1.68 * * 64 + 1.62 * . . * 63 + 1.56 * * 62 + 1.5 * . * 61 + 1.44 * * 60 + 1.38 * . * 59 + 1.32 * * 58 + 1.26 * * 57 + 1.2 * . * 56 + 1.14 * . * 55 + 1.08 * * 54 + 1.02 * * 53 + .96 * . . . * 52 + .9 * .. * 51 + .84 * . * 50 + .78 * * 49 + .72 * * 48 + .66 * * 47 + .6 * . * 46 + .54 * * 45 + .48 * . * 44 + .42 * . * 43 + .36 * * 42 + .3 * . . . * 41 + .24 * * 40 + .18 * . .. * 39 + .12 * * 38 + .06 * * 37 + * . * 36 + - .06 * . * 35 + - .12 * . * 34 + - .18 * * 33 + - .24 * * 32 + - .3 * * 31 + - .36 * * 30 + - .42 * . * 29 + - .48 * * 28 + - .54 * . * 27 + - .6 * * 26 + - .66 * * 25 + - .72 * * 24 + - .78 * . * 23 + - .84 * . . * 22 + - .9 * * 21 + - .96 * * 20 + - 1.02 * * 19 + - 1.08 * * 18 + - 1.14 * * 17 + - 1.2 * * 16 + - 1.26 * . . * 15 + - 1.32 * * 14 + - 1.38 * . * 13 + - 1.44 * * 12 + - 1.5 * * 11 + - 1.56 * * 10 + - 1.62 * * 9 + - 1.68 * * 8 + - 1.74 * * 7 + - 1.8 * * 6 + - 1.86 * * 5 + - 1.92 * . * 4 + - 1.98 * . * 3 + - 2.04 * . * 2 + - 2.1 * . * 1 + UND * * UND + ****************************************************************************** + LOW-EDGE ------------------------------------ + 1. 2211111111111111111 111111111111111112 + 0 1099887665543322100998776654433211000112334456677899001223345566788990 + 0 0482604826048260482604826048260482606284062840628406284062840628406284 + + * I I + * ENTRIES = 9664 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 8.294I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 Zona 8 : YAP 5 Distribuzione energia ceduta nel piano del yap + + HBOOK ID = 208 DATE 31/08/94 NO = 21 + + CHANNELS 10 U 0 1 2 3 4 5 6 7 O + 1 N 1234567890123456789012345678901234567890123456789012345678901234567890 V + ****************************************************************************** + OVE * * OVE + 2.04 * * 70 + 1.98 * * 69 + 1.92 * * 68 + 1.86 * . . * 67 + 1.8 * . * 66 + 1.74 * * 65 + 1.68 * . * 64 + 1.62 * . . . * 63 + 1.56 * . . * 62 + 1.5 * . * 61 + 1.44 * * 60 + 1.38 * . .. * 59 + 1.32 * . * 58 + 1.26 * . * 57 + 1.2 * . * 56 + 1.14 * * 55 + 1.08 * . * 54 + 1.02 * . * 53 + .96 * * 52 + .9 * . * 51 + .84 * * 50 + .78 * . * 49 + .72 * * 48 + .66 * * 47 + .6 * * 46 + .54 * . * 45 + .48 * . . . . . * 44 + .42 * . . * 43 + .36 * . . * 42 + .3 * . . * 41 + .24 * . . . * 40 + .18 * . . * 39 + .12 * . . . * 38 + .06 * . * 37 + * * 36 + - .06 * . * 35 + - .12 * . . * 34 + - .18 * . . * 33 + - .24 * .. . * 32 + - .3 * * 31 + - .36 * . * 30 + - .42 * . . * 29 + - .48 * * 28 + - .54 * * 27 + - .6 * . . * 26 + - .66 * * 25 + - .72 * . * 24 + - .78 * * 23 + - .84 * . * 22 + - .9 * * 21 + - .96 * * 20 + - 1.02 * * 19 + - 1.08 * * 18 + - 1.14 * * 17 + - 1.2 * .. * 16 + - 1.26 * * 15 + - 1.32 * .. * 14 + - 1.38 * * 13 + - 1.44 * * 12 + - 1.5 * * 11 + - 1.56 * * 10 + - 1.62 * * 9 + - 1.68 * * 8 + - 1.74 * . * 7 + - 1.8 * . . * 6 + - 1.86 * * 5 + - 1.92 * * 4 + - 1.98 * . .. * 3 + - 2.04 * * 2 + - 2.1 * * 1 + UND * * UND + ****************************************************************************** + LOW-EDGE ------------------------------------ + 1. 2211111111111111111 111111111111111112 + 0 1099887665543322100998776654433211000112334456677899001223345566788990 + 0 0482604826048260482604826048260482606284062840628406284062840628406284 + + * I I + * ENTRIES = 14603 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 11.135I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 Zona 9 : YAP 6 Distribuzione energia ceduta nel piano del yap + + HBOOK ID = 209 DATE 31/08/94 NO = 22 + + CHANNELS 10 U 0 1 2 3 4 5 6 7 O + 1 N 1234567890123456789012345678901234567890123456789012345678901234567890 V + ****************************************************************************** + OVE * * OVE + 2.04 * . * 70 + 1.98 * * 69 + 1.92 * * 68 + 1.86 * . . * 67 + 1.8 * . . . * 66 + 1.74 * * 65 + 1.68 * . * 64 + 1.62 * . * 63 + 1.56 * .. .. . * 62 + 1.5 * * 61 + 1.44 * * 60 + 1.38 * * 59 + 1.32 * * 58 + 1.26 * . * 57 + 1.2 * .. * 56 + 1.14 * . * 55 + 1.08 * * 54 + 1.02 * . * 53 + .96 * . . * 52 + .9 * . * 51 + .84 * . * 50 + .78 * * 49 + .72 * . * 48 + .66 * . . * 47 + .6 * * 46 + .54 * . * 45 + .48 * . . * 44 + .42 * * 43 + .36 * . * 42 + .3 * . .. * 41 + .24 * . . * 40 + .18 * . . . * 39 + .12 * . * 38 + .06 * * 37 + * . * 36 + - .06 * . . . * 35 + - .12 * * 34 + - .18 * * 33 + - .24 * . + * 32 + - .3 * * 31 + - .36 * .. . * 30 + - .42 * . * 29 + - .48 * . . * 28 + - .54 * * 27 + - .6 * * 26 + - .66 * * 25 + - .72 * . . * 24 + - .78 * . * 23 + - .84 * * 22 + - .9 * . * 21 + - .96 * . . . * 20 + - 1.02 * . * 19 + - 1.08 * . . . * 18 + - 1.14 * . * 17 + - 1.2 * . * 16 + - 1.26 * . * 15 + - 1.32 * * 14 + - 1.38 * . * 13 + - 1.44 * . * 12 + - 1.5 * * 11 + - 1.56 * . * 10 + - 1.62 * * 9 + - 1.68 * * 8 + - 1.74 * * 7 + - 1.8 * * 6 + - 1.86 * . * 5 + - 1.92 * * 4 + - 1.98 * * 3 + - 2.04 * . * 2 + - 2.1 * * 1 + UND * * UND + ****************************************************************************** + LOW-EDGE ------------------------------------ + 1. 2211111111111111111 111111111111111112 + 0 1099887665543322100998776654433211000112334456677899001223345566788990 + 0 0482604826048260482604826048260482606284062840628406284062840628406284 + + * I I + * ENTRIES = 17304 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 14.788I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 Zona 10 : fughe dal sistema : distribuzione in x-y + + HBOOK ID = 210 DATE 31/08/94 NO = 23 + + CHANNELS 10 U 0 1 2 3 4 5 6 7 O + 1 N 1234567890123456789012345678901234567890123456789012345678901234567890 V + ****************************************************************************** + OVE * * . .... . . .. . .. .. . * * OVE + 2.04 * * 70 + 1.98 * . * 69 + 1.92 * . . * 68 + 1.86 * . . * 67 + 1.8 * . . . * 66 + 1.74 * . . * 65 + 1.68 * . * 64 + 1.62 * . . . . * 63 + 1.56 * .. * 62 + 1.5 * . . . . * 61 + 1.44 * . . . . . . . * 60 + 1.38 * . . . . * 59 + 1.32 * . . * 58 + 1.26 * . . . . . . . * 57 + 1.2 * . * 56 + 1.14 * . . . . + . * 55 + 1.08 * . . . * 54 + 1.02 * . . . .. . . * 53 + .96 * . . . . * 52 + .9 * . . .. . . * 51 + .84 * . .. . . * 50 + .78 * . . . . . . . * 49 + .72 * . . * 48 + .66 * . * 47 + .6 * . . . . . . * 46 + .54 * . . . . * 45 + .48 * . . . * 44 + .42 * . . . * 43 + .36 * . . . . . . +. . * 42 + .3 * . . . * 41 + .24 * . . * 40 + .18 * . . . . . . + * 39 + .12 * . . . . * 38 + .06 * . .+.. . . . * 37 + * . . . . . . * 36 + - .06 * . . . . * 35 + - .12 * . .. . . . . . * 34 + - .18 * . . . . . . . . * 33 + - .24 * . .. .. . * 32 + - .3 * . . . . . . . . . * 31 + - .36 * . . . .. . * 30 + - .42 * + . . . . * 29 + - .48 * . . . . . + * 28 + - .54 * . . . . * 27 + - .6 * . . . . * 26 + - .66 * . . . . . * 25 + - .72 * . . * 24 + - .78 * . . . . . * 23 + - .84 * . . . . . * 22 + - .9 * . . . . . * 21 + - .96 * + . * 20 + - 1.02 * . . . . + * 19 + - 1.08 * .. . * 18 + - 1.14 * . . . . * 17 + - 1.2 * . . . * 16 + - 1.26 * . * 15 + - 1.32 * . . . . . * 14 + - 1.38 * . . * 13 + - 1.44 * . . . . * 12 + - 1.5 * . . . * 11 + - 1.56 * . + . * 10 + - 1.62 * . . . * 9 + - 1.68 * . . . * 8 + - 1.74 * . . * 7 + - 1.8 * * 6 + - 1.86 * . * 5 + - 1.92 * . * 4 + - 1.98 * . . * 3 + - 2.04 * . . * 2 + - 2.1 * . . * 1 + UND * * . . . .... . .. + . . + . * * UND + ****************************************************************************** + LOW-EDGE ------------------------------------ + 1. 2211111111111111111 111111111111111112 + 0 1099887665543322100998776654433211000112334456677899001223345566788990 + 0 0482604826048260482604826048260482606284062840628406284062840628406284 + + * 40.243I 6.919I 39.326 + * ENTRIES = 664 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY 6.184I 116.612I 5.935 + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 39.356I 8.385I 38.8 + + ****** HBOOK NO ENTRIES FOR HISTOGRAM ID= 211 +1 Zona 12 : uscite dai rivelatori distribuzione x-y + + HBOOK ID = 212 DATE 31/08/94 NO = 25 + + CHANNELS 100 U 0 1 O + 10 N 0 1 2 3 4 5 6 7 8 9 0 V + 1 D 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 E + ************************************************************************************************************ + OVE * * OVE + 9.8 * * 100 + 9.6 * * 99 + 9.4 * * 98 + 9.2 * * 97 + 9 * * 96 + 8.8 * * 95 + 8.6 * * 94 + 8.4 * * 93 + 8.2 * * 92 + 8 * * 91 + 7.8 * * 90 + 7.6 * * 89 + 7.4 * * 88 + 7.2 * * 87 + 7 * * 86 + 6.8 * * 85 + 6.6 * * 84 + 6.4 * * 83 + 6.2 * * 82 + 6 * * 81 + 5.8 * * 80 + 5.6 * * 79 + 5.4 * * 78 + 5.2 * * 77 + 5 * * 76 + 4.8 * * 75 + 4.6 * * 74 + 4.4 * * 73 + 4.2 * * 72 + 4 * * 71 + 3.8 * * 70 + 3.6 * * 69 + 3.4 * * 68 + 3.2 * . * 67 + 3 * * 66 + 2.8 * . * 65 + 2.6 * . * 64 + 2.4 * . * 63 + 2.2 * * 62 + 2 * * 61 + 1.8 * * 60 + 1.6 * * 59 + 1.4 * . * 58 + 1.2 * * 57 + 1 * . * 56 + .8 * * 55 + .6 * . . * 54 + .4 * * 53 + .2 * * 52 + * * 51 + - .2 * . * 50 + - .4 * * 49 + - .6 * * 48 + - .8 * * 47 + - 1 * . * 46 + - 1.2 * * 45 + - 1.4 * . * 44 + - 1.6 * * 43 + - 1.8 * * 42 + - 2 * * 41 + - 2.2 * * 40 + - 2.4 * * 39 + - 2.6 * * 38 + - 2.8 * * 37 + - 3 * . * 36 + - 3.2 * * 35 + - 3.4 * * 34 + - 3.6 * * 33 + - 3.8 * * 32 + - 4 * * 31 + - 4.2 * . * 30 + - 4.4 * . * 29 + - 4.6 * * 28 + - 4.8 * * 27 + - 5 * * 26 + - 5.2 * * 25 + - 5.4 * * 24 + - 5.6 * * 23 + - 5.8 * * 22 + - 6 * * 21 + - 6.2 * * 20 + - 6.4 * * 19 + - 6.6 * * 18 + - 6.8 * * 17 + - 7 * * 16 + - 7.2 * * 15 + - 7.4 * * 14 + - 7.6 * * 13 + - 7.8 * * 12 + - 8 * * 11 + - 8.2 * * 10 + - 8.4 * * 9 + - 8.6 * * 8 + - 8.8 * * 7 + - 9 * * 6 + - 9.2 * * 5 + - 9.4 * * 4 + - 9.6 * * 3 + - 9.8 * * 2 + - 10 * * 1 + UND * * UND + ************************************************************************************************************ + LOW-EDGE --------------------------------------------------- + 10 1 + 1. 0999998888877777666665555544444333332222211111 111112222233333444445555566666777778888899999 + 0 0864208642086420864208642086420864208642086420864202468024680246802468024680246802468024680246802468 + + * I I + * ENTRIES = 14 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 2.687I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 DISTRIBUZIONE R-Z , PESATA SU ENERGIA -- + + HBOOK ID = 700 DATE 31/08/94 NO = 26 + + CHANNELS 100 U 0 1 O + 10 N 0 1 2 3 4 5 6 7 8 9 0 V + 1 D 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 E + ************************************************************************************************************ + OVE * . * * OVE + 2.8 * * 30 + 2.6 * . * 29 + 2.4 * . * 28 + 2.2 * . * 27 + 2 * +435853849433 ++3+2+.+.. * 26 + 1.8 * .. . .. . ... ... * 25 + 1.6 * . . . .. . ...+.. .. . * 24 + 1.4 * .... . . ...... .. . * 23 + 1.2 * ... .. ... ...+ . . * 22 + 1 * . . . ... ..+ .. .. . * 21 + .8 * ... .. +.... .. * 20 + .6 * .... . ... .... . . . * 19 + .4 * ... . .... +.... .... * 18 + .2 * A3+ ........ ..+.+ ...+ * 17 + * **.... ..... ...+.. ... . * 16 + - .2 * *Z.... . ... ...+..... * 15 + - .4 * I2...... . .. ...++.. . .. * 14 + - .6 * .......... + .... * 13 + - .8 * .... .... . ....... . * 12 + - 1 * ... .. .. ..+... .. . * 11 + - 1.2 * .. ... ... .+.. . * 10 + - 1.4 * . ........ .+... .. . * 9 + - 1.6 * . .... ..+. * 8 + - 1.8 * .. . . . . ... . . * 7 + - 2 * . . ..... .. * 6 + - 2.2 * +333684863432 .42.+2.++.. * 5 + - 2.4 * . * 4 + - 2.6 * + * 3 + - 2.8 * * 2 + - 3 * * 1 + UND * . . . * * UND + ************************************************************************************************************ + LOW-EDGE --------------------------------------------------- + 10 1 + 1. 0999998888877777666665555544444333332222211111 111112222233333444445555566666777778888899999 + 0 0864208642086420864208642086420864208642086420864202468024680246802468024680246802468024680246802468 + + * I .214I 70.8 + * ENTRIES = 137843 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 661.67 I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I .607I 75.512 +1 DISTRIBUZIONE x-y , PESATA SU ENERGIA -- + + HBOOK ID = 701 DATE 31/08/94 NO = 27 + + CHANNELS 100 U 0 1 O + 10 N 0 1 2 3 4 5 6 7 8 9 0 V + 1 D 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 E + ************************************************************************************************************ + OVE * Y . * * OVE + 9.8 * * 100 + 9.6 * * 99 + 9.4 * * 98 + 9.2 * * 97 + 9 * * 96 + 8.8 * * 95 + 8.6 * * 94 + 8.4 * * 93 + 8.2 * * 92 + 8 * . * 91 + 7.8 * * 90 + 7.6 * * 89 + 7.4 * * 88 + 7.2 * * 87 + 7 * * 86 + 6.8 * * 85 + 6.6 * * 84 + 6.4 * * 83 + 6.2 * * 82 + 6 * * 81 + 5.8 * * 80 + 5.6 * * 79 + 5.4 * . * 78 + 5.2 * . * 77 + 5 * . + * 76 + 4.8 * . . . . * 75 + 4.6 * . . . . . . .. . * 74 + 4.4 * . . .. . . . . * 73 + 4.2 * . . . . . . * 72 + 4 * . . . . .. .. . . * 71 + 3.8 * ... .. .... .. . .. . * 70 + 3.6 * . . . .. .. . . . ... * 69 + 3.4 * . .. . . ..+ . . * 68 + 3.2 * . .. ... . * 67 + 3 * . . . .. .. * 66 + 2.8 * . . ... . . . * 65 + 2.6 * . .. . + .. * 64 + 2.4 * ..... ... .+ . * 63 + 2.2 * . ...... . . . * 62 + 2 * . ... . . * 61 + 1.8 * . .. ......+.. .. .. . . . * 60 + 1.6 * . . . .. . . .... . * 59 + 1.4 * .. .. ... .+ ++. ... .. . . * 58 + 1.2 * . . . . . .++ . ... . . * 57 + 1 * . . . ... ....+ .+ +.... . . ... * 56 + .8 * . . . . .. .+.+.. . .+... . * 55 + .6 * . . . . .....+... ... .. . ... . * 54 + .4 * . .. .........+. +.... . . * 53 + .2 * . . . . ......++77+.+.+ . . . . * 52 + * . . .. +2..8**8. + .... . ... * 51 + - .2 * . . . .. . +..+8**7...+. ... . . * 50 + - .4 * .. . . . . ...+88++ +. + . . .. * 49 + - .6 * . .... +..... +.. .. + .. . * 48 + - .8 * .... ... .. +..+ ... ... . .. . * 47 + - 1 * . . . .. +.. +.+..... . .+.. . . . * 46 + - 1.2 * .. . . . . .+. +... . . ...... * 45 + - 1.4 * . . . . .. ... .. . . . * 44 + - 1.6 * ... . . ....2.. . + . . . . * 43 + - 1.8 * .. .. ... . . . . . * 42 + - 2 * . .. .... . . . . * 41 + - 2.2 * . ..+ . . . .. .. . * 40 + - 2.4 * . . .... .. * 39 + - 2.6 * .. ... * 38 + - 2.8 * . .. . .. . * 37 + - 3 * .. . . . . . * 36 + - 3.2 * . . . * 35 + - 3.4 * . . .. . .. .. . .. * 34 + - 3.6 * . . ... .. + ... * 33 + - 3.8 * . . . . . ... .. . . * 32 + - 4 * . . . .... . . * 31 + - 4.2 * .. .. .. .... .. .. * 30 + - 4.4 * .. ... . .. * 29 + - 4.6 * . . . ... . * 28 + - 4.8 * .. . . . .. . * 27 + - 5 * . ... * 26 + - 5.2 * . * 25 + - 5.4 * . . * 24 + - 5.6 * .. . .. * 23 + - 5.8 * .. * 22 + - 6 * * 21 + - 6.2 * * 20 + - 6.4 * * 19 + - 6.6 * * 18 + - 6.8 * * 17 + - 7 * * 16 + - 7.2 * * 15 + - 7.4 * * 14 + - 7.6 * * 13 + - 7.8 * * 12 + - 8 * * 11 + - 8.2 * * 10 + - 8.4 * * 9 + - 8.6 * * 8 + - 8.8 * * 7 + - 9 * * 6 + - 9.2 * * 5 + - 9.4 * * 4 + - 9.6 * * 3 + - 9.8 * * 2 + - 10 * * 1 + UND * * * * UND + ************************************************************************************************************ + LOW-EDGE --------------------------------------------------- + 10 1 + 1. 0999998888877777666665555544444333332222211111 111112222233333444445555566666777778888899999 + 0 0864208642086420864208642086420864208642086420864202468024680246802468024680246802468024680246802468 + + * 34.55 I .511I 36.903 + * ENTRIES = 137843 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY 1.022I 662.491I .502 + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 36.549I I 36.276 +1 DISTRIBUZIONE X-Y PUNTI DECADIMENTO POSITRONE + + HBOOK ID = 400 DATE 31/08/94 NO = 28 + + CHANNELS 100 U 0 1 O + 10 N 0 1 2 3 4 5 6 7 8 9 0 V + 1 D 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 E + ************************************************************************************************************ + OVE * * OVE + 9.8 * * 100 + 9.6 * * 99 + 9.4 * * 98 + 9.2 * * 97 + 9 * * 96 + 8.8 * * 95 + 8.6 * * 94 + 8.4 * * 93 + 8.2 * * 92 + 8 * * 91 + 7.8 * * 90 + 7.6 * * 89 + 7.4 * * 88 + 7.2 * * 87 + 7 * * 86 + 6.8 * * 85 + 6.6 * * 84 + 6.4 * * 83 + 6.2 * * 82 + 6 * * 81 + 5.8 * * 80 + 5.6 * * 79 + 5.4 * * 78 + 5.2 * * 77 + 5 * * 76 + 4.8 * * 75 + 4.6 * * 74 + 4.4 * * 73 + 4.2 * * 72 + 4 * * 71 + 3.8 * * 70 + 3.6 * * 69 + 3.4 * * 68 + 3.2 * * 67 + 3 * * 66 + 2.8 * * 65 + 2.6 * * 64 + 2.4 * * 63 + 2.2 * * 62 + 2 * * 61 + 1.8 * * 60 + 1.6 * * 59 + 1.4 * * 58 + 1.2 * * 57 + 1 * * 56 + .8 * * 55 + .6 * * 54 + .4 * * 53 + .2 * 2GI+ * 52 + * K**K * 51 + - .2 * L**F * 50 + - .4 * 2KH * 49 + - .6 * * 48 + - .8 * * 47 + - 1 * * 46 + - 1.2 * * 45 + - 1.4 * * 44 + - 1.6 * * 43 + - 1.8 * * 42 + - 2 * * 41 + - 2.2 * * 40 + - 2.4 * * 39 + - 2.6 * * 38 + - 2.8 * * 37 + - 3 * * 36 + - 3.2 * * 35 + - 3.4 * * 34 + - 3.6 * * 33 + - 3.8 * * 32 + - 4 * * 31 + - 4.2 * * 30 + - 4.4 * * 29 + - 4.6 * * 28 + - 4.8 * * 27 + - 5 * * 26 + - 5.2 * * 25 + - 5.4 * * 24 + - 5.6 * * 23 + - 5.8 * * 22 + - 6 * * 21 + - 6.2 * * 20 + - 6.4 * * 19 + - 6.6 * * 18 + - 6.8 * * 17 + - 7 * * 16 + - 7.2 * * 15 + - 7.4 * * 14 + - 7.6 * * 13 + - 7.8 * * 12 + - 8 * * 11 + - 8.2 * * 10 + - 8.4 * * 9 + - 8.6 * * 8 + - 8.8 * * 7 + - 9 * * 6 + - 9.2 * * 5 + - 9.4 * * 4 + - 9.6 * * 3 + - 9.8 * * 2 + - 10 * * 1 + UND * * UND + ************************************************************************************************************ + LOW-EDGE --------------------------------------------------- + 10 1 + 1. 0999998888877777666665555544444333332222211111 111112222233333444445555566666777778888899999 + 0 0864208642086420864208642086420864208642086420864202468024680246802468024680246802468024680246802468 + + * I I + * ENTRIES = 400 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 400 I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I +1 DISTRIBUZIONE R-Z PUNTI DECADIMENTO PPOSITRONE + + HBOOK ID = 401 DATE 31/08/94 NO = 29 + + CHANNELS 100 U 0 1 O + 10 N 0 1 2 3 4 5 6 7 8 9 0 V + 1 D 1234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890 E + ************************************************************************************************************ + OVE * * OVE + 2.8 * * 30 + 2.6 * * 29 + 2.4 * * 28 + 2.2 * * 27 + 2 * * 26 + 1.8 * * 25 + 1.6 * * 24 + 1.4 * * 23 + 1.2 * * 22 + 1 * * 21 + .8 * * 20 + .6 * * 19 + .4 * * 18 + .2 * IA * 17 + * ** * 16 + - .2 * ** * 15 + - .4 * *C * 14 + - .6 * * 13 + - .8 * * 12 + - 1 * * 11 + - 1.2 * * 10 + - 1.4 * * 9 + - 1.6 * * 8 + - 1.8 * * 7 + - 2 * * 6 + - 2.2 * * 5 + - 2.4 * * 4 + - 2.6 * * 3 + - 2.8 * * 2 + - 3 * * 1 + UND * * UND + ************************************************************************************************************ + LOW-EDGE --------------------------------------------------- + 10 1 + 1. 0999998888877777666665555544444333332222211111 111112222233333444445555566666777778888899999 + 0 0864208642086420864208642086420864208642086420864202468024680246802468024680246802468024680246802468 + + * I I + * ENTRIES = 400 PLOT ---------I---------I--------- + * SATURATION AT= INFINITY I 400 I + * SCALE .,+,2,3,.,., A,B, STATISTICS ---------I---------I--------- + * STEP = 1.00 * MINIMUM=0.000E+00 I I + + + ******************************************************** + * NTUPLE ID= 800 ENTRIES= 400 x,y,z punti decadimento del positrone + ******************************************************** + * Var numb * Name * Lower * Upper * + ******************************************************** + * 1 * x-decay * -.323644E+00 * 0.348436E+00 * + * 2 * y-decay * -.330288E+00 * 0.315043E+00 * + * 3 * z-decay * -.359211E+00 * 0.305658E+00 * + ******************************************************** + + + + ******************************************************** + * NTUPLE ID= 801 ENTRIES= 2400 energia persa nei rivelatori a ogni evento + ******************************************************** + * Var numb * Name * Lower * Upper * + ******************************************************** + * 1 * shower * 0.100000E+01 * 0.400000E+03 * + * 2 * rivelat * 0.100000E+01 * 0.600000E+01 * + * 3 * xmedio * -.995210E+00 * 0.989277E+00 * + * 4 * ymedio * -.209082E+01 * 0.208999E+01 * + * 5 * zmedio * -.208330E+01 * 0.205336E+01 * + * 6 * sigmax * -.953674E-06 * 0.860640E+00 * + * 7 * sigmay * -.452995E-05 * 0.115104E+01 * + * 8 * sigmaz * -.381470E-05 * 0.105580E+01 * + * 9 * etot * 0.000000E+00 * 0.101319E+01 * + * 10 * etot-po * 0.000000E+00 * 0.000000E+00 * + * 11 * etot-el * 0.000000E+00 * 0.983505E+00 * + * 12 * etot-ga * 0.000000E+00 * 0.788147E-01 * + * 13 * num-par * 0.000000E+00 * 0.120000E+02 * + * 14 * num-pos * 0.000000E+00 * 0.000000E+00 * + * 15 * num-ele * 0.000000E+00 * 0.100000E+02 * + * 16 * num-gam * 0.000000E+00 * 0.500000E+01 * + ******************************************************** + + + + ===> Directory : //PAWC + 101 (1) Zona 1 : H2O r=2cm : Distribuzione energia ceduta lungo l' asse z + 102 (1) Zona 2 : Al r=0.5cm : Distribuzione energia ceduta lungo l' asse z + 103 (1) Zona 3 : aria : Distribuzione energia ceduta lungo l' asse z + 104 (1) Zona 4 : YAP 1 : Distribuzione profondita energia ceduta + 105 (1) Zona 5 : YAP 2 : Distribuzione profondita energia ceduta + 106 (1) Zona 6 : YAP 3 : Distribuzione profondita energia ceduta + 107 (1) Zona 7 : YAP 4 : Distribuzione profondita energia ceduta + 108 (1) Zona 8 : YAP 5 : Distribuzione profondita energia ceduta + 109 (1) Zona 9 : YAP 6 : Distribuzione profondita energia ceduta + 110 (1) Zona 10 : fughe : Distribuzione lungo asse z + 111 (1) Zona 11 : assorbimenti da parte dei collimatori + 112 (1) Zona 12 : part. uscenti dai rivelatori + 501 (1) Distribuzione angolo decadimento positroni + 201 (2) Zona 1 : H2O r=0.5cm : Distribuzione energia ceduta nel piano x-y + 202 (2) Zona 2 : Al r=0.5cm : Distribuzione energia ceduta nel piano x-y + 203 (2) Zona 3 : Aria Distribuzione energia ceduta nel piano x-y + 204 (2) Zona 4 : YAP 1 Distribuzione energia ceduta nel piano del yap + 205 (2) Zona 5 : YAP 2 Distribuzione energia ceduta nel piano del yap + 206 (2) Zona 6 : YAP 3 Distribuzione energia ceduta nel piano del yap + 207 (2) Zona 7 : YAP 4 Distribuzione energia ceduta nel piano del yap + 208 (2) Zona 8 : YAP 5 Distribuzione energia ceduta nel piano del yap + 209 (2) Zona 9 : YAP 6 Distribuzione energia ceduta nel piano del yap + 210 (2) Zona 10 : fughe dal sistema : distribuzione in x-y + 211 (2) Zona 11 : assorbimento dei collimatori distribuzione x-y + 212 (2) Zona 12 : uscite dai rivelatori distribuzione x-y + 700 (2) DISTRIBUZIONE R-Z , PESATA SU ENERGIA -- + 701 (2) DISTRIBUZIONE x-y , PESATA SU ENERGIA -- + 400 (2) DISTRIBUZIONE X-Y PUNTI DECADIMENTO POSITRONE + 401 (2) DISTRIBUZIONE R-Z PUNTI DECADIMENTO PPOSITRONE + 800 (N) x,y,z punti decadimento del positrone + 801 (N) energia persa nei rivelatori a ogni evento + + + ===> Directory : //topw + 101 (1) Zona 1 : H2O r=2cm : Distribuzione energia ceduta lungo l' asse z + 201 (2) Zona 1 : H2O r=0.5cm : Distribuzione energia ceduta nel piano x-y + 102 (1) Zona 2 : Al r=0.5cm : Distribuzione energia ceduta lungo l' asse z + 202 (2) Zona 2 : Al r=0.5cm : Distribuzione energia ceduta nel piano x-y + 103 (1) Zona 3 : aria : Distribuzione energia ceduta lungo l' asse z + 203 (2) Zona 3 : Aria Distribuzione energia ceduta nel piano x-y + 104 (1) Zona 4 : YAP 1 : Distribuzione profondita energia ceduta + 204 (2) Zona 4 : YAP 1 Distribuzione energia ceduta nel piano del yap + 105 (1) Zona 5 : YAP 2 : Distribuzione profondita energia ceduta + 205 (2) Zona 5 : YAP 2 Distribuzione energia ceduta nel piano del yap + 106 (1) Zona 6 : YAP 3 : Distribuzione profondita energia ceduta + 206 (2) Zona 6 : YAP 3 Distribuzione energia ceduta nel piano del yap + 107 (1) Zona 7 : YAP 4 : Distribuzione profondita energia ceduta + 207 (2) Zona 7 : YAP 4 Distribuzione energia ceduta nel piano del yap + 108 (1) Zona 8 : YAP 5 : Distribuzione profondita energia ceduta + 208 (2) Zona 8 : YAP 5 Distribuzione energia ceduta nel piano del yap + 109 (1) Zona 9 : YAP 6 : Distribuzione profondita energia ceduta + 209 (2) Zona 9 : YAP 6 Distribuzione energia ceduta nel piano del yap + 110 (1) Zona 10 : fughe : Distribuzione lungo asse z + 210 (2) Zona 10 : fughe dal sistema : distribuzione in x-y + 111 (1) Zona 11 : assorbimenti da parte dei collimatori + 211 (2) Zona 11 : assorbimento dei collimatori distribuzione x-y + 112 (1) Zona 12 : part. uscenti dai rivelatori + 212 (2) Zona 12 : uscite dai rivelatori distribuzione x-y + 501 (1) Distribuzione angolo decadimento positroni + 700 (2) DISTRIBUZIONE R-Z , PESATA SU ENERGIA -- + 701 (2) DISTRIBUZIONE x-y , PESATA SU ENERGIA -- + 400 (2) DISTRIBUZIONE X-Y PUNTI DECADIMENTO POSITRONE + 401 (2) DISTRIBUZIONE R-Z PUNTI DECADIMENTO PPOSITRONE + + + ===> Directory : //topw1 + 800 (N) x,y,z punti decadimento del positrone + + + ===> Directory : //topwy + 801 (N) energia persa nei rivelatori a ogni evento + + + ENERGIA TOTALE POSITRONI := 604.4023 MEV + ENERGIA CINETICA TOTALE := 400.0000 MEV + ENERGIA TOTALE DEPOSITATA := 808.8027 MEV + NUMERO POSITRONI := 400 + ENERGIA INIZIALE/DEPOSITATA := 1.338186 + + + + % FRACTION IN REGION 1= 51.62600 MEV: 417.5525 + % FRACTION IN REGION 2= 1.635389 MEV: 13.22707 + % FRACTION IN REGION 3= 0.0000000E+00 MEV: 0.0000000E+00 + % FRACTION IN REGION 4= 1.448668 MEV: 11.71687 + % FRACTION IN REGION 5= 1.248491 MEV: 10.09783 + % FRACTION IN REGION 6= 2.168939 MEV: 17.54244 + % FRACTION IN REGION 7= 1.025467 MEV: 8.294001 + % FRACTION IN REGION 8= 1.376759 MEV: 11.13526 + % FRACTION IN REGION 9= 1.828434 MEV: 14.78842 + % FRACTION IN REGION 10= 37.30964 MEV: 301.7614 + % FRACTION IN REGION 11= 0.0000000E+00 MEV: 0.0000000E+00 + % FRACTION IN REGION 12= 0.3322132 MEV: 2.686949 + + + FRACTION OF ENERGY DEPOSITED IN EACH REGION + region total e+ e- gamma + 1 0.52E+00 0.40E+00 0.12E+00 0.22E-04 + 2 0.16E-01 0.00E+00 0.16E-01 0.77E-05 + 3 0.00E+00 0.00E+00 0.00E+00 0.00E+00 + 4 0.14E-01 0.00E+00 0.13E-01 0.11E-02 + 5 0.12E-01 0.00E+00 0.12E-01 0.86E-03 + 6 0.22E-01 0.00E+00 0.20E-01 0.14E-02 + 7 0.10E-01 0.00E+00 0.96E-02 0.67E-03 + 8 0.14E-01 0.00E+00 0.13E-01 0.74E-03 + 9 0.18E-01 0.00E+00 0.17E-01 0.12E-02 + 10 0.37E+00 0.00E+00 0.22E-02 0.37E+00 + 11 0.00E+00 0.00E+00 0.00E+00 0.00E+00 + 12 0.33E-02 0.00E+00 0.00E+00 0.33E-02 + + + Particelle assorbite in ogni regione + region total e+ e- gamma + 1 2675 388 2254 33 + 2 153 0 149 4 + 3 0 0 0 0 + 4 151 0 111 40 + 5 130 0 98 32 + 6 199 0 155 44 + 7 109 0 88 21 + 8 132 0 110 22 + 9 179 0 145 34 + 10 664 0 13 651 + 11 0 0 0 0 + 12 14 0 0 14 + + + Posizione media energia assorbita: + zona x medio y medio z medio + 1 -0.40736E-02 -0.31444E-02 -0.36204E-02 + 2 0.28076 0.16671 0.80730E-01 + 3 0.00000E+00 0.00000E+00 0.00000E+00 + 10 54.936 -324.88 -111.91 + 11 0.00000E+00 0.00000E+00 0.00000E+00 + + + Posizione media assorbimenti nei rivelatori + zona riv. x medio +- y medio +- z medio +- + 4 1 -0.27489 0.48161 -0.24298 1.1418 0.56094E-01 1.2023 + 5 2 -0.25943 0.60958 -0.56319E-01 0.99200 -0.87325E-01 1.1113 + 6 3 -0.36437 0.47881 -0.35843E-02 1.3305 0.32518E-02 1.1348 + 7 4 -0.30772 0.55651 -0.76755E-01 1.1114 0.12972 1.2142 + 8 5 -0.29308 0.55551 0.29030 1.0569 0.33783 1.0537 + 9 6 -0.23685 0.55988 0.15549 1.2813 0.18729 0.96777 diff --git a/code/input-output/dum.lis b/code/input-output/dum.lis new file mode 100644 index 0000000..924745c --- /dev/null +++ b/code/input-output/dum.lis @@ -0,0 +1,27 @@ + +Directory DISK$PEOPLE:[BOLLINI] + +000README.TXT;4 AUSGABE_CYL_2.FOR;10 AUSGABE_CYL_2.OBJ;6 +COMM_CYLTOT_2.FOR;12 COMM_CYL_2.FOR;4 COMM_YAPS.FOR;8 +COPY04.COM;1 CYL.OUT;357 CYL_2.DAT;28 CYL_2_Y.DAT;4 +CYL_Y.OUT;8 DEFINIZIONI.COM;8 DUM.LIS;1 EGS4CYL.MAN;2 +EGS4FUNL.FOR;1 EGS4FUNL.OBJ;1 EGS4_CYL_2.EXE;163 EGS4_CYL_2.FOR;21 +EGS4_CYL_2.OBJ;7 FOR000.DAT;7 FOR006.DAT;1 FOR010.DAT;1 +FOR020.DAT;1 FOR_CYL_2.COM;7 GUARDA_2.BAK;1 GUARDA_2.FOR;178 +GUARDA_2.OBJ;142 HATCH.OUT;222 HBOOK.OUT;220 HBOOK_Y.OUT;5 +HIGZ_WINDOWS.DAT;1 HISTIN_CYL_2.FOR;5 HISTIN_CYL_2.OBJ;6 HIST_CYL_2.DAT;4 +HIST_CYL_2_Y.DAT;4 HOWFAR_CYL_2.FOR;2 HOWFAR_CYL_2A.FOR;35 +HOWFAR_CYL_2A.OBJ;12 HOWYAPS.FOR;87 HOWYAPS.OBJ;6 +INIT_CYL_2.FOR;14 INIT_CYL_2.OBJ;6 ISTRUZIONI_CYL_2.TXT;4 +LAST.KUMAC;5 LINK_CYL_2.COM;3 LINK_CYL_2_ALPHA.COM;2 +MAT3MEV.DAT;1 NETSERVER.LOG;4 NTUPLE.OUT;218 NTUPLEY.OUT;218 +NTUPLEY_Y.OUT;5 NTUPLE_Y.OUT;5 PETGRACOM.FOR;1 PETGRAF.FOR;203 +PETGRAF.INC;9 PETGRAF.NEW;1 PETGRAF.OBJ;55 PETGRAF.OLD;1 +PETGRAF.ONC;1 PETGRAF_COM.INC;31 PETGRAF_COM.ONC;1 PETGRAF_DATA.INC;10 +PETGRAF_DATA.ONC;1 PETGRAF_HIGZ.INC;18 PETGRAF_HIGZ.ONC;1 PETSUB.FOR;122 +PG_COMM.FOR;2 RUN_CYL_2.COM;10 RUN_CYL_2_Y.COM;8 SETDISPLAY.COM;1 +SOURCE_CYL_2.FOR;3 SOURCE_CYL_2.OBJ;6 STATEND_CYL_2.FOR;19 +STATEND_CYL_2.OBJ;6 UCX$FTPSERVER.LOG;3 YAPS.DAT;13 YAPS.FOR;39 +YAPS.OBJ;6 YAPS_Y.DAT;3 + +Total of 79 files. diff --git a/code/input-output/for000.dat b/code/input-output/for000.dat new file mode 100644 index 0000000..8e29e6b --- /dev/null +++ b/code/input-output/for000.dat @@ -0,0 +1 @@ + ***** ERROR in IACWK : Workstation is not open diff --git a/code/input-output/for006.dat b/code/input-output/for006.dat new file mode 100644 index 0000000..e61aeba --- /dev/null +++ b/code/input-output/for006.dat @@ -0,0 +1,366 @@ +%NONAME-W-NOMSG, Message number 00000000 +%TRACE-W-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +TRACEQ TRACEQ 21 00000052 004E60A2 +ZPOSTM ZPOSTM 55 00000120 004C00A0 +ZABEND ZABEND 12 00000011 004B5E81 +ZFATAL ZFATAL 112 00000287 004A903F +MZLIFT MZLIFT 393 000009BC 004A266C +MZBOOK MZBOOK 74 00000146 004A08DE +HFN HFN 105 00000119 0049E899 +AUSGAB AUSGAB 447 00000B70 00498570 +EGS4CYL EGS4CYL 298 000002D8 004916D8 +%RSX-F-NOMSG, Message number 00068A94 +%TRACE-F-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +ABEND ABEND 10 00000018 004E5378 +ZABEND ZABEND 14 00000020 004B5E90 +ZFATAL ZFATAL 112 00000287 004A903F +MZLIFT MZLIFT 393 000009BC 004A266C +MZBOOK MZBOOK 74 00000146 004A08DE +HFN HFN 105 00000119 0049E899 +AUSGAB AUSGAB 447 00000B70 00498570 +EGS4CYL EGS4CYL 298 000002D8 004916D8 +%NONAME-W-NOMSG, Message number 00000000 +%TRACE-W-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +TRACEQ TRACEQ 21 00000052 004E60A2 +ZPOSTM ZPOSTM 55 00000120 004C00A0 +ZABEND ZABEND 12 00000011 004B5E81 +ZFATAL ZFATAL 112 00000287 004A903F +MZLIFT MZLIFT 393 000009BC 004A266C +MZBOOK MZBOOK 74 00000146 004A08DE +HFN HFN 105 00000119 0049E899 +AUSGAB AUSGAB 447 00000B70 00498570 +EGS4CYL EGS4CYL 298 000002D8 004916D8 +%RSX-F-NOMSG, Message number 00068A94 +%TRACE-F-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +ABEND ABEND 10 00000018 004E5378 +ZABEND ZABEND 14 00000020 004B5E90 +ZFATAL ZFATAL 112 00000287 004A903F +MZLIFT MZLIFT 393 000009BC 004A266C +MZBOOK MZBOOK 74 00000146 004A08DE +HFN HFN 105 00000119 0049E899 +AUSGAB AUSGAB 447 00000B70 00498570 +EGS4CYL EGS4CYL 298 000002D8 004916D8 +%NONAME-W-NOMSG, Message number 00000000 +%TRACE-W-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +TRACEQ TRACEQ 21 00000052 004E60A2 +ZPOSTM ZPOSTM 55 00000120 004C00A0 +ZABEND ZABEND 12 00000011 004B5E81 +ZFATAL ZFATAL 112 00000287 004A903F +MZLIFT MZLIFT 393 000009BC 004A266C +MZBOOK MZBOOK 74 00000146 004A08DE +HFN HFN 105 00000119 0049E899 +AUSGAB AUSGAB 447 00000B70 00498570 +EGS4CYL EGS4CYL 298 000002D8 004916D8 +%RSX-F-NOMSG, Message number 00068A94 +%TRACE-F-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +ABEND ABEND 10 00000018 004E5378 +ZABEND ZABEND 14 00000020 004B5E90 +ZFATAL ZFATAL 112 00000287 004A903F +MZLIFT MZLIFT 393 000009BC 004A266C +MZBOOK MZBOOK 74 00000146 004A08DE +HFN HFN 105 00000119 0049E899 +AUSGAB AUSGAB 447 00000B70 00498570 +EGS4CYL EGS4CYL 298 000002D8 004916D8 +%NONAME-W-NOMSG, Message number 00000000 +%TRACE-W-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +TRACEQ TRACEQ 21 00000052 004E62D2 +ZPOSTM ZPOSTM 55 00000120 004C02D0 +ZABEND ZABEND 12 00000011 004B60B1 +ZFATAL ZFATAL 112 00000287 004A926F +MZLIFT MZLIFT 393 000009BC 004A289C +MZBOOK MZBOOK 74 00000146 004A0B0E +HFN HFN 105 00000119 0049EAC9 +AUSGAB AUSGAB 447 00000B70 004987A0 +EGS4CYL EGS4CYL 298 000002D8 004918D8 +%RSX-F-NOMSG, Message number 00068B34 +%TRACE-F-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +ABEND ABEND 10 00000018 004E55A8 +ZABEND ZABEND 14 00000020 004B60C0 +ZFATAL ZFATAL 112 00000287 004A926F +MZLIFT MZLIFT 393 000009BC 004A289C +MZBOOK MZBOOK 74 00000146 004A0B0E +HFN HFN 105 00000119 0049EAC9 +AUSGAB AUSGAB 447 00000B70 004987A0 +EGS4CYL EGS4CYL 298 000002D8 004918D8 +%NONAME-W-NOMSG, Message number 00000000 +%TRACE-W-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +TRACEQ TRACEQ 21 00000052 004D0D8A +ZPOSTM ZPOSTM 55 00000120 004AAE28 +ZABEND ZABEND 12 00000011 004A09D1 +ZFATAL ZFATAL 112 00000287 00494087 +MZLIFT MZLIFT 393 000009BC 0048D6B4 +MZBOOK MZBOOK 74 00000146 0048B926 +HFN HFN 105 00000119 00489CF1 +AUSGAB AUSGAB 447 00000B70 00483A18 +EGS4CYL EGS4CYL 298 000002D8 0047D0D8 +%OPCOM-F-NOMSG, Message number 00054394 +%TRACE-F-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +ABEND ABEND 10 00000018 004D0060 +ZABEND ZABEND 14 00000020 004A09E0 +ZFATAL ZFATAL 112 00000287 00494087 +MZLIFT MZLIFT 393 000009BC 0048D6B4 +MZBOOK MZBOOK 74 00000146 0048B926 +HFN HFN 105 00000119 00489CF1 +AUSGAB AUSGAB 447 00000B70 00483A18 +EGS4CYL EGS4CYL 298 000002D8 0047D0D8 +%NONAME-W-NOMSG, Message number 00000000 +%TRACE-W-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +TRACEQ TRACEQ 21 00000052 004D0D8A +ZPOSTM ZPOSTM 55 00000120 004AAE28 +ZABEND ZABEND 12 00000011 004A09D1 +ZFATAL ZFATAL 112 00000287 00494087 +MZLIFT MZLIFT 393 000009BC 0048D6B4 +MZBOOK MZBOOK 74 00000146 0048B926 +HFN HFN 105 00000119 00489CF1 +AUSGAB AUSGAB 447 00000B70 00483A18 +EGS4CYL EGS4CYL 298 000002D8 0047D0D8 +%OPCOM-F-NOMSG, Message number 00054394 +%TRACE-F-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +ABEND ABEND 10 00000018 004D0060 +ZABEND ZABEND 14 00000020 004A09E0 +ZFATAL ZFATAL 112 00000287 00494087 +MZLIFT MZLIFT 393 000009BC 0048D6B4 +MZBOOK MZBOOK 74 00000146 0048B926 +HFN HFN 105 00000119 00489CF1 +AUSGAB AUSGAB 447 00000B70 00483A18 +EGS4CYL EGS4CYL 298 000002D8 0047D0D8 +%NONAME-W-NOMSG, Message number 00000000 +%TRACE-W-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +TRACEQ TRACEQ 21 00000052 004D0D8A +ZPOSTM ZPOSTM 55 00000120 004AAE28 +ZABEND ZABEND 12 00000011 004A09D1 +ZFATAL ZFATAL 112 00000287 00494087 +MZLIFT MZLIFT 393 000009BC 0048D6B4 +MZBOOK MZBOOK 74 00000146 0048B926 +HFN HFN 105 00000119 00489CF1 +AUSGAB AUSGAB 447 00000B70 00483A18 +EGS4CYL EGS4CYL 298 000002D8 0047D0D8 +%OPCOM-F-NOMSG, Message number 00054394 +%TRACE-F-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +ABEND ABEND 10 00000018 004D0060 +ZABEND ZABEND 14 00000020 004A09E0 +ZFATAL ZFATAL 112 00000287 00494087 +MZLIFT MZLIFT 393 000009BC 0048D6B4 +MZBOOK MZBOOK 74 00000146 0048B926 +HFN HFN 105 00000119 00489CF1 +AUSGAB AUSGAB 447 00000B70 00483A18 +EGS4CYL EGS4CYL 298 000002D8 0047D0D8 +%NONAME-W-NOMSG, Message number 00000000 +%TRACE-W-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +TRACEQ TRACEQ 21 00000052 004D0D92 +ZPOSTM ZPOSTM 55 00000120 004AAE30 +ZABEND ZABEND 12 00000011 004A09D9 +ZFATAL ZFATAL 112 00000287 0049408F +MZLIFT MZLIFT 393 000009BC 0048D6BC +MZBOOK MZBOOK 74 00000146 0048B92E +HFN HFN 105 00000119 00489CF9 +AUSGAB AUSGAB 447 00000B70 00483A20 +EGS4CYL EGS4CYL 298 000002D8 0047D0D8 +%OPCOM-F-NOMSG, Message number 00054394 +%TRACE-F-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +ABEND ABEND 10 00000018 004D0068 +ZABEND ZABEND 14 00000020 004A09E8 +ZFATAL ZFATAL 112 00000287 0049408F +MZLIFT MZLIFT 393 000009BC 0048D6BC +MZBOOK MZBOOK 74 00000146 0048B92E +HFN HFN 105 00000119 00489CF9 +AUSGAB AUSGAB 447 00000B70 00483A20 +EGS4CYL EGS4CYL 298 000002D8 0047D0D8 +%NONAME-W-NOMSG, Message number 00000000 +%TRACE-W-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +TRACEQ TRACEQ 21 00000052 004D0D92 +ZPOSTM ZPOSTM 55 00000120 004AAE30 +ZABEND ZABEND 12 00000011 004A09D9 +ZFATAL ZFATAL 112 00000287 0049408F +MZLIFT MZLIFT 393 000009BC 0048D6BC +MZBOOK MZBOOK 74 00000146 0048B92E +HFN HFN 105 00000119 00489CF9 +AUSGAB AUSGAB 447 00000B70 00483A20 +EGS4CYL EGS4CYL 298 000002D8 0047D0D8 +%OPCOM-F-NOMSG, Message number 00054394 +%TRACE-F-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +ABEND ABEND 10 00000018 004D0068 +ZABEND ZABEND 14 00000020 004A09E8 +ZFATAL ZFATAL 112 00000287 0049408F +MZLIFT MZLIFT 393 000009BC 0048D6BC +MZBOOK MZBOOK 74 00000146 0048B92E +HFN HFN 105 00000119 00489CF9 +AUSGAB AUSGAB 447 00000B70 00483A20 +EGS4CYL EGS4CYL 298 000002D8 0047D0D8 +%NONAME-W-NOMSG, Message number 00000000 +%TRACE-W-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +TRACEQ TRACEQ 21 00000052 004D0D92 +ZPOSTM ZPOSTM 55 00000120 004AAE30 +ZABEND ZABEND 12 00000011 004A09D9 +ZFATAL ZFATAL 112 00000287 0049408F +MZLIFT MZLIFT 393 000009BC 0048D6BC +MZBOOK MZBOOK 74 00000146 0048B92E +HFN HFN 105 00000119 00489CF9 +AUSGAB AUSGAB 447 00000B70 00483A20 +EGS4CYL EGS4CYL 298 000002D8 0047D0D8 +%OPCOM-F-NOMSG, Message number 00054394 +%TRACE-F-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +ABEND ABEND 10 00000018 004D0068 +ZABEND ZABEND 14 00000020 004A09E8 +ZFATAL ZFATAL 112 00000287 0049408F +MZLIFT MZLIFT 393 000009BC 0048D6BC +MZBOOK MZBOOK 74 00000146 0048B92E +HFN HFN 105 00000119 00489CF9 +AUSGAB AUSGAB 447 00000B70 00483A20 +EGS4CYL EGS4CYL 298 000002D8 0047D0D8 +%NONAME-W-NOMSG, Message number 00000000 +%TRACE-W-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +TRACEQ TRACEQ 21 00000052 004D0D82 +ZPOSTM ZPOSTM 55 00000120 004AAE20 +ZABEND ZABEND 12 00000011 004A09C9 +ZFATAL ZFATAL 112 00000287 0049407F +MZLINK MZLINK 180 0000042B 0048DADB +IZINIT IZINIT 87 00000065 004C10D5 +IGINIT IGINIT 109 0000002F 004B6317 +PG_INIT PG_INIT 33 00000019 00487F31 +GO GO 93 00000020 0047F2C0 +GUARDA GUARDA 65 00000050 0047F128 +EGS4CYL EGS4CYL 288 000002B8 0047D0B8 +%OPCOM-F-NOMSG, Message number 0005437C +%TRACE-F-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +ABEND ABEND 10 00000018 004D0058 +ZABEND ZABEND 14 00000020 004A09D8 +ZFATAL ZFATAL 112 00000287 0049407F +MZLINK MZLINK 180 0000042B 0048DADB +IZINIT IZINIT 87 00000065 004C10D5 +IGINIT IGINIT 109 0000002F 004B6317 +PG_INIT PG_INIT 33 00000019 00487F31 +GO GO 93 00000020 0047F2C0 +GUARDA GUARDA 65 00000050 0047F128 +EGS4CYL EGS4CYL 288 000002B8 0047D0B8 +%NONAME-W-NOMSG, Message number 00000000 +%TRACE-W-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +TRACEQ TRACEQ 21 00000052 004D0D82 +ZPOSTM ZPOSTM 55 00000120 004AAE20 +ZABEND ZABEND 12 00000011 004A09C9 +ZFATAL ZFATAL 112 00000287 0049407F +MZLINK MZLINK 180 0000042B 0048DADB +IZINIT IZINIT 87 00000065 004C10D5 +IGINIT IGINIT 109 0000002F 004B6317 +PG_INIT PG_INIT 33 00000019 00487F31 +GO GO 93 00000020 0047F2C0 +GUARDA GUARDA 65 00000050 0047F128 +EGS4CYL EGS4CYL 288 000002B8 0047D0B8 +%OPCOM-F-NOMSG, Message number 0005437C +%TRACE-F-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +ABEND ABEND 10 00000018 004D0058 +ZABEND ZABEND 14 00000020 004A09D8 +ZFATAL ZFATAL 112 00000287 0049407F +MZLINK MZLINK 180 0000042B 0048DADB +IZINIT IZINIT 87 00000065 004C10D5 +IGINIT IGINIT 109 0000002F 004B6317 +PG_INIT PG_INIT 33 00000019 00487F31 +GO GO 93 00000020 0047F2C0 +GUARDA GUARDA 65 00000050 0047F128 +EGS4CYL EGS4CYL 288 000002B8 0047D0B8 +%NONAME-W-NOMSG, Message number 00000000 +%TRACE-W-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +TRACEQ TRACEQ 21 00000052 004D0D82 +ZPOSTM ZPOSTM 55 00000120 004AAE20 +ZABEND ZABEND 12 00000011 004A09C9 +ZFATAL ZFATAL 112 00000287 0049407F +MZLINK MZLINK 180 0000042B 0048DADB +IZINIT IZINIT 87 00000065 004C10D5 +IGINIT IGINIT 109 0000002F 004B6317 +PG_INIT PG_INIT 33 00000019 00487F31 +GO GO 93 00000020 0047F2C0 +GUARDA GUARDA 65 00000050 0047F128 +EGS4CYL EGS4CYL 288 000002B8 0047D0B8 +%OPCOM-F-NOMSG, Message number 0005437C +%TRACE-F-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +ABEND ABEND 10 00000018 004D0058 +ZABEND ZABEND 14 00000020 004A09D8 +ZFATAL ZFATAL 112 00000287 0049407F +MZLINK MZLINK 180 0000042B 0048DADB +IZINIT IZINIT 87 00000065 004C10D5 +IGINIT IGINIT 109 0000002F 004B6317 +PG_INIT PG_INIT 33 00000019 00487F31 +GO GO 93 00000020 0047F2C0 +GUARDA GUARDA 65 00000050 0047F128 +EGS4CYL EGS4CYL 288 000002B8 0047D0B8 +%NONAME-W-NOMSG, Message number 00000000 +%TRACE-W-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +TRACEQ TRACEQ 21 00000052 004D0D82 +ZPOSTM ZPOSTM 55 00000120 004AAE20 +ZABEND ZABEND 12 00000011 004A09C9 +ZFATAL ZFATAL 112 00000287 0049407F +MZLINK MZLINK 180 0000042B 0048DADB +IZINIT IZINIT 87 00000065 004C10D5 +IGINIT IGINIT 109 0000002F 004B6317 +PG_INIT PG_INIT 33 00000019 00487F31 +GO GO 93 00000020 0047F2C0 +GUARDA GUARDA 65 00000050 0047F128 +EGS4CYL EGS4CYL 288 000002B8 0047D0B8 +%OPCOM-F-NOMSG, Message number 0005437C +%TRACE-F-TRACEBACK, symbolic stack dump follows +module name routine name line rel PC abs PC + +ABEND ABEND 10 00000018 004D0058 +ZABEND ZABEND 14 00000020 004A09D8 +ZFATAL ZFATAL 112 00000287 0049407F +MZLINK MZLINK 180 0000042B 0048DADB +IZINIT IZINIT 87 00000065 004C10D5 +IGINIT IGINIT 109 0000002F 004B6317 +PG_INIT PG_INIT 33 00000019 00487F31 +GO GO 93 00000020 0047F2C0 +GUARDA GUARDA 65 00000050 0047F128 +EGS4CYL EGS4CYL 288 000002B8 0047D0B8 diff --git a/code/input-output/for010.dat b/code/input-output/for010.dat new file mode 100644 index 0000000..3500c17 --- /dev/null +++ b/code/input-output/for010.dat @@ -0,0 +1,5 @@ + PACKAGE COMPILED FROM PAM FILE= + EGS4CR 2.00 850503 15.00 + + + OPEN ERROR! rivelatore ignorato, file: 0 diff --git a/code/input-output/for020.dat b/code/input-output/for020.dat new file mode 100644 index 0000000000000000000000000000000000000000..f02bffe85151d9ed305c8a74be962b7043e72dee GIT binary patch literal 8192 zcmeIuu?>ST5QX7qa6yTp^w1E|umPgZ1nE+;2Xv7#0o!DVED$LJq+o!Y0SOAKl+l0E z+49|4mOqI=009ILKmY**5I_I{1Q0*~fxj2H#!vfWwaMwr`4Up%&+k?trKYpRNq(By zS)#e-UQ6#}dnrZPntppf%pX*LZc2x{iu|JEqqmyP$a-!JZ_RIqGl2jC2q1s}0tg_0 O00IagfB*u&2z&w4Y!Ai& literal 0 HcmV?d00001 diff --git a/code/input-output/hatch.out b/code/input-output/hatch.out new file mode 100644 index 0000000..b202975 --- /dev/null +++ b/code/input-output/hatch.out @@ -0,0 +1,3739 @@ + DATA FOR MEDIUM # 3, WHICH IS: MEDIUM=AIR-3MEV-10KEV-02KEV ,STERNCID=AIR-3MEV-10KEV-02KEV + MIXT,RHO= 1.2050E-03,NE= 3,COMPOSITION IS : + ASYM=N ,Z= 7.,A= 14.007,PZ= 5.56876E-02,RHOZ= 7.80000E-01 + ASYM=O ,Z= 8.,A= 15.999,PZ= 1.31255E-02,RHOZ= 2.10000E-01 + ASYM=AR,Z=18.,A= 39.948,PZ= 2.35306E-04,RHOZ= 9.40000E-03 + ECHO READ:$LGN(RLC,AE,AP,UE,UP(IM)) + 3.05538E+04 5.21000E-01 2.00000E-03 3.51100E+00 3.00200E+00 + ECHO READ:$LGN(MSGE,MGE,MSEKE,MEKE,MLEKE,MCMFP,MRANGE(IM)),IRAYL + 0 200 0 150 0 0 0 0 + ECHO READ:($LGN(DL(I,IM)/1,2,3,4,5,6/),I=1,6) + 9.99982E-01 -2.10278E-01 4.82540E-02 9.95882E-01 -2.25707E-01 + 9.52000E-01 1.00022E+00 -1.78093E-01 3.43331E-02 1.01412E+00 + -2.29840E-01 9.52000E-01 1.00034E+00 -1.61554E-01 2.47958E-02 + 1.02349E+00 -2.31963E-01 9.52000E-01 9.99982E-01 -2.10445E-01 + 4.82923E-02 9.95879E-01 -2.25886E-01 9.52000E-01 1.00022E+00 + -1.78237E-01 3.43609E-02 1.01413E+00 -2.30025E-01 9.52000E-01 + 1.00034E+00 -1.61686E-01 2.48160E-02 1.02351E+00 -2.32152E-01 + 9.52000E-01 + ECHO READ:DELCM(IM),($LGN(ALPHI,BPAR, DELPOS(I,IM)),I=1,2) + 3.57494E+01 9.41119E-01 8.53762E-01 2.27992E+00 9.41133E-01 + 8.53759E-01 2.27182E+00 + ECHO READ:$LGN(XR0,TEFF0,BLCC,XCC(IM)) + 8.97125E-02 7.46632E-05 2.67921E+05 4.89433E+00 + ECHO READ:$LGN(EKE(IM)/0,1/) + 1.21501E+02 2.59409E+01 + ECHO READ:($LGN(ESIG,PSIG,EDEDX,PDEDX,EBR1,PBR1,PBR2, TMXS(I,IM)/0,1/),I=1,NEKE) + 9.55616E+00 1.49603E+00 3.02292E+04 6.55946E+03 -1.81716E+03 + -5.52574E+02 -2.40183E+03 -6.95855E+02 1.00000E+00 0.00000E+00 + -1.78806E+01 -3.91646E+00 9.62855E+01 2.08703E+01 2.59730E-05 + 5.04073E-06 9.55616E+00 1.49603E+00 3.02292E+04 6.55946E+03 + -1.81716E+03 -5.52574E+02 -2.40183E+03 -6.95855E+02 1.00000E+00 + 0.00000E+00 -1.78806E+01 -3.91646E+00 9.62855E+01 2.08703E+01 + 2.59730E-05 5.04073E-06 9.51049E+00 1.48603E+00 2.68987E+04 + 5.83038E+03 -1.74551E+03 -5.36889E+02 -2.29413E+03 -6.72279E+02 + 1.00000E+00 0.00000E+00 -5.34961E-01 -1.19357E-01 3.86660E+00 + 6.39018E-01 2.75971E-05 5.39626E-06 9.46618E+00 1.47625E+00 + 2.38507E+04 5.15747E+03 -1.67568E+03 -5.21473E+02 -2.19004E+03 + -6.49297E+02 1.00000E+00 0.00000E+00 -1.77248E-01 -4.03846E-02 + 1.96137E+00 2.18400E-01 2.93327E-05 5.77943E-06 9.42118E+00 + 1.46623E+00 2.11031E+04 4.54566E+03 -1.60865E+03 -5.06547E+02 + -2.09084E+03 -6.27210E+02 1.00000E+00 0.00000E+00 -8.56424E-02 + -1.99871E-02 1.47399E+00 1.09875E-01 3.11838E-05 6.19163E-06 + 9.37622E+00 1.45613E+00 1.86158E+04 3.98704E+03 -1.54281E+03 + -4.91760E+02 -1.99449E+03 -6.05569E+02 1.00000E+00 0.00000E+00 + -4.87249E-02 -1.16956E-02 1.27805E+00 6.58653E-02 3.31413E-05 + 6.63126E-06 9.32915E+00 1.44547E+00 1.63771E+04 3.47984E+03 + -1.48025E+03 -4.77587E+02 -1.90345E+03 -5.84944E+02 1.00000E+00 + 0.00000E+00 -3.02257E-02 -7.50452E-03 1.18022E+00 4.37045E-02 + 3.52238E-05 7.10306E-06 9.28597E+00 1.43560E+00 1.43568E+04 + 3.01811E+03 -1.41950E+03 -4.63703E+02 -1.81580E+03 -5.64913E+02 + 1.00000E+00 0.00000E+00 -1.96146E-02 -5.07933E-03 1.12450E+00 + 3.09705E-02 3.74341E-05 7.60822E-06 9.23942E+00 1.42487E+00 + 1.25405E+04 2.59928E+03 -1.36049E+03 -4.50095E+02 -1.73145E+03 + -5.45463E+02 1.00000E+00 0.00000E+00 -1.29572E-02 -3.54425E-03 + 1.08983E+00 2.29733E-02 3.97767E-05 8.14839E-06 9.19320E+00 + 1.41411E+00 1.09079E+04 2.21945E+03 -1.30395E+03 -4.36941E+02 + -1.65118E+03 -5.26787E+02 1.00000E+00 0.00000E+00 -8.48491E-03 + -2.50376E-03 1.06686E+00 1.76283E-02 4.22698E-05 8.72843E-06 + 9.14997E+00 1.40396E+00 9.44240E+03 1.87543E+03 -1.24932E+03 + -4.24116E+02 -1.57421E+03 -5.08718E+02 1.00000E+00 0.00000E+00 + -5.31582E-03 -1.75980E-03 1.05084E+00 1.38693E-02 4.49121E-05 + 9.34871E-06 9.10357E+00 1.39297E+00 8.12692E+03 1.56379E+03 + -1.19657E+03 -4.11619E+02 -1.50046E+03 -4.91244E+02 1.00000E+00 + 0.00000E+00 -2.97212E-03 -1.20457E-03 1.03925E+00 1.11265E-02 + 4.77143E-05 1.00126E-05 9.05911E+00 1.38234E+00 6.95105E+03 + 1.28265E+03 -1.14563E+03 -3.99440E+02 -1.42978E+03 -4.74349E+02 + 1.00000E+00 0.00000E+00 -1.17591E-03 -7.75127E-04 1.03061E+00 + 9.05768E-03 5.06908E-05 1.07242E-05 9.01336E+00 1.37130E+00 + 5.89996E+03 1.02902E+03 -1.09670E+03 -3.87636E+02 -1.36238E+03 + -4.58082E+02 1.00000E+00 0.00000E+00 2.45192E-04 -4.32202E-04 + 1.02401E+00 7.46499E-03 5.38478E-05 1.14860E-05 8.96879E+00 + 1.36045E+00 4.96162E+03 8.00461E+02 -1.04924E+03 -3.76074E+02 + -1.29750E+03 -4.42279E+02 1.00000E+00 0.00000E+00 1.40318E-03 + -1.50145E-04 1.01881E+00 6.20029E-03 5.71926E-05 1.23007E-05 + 8.92353E+00 1.34931E+00 4.12684E+03 5.95209E+02 -1.00362E+03 + -3.64858E+02 -1.23556E+03 -4.27051E+02 1.00000E+00 0.00000E+00 + 2.36820E-03 8.71361E-05 1.01471E+00 5.19055E-03 6.07453E-05 + 1.31743E-05 8.88025E+00 1.33857E+00 3.38474E+03 4.10988E+02 + -9.59664E+02 -3.53945E+02 -1.17629E+03 -4.12337E+02 1.00000E+00 + 0.00000E+00 3.19350E-03 2.92004E-04 1.01136E+00 4.35876E-03 + 6.45035E-05 1.41072E-05 8.83440E+00 1.32708E+00 2.72644E+03 + 2.45995E+02 -9.17482E+02 -3.43372E+02 -1.11978E+03 -3.98174E+02 + 1.00000E+00 0.00000E+00 3.91169E-03 4.72007E-04 1.00861E+00 + 3.67067E-03 6.84928E-05 1.51070E-05 8.79408E+00 1.31688E+00 + 2.14543E+03 9.89566E+01 -8.76547E+02 -3.33013E+02 -1.06536E+03 + -3.84402E+02 1.00000E+00 0.00000E+00 4.55094E-03 6.33790E-04 + 1.00635E+00 3.09703E-03 7.27224E-05 1.61775E-05 1.36887E+04 + 3.49753E+03 1.63271E+03 -3.20809E+01 -9.76552E+02 -3.58571E+02 + -1.01338E+03 -3.71117E+02 -9.77949E+01 -2.52493E+01 5.12502E-03 + 7.80503E-04 1.00442E+00 2.60380E-03 7.72005E-05 1.73219E-05 + 1.14323E+04 2.91512E+03 1.18241E+03 -1.48308E+02 -9.20889E+02 + -3.44204E+02 -9.63866E+02 -3.58337E+02 -1.15373E+00 -3.04676E-01 + 5.65369E-03 9.16961E-04 1.00278E+00 2.18168E-03 8.19560E-05 + 1.85494E-05 9.61664E+03 2.44175E+03 7.88258E+02 -2.51071E+02 + -8.69789E+02 -3.30882E+02 -9.16205E+02 -3.45910E+02 -3.75785E-01 + -1.01859E-01 6.14331E-03 1.04461E-03 1.00139E+00 1.81987E-03 + 8.69757E-05 1.98581E-05 8.14594E+03 2.05444E+03 4.44098E+02 + -3.41706E+02 -8.22987E+02 -3.18556E+02 -8.70923E+02 -3.33985E+02 + -1.79734E-01 -5.02282E-02 6.60543E-03 1.16631E-03 1.00018E+00 + 1.50136E-03 9.23136E-05 2.12638E-05 6.93892E+03 1.73330E+03 + 1.45449E+02 -4.21166E+02 -7.79332E+02 -3.06941E+02 -8.27407E+02 + -3.22408E+02 -1.01422E-01 -2.93927E-02 7.04312E-03 1.28276E-03 + 9.99128E-01 1.22150E-03 9.79447E-05 2.27621E-05 5.94319E+03 + 1.46563E+03 -1.12073E+02 -4.90391E+02 -7.38660E+02 -2.96009E+02 + -7.85934E+02 -3.11259E+02 -6.24629E-02 -1.89200E-02 7.46354E-03 + 1.39578E-03 9.98194E-01 9.71012E-04 1.03917E-04 2.43675E-05 + 5.11210E+03 1.23989E+03 -3.32862E+02 -5.50364E+02 -7.00318E+02 + -2.85594E+02 -7.46117E+02 -3.00443E+02 -4.02887E-02 -1.28968E-02 + 7.86940E-03 1.50602E-03 9.97390E-01 7.51452E-04 1.10234E-04 + 2.60834E-05 4.41545E+03 1.04865E+03 -5.21570E+02 -6.02164E+02 + -6.64413E+02 -2.75738E+02 -7.08315E+02 -2.90067E+02 -2.64950E-02 + -9.11041E-03 8.26612E-03 1.61492E-03 9.96660E-01 5.51996E-04 + 1.16931E-04 2.79217E-05 3.82574E+03 8.85043E+02 -6.79656E+02 + -6.46024E+02 -6.30140E+02 -2.66229E+02 -6.71866E+02 -2.79954E+02 + -1.73172E-02 -6.56413E-03 8.65170E-03 1.72190E-03 9.96011E-01 + 3.72634E-04 1.23997E-04 2.98822E-05 3.32508E+03 7.44637E+02 + -8.12869E+02 -6.83383E+02 -5.97913E+02 -2.57191E+02 -6.37306E+02 + -2.70262E+02 -1.09022E-02 -4.76514E-03 9.03414E-03 1.82915E-03 + 9.95429E-01 2.08737E-04 1.31486E-04 3.19824E-05 2.89505E+03 + 6.22722E+02 -9.21497E+02 -7.14179E+02 -5.67090E+02 -2.48452E+02 + -6.04076E+02 -2.60841E+02 -6.21451E-03 -3.43615E-03 9.40963E-03 + 1.93560E-03 9.94916E-01 6.18479E-05 1.39396E-04 3.42248E-05 + 2.52595E+03 5.16926E+02 -1.01005E+03 -7.39559E+02 -5.37874E+02 + -2.40078E+02 -5.72457E+02 -2.51779E+02 -2.68545E-03 -2.42459E-03 + 9.78344E-03 2.04276E-03 9.94434E-01 -7.57637E-05 1.47765E-04 + 3.66236E-05 2.20540E+03 4.24017E+02 -1.08025E+03 -7.59905E+02 + -5.10057E+02 -2.32016E+02 -5.42274E+02 -2.43030E+02 6.44088E-05 + -1.62757E-03 1.01550E-02 2.15046E-03 9.94007E-01 -1.99459E-04 + 1.56607E-04 3.91866E-05 1.92768E+03 3.42612E+02 -1.13312E+03 + -7.75402E+02 -4.83360E+02 -2.24191E+02 -5.13281E+02 -2.34532E+02 + 2.25358E-03 -9.85894E-04 1.05255E-02 2.25905E-03 9.93611E-01 + -3.15424E-04 1.65943E-04 4.19228E-05 1.68500E+03 2.70666E+02 + -1.17257E+03 -7.87103E+02 -4.58024E+02 -2.16679E+02 -4.85731E+02 + -2.26364E+02 4.03785E-03 -4.56925E-04 1.08955E-02 2.36873E-03 + 9.93252E-01 -4.22112E-04 1.75819E-04 4.48508E-05 1.47265E+03 + 2.06982E+02 -1.19873E+03 -7.94943E+02 -4.33761E+02 -2.09403E+02 + -4.59379E+02 -2.18461E+02 5.52775E-03 -1.01107E-05 1.12674E-02 + 2.48026E-03 9.92912E-01 -5.22615E-04 1.86233E-04 4.79740E-05 + 1.28630E+03 1.50450E+02 -1.21401E+03 -7.99581E+02 -4.10585E+02 + -2.02372E+02 -4.34209E+02 -2.10825E+02 6.78089E-03 3.70087E-04 + 1.16348E-02 2.59173E-03 9.92621E-01 -6.12298E-04 1.97235E-04 + 5.13118E-05 1.12229E+03 1.00098E+02 -1.21937E+03 -8.01229E+02 + -3.88455E+02 -1.95578E+02 -4.10212E+02 -2.03458E+02 7.87222E-03 + 7.05102E-04 1.20088E-02 2.70653E-03 9.92337E-01 -7.00427E-04 + 2.08855E-04 5.48791E-05 9.77824E+02 5.52178E+01 -1.21623E+03 + -8.00250E+02 -3.67257E+02 -1.88993E+02 -3.87261E+02 -1.96328E+02 + 8.82468E-03 1.00101E-03 1.23818E-02 2.82242E-03 9.92083E-01 + -7.77737E-04 2.21094E-04 5.86812E-05 8.50318E+02 1.51253E+01 + -1.20593E+03 -7.97014E+02 -3.47027E+02 -1.82632E+02 -3.65392E+02 + -1.89452E+02 9.67045E-03 1.26694E-03 1.27562E-02 2.94014E-03 + 9.91836E-01 -8.56593E-04 2.34017E-04 6.27446E-05 7.38245E+02 + -2.05481E+01 -1.18889E+03 -7.91590E+02 -3.27648E+02 -1.76463E+02 + -3.44489E+02 -1.82799E+02 1.04317E-02 1.50923E-03 1.31330E-02 + 3.06008E-03 9.91613E-01 -9.26172E-04 2.47632E-04 6.70782E-05 + 6.39168E+02 -5.24739E+01 -1.16673E+03 -7.84449E+02 -3.09147E+02 + -1.70501E+02 -3.24576E+02 -1.76382E+02 1.11264E-02 1.73311E-03 + 1.35124E-02 3.18234E-03 9.91409E-01 -9.91112E-04 2.61994E-04 + 7.17064E-05 5.51725E+02 -8.10051E+01 -1.14017E+03 -7.75782E+02 + -2.91457E+02 -1.64729E+02 -3.05578E+02 -1.70183E+02 1.17568E-02 + 1.93881E-03 1.38878E-02 3.30483E-03 9.91224E-01 -1.05296E-03 + 2.77123E-04 7.66428E-05 4.75017E+02 -1.06352E+02 -1.10935E+03 + -7.65595E+02 -2.74550E+02 -1.59142E+02 -2.87462E+02 -1.64196E+02 + 1.23516E-02 2.13533E-03 1.42714E-02 3.43157E-03 9.91051E-01 + -1.11172E-03 2.93056E-04 8.19079E-05 4.07410E+02 -1.28982E+02 + -1.07550E+03 -7.54265E+02 -2.58361E+02 -1.53724E+02 -2.70155E+02 + -1.58403E+02 1.29035E-02 2.32011E-03 1.46524E-02 3.55913E-03 + 9.90884E-01 -1.16583E-03 3.09831E-04 8.75229E-05 3.48175E+02 + -1.49068E+02 -1.03910E+03 -7.41921E+02 -2.42904E+02 -1.48482E+02 + -2.53673E+02 -1.52814E+02 1.34257E-02 2.49716E-03 1.50363E-02 + 3.68930E-03 9.90741E-01 -1.21531E-03 3.27481E-04 9.35078E-05 + 2.96547E+02 -1.66808E+02 -1.00045E+03 -7.28644E+02 -2.28131E+02 + -1.43407E+02 -2.37949E+02 -1.47412E+02 1.39191E-02 2.66666E-03 + 1.54197E-02 3.82104E-03 9.90593E-01 -1.26479E-03 3.46062E-04 + 9.98918E-05 2.51500E+02 -1.82493E+02 -9.60319E+02 -7.14671E+02 + -2.14031E+02 -1.38497E+02 -2.22978E+02 -1.42200E+02 1.43918E-02 + 2.83129E-03 1.58052E-02 3.95527E-03 9.90469E-01 -1.30964E-03 + 3.65601E-04 1.06695E-04 2.12581E+02 -1.96227E+02 -9.19001E+02 + -7.00087E+02 -2.00552E+02 -1.33740E+02 -2.08701E+02 -1.37161E+02 + 1.48439E-02 2.99083E-03 1.61910E-02 4.09140E-03 9.90358E-01 + -1.34674E-03 3.86125E-04 1.13939E-04 1.79040E+02 -2.08228E+02 + -8.76759E+02 -6.84973E+02 -1.87685E+02 -1.29136E+02 -1.95104E+02 + -1.32295E+02 1.52782E-02 3.14622E-03 1.65754E-02 4.22896E-03 + 9.90247E-01 -1.38849E-03 4.07712E-04 1.21663E-04 1.50417E+02 + -2.18615E+02 -8.33934E+02 -6.69438E+02 -1.75391E+02 -1.24675E+02 + -1.82138E+02 -1.27592E+02 1.57010E-02 3.29961E-03 1.69620E-02 + 4.36920E-03 9.90154E-01 -1.42096E-03 4.30376E-04 1.29885E-04 + 1.26243E+02 -2.27508E+02 -7.90893E+02 -6.53600E+02 -1.63662E+02 + -1.20360E+02 -1.69797E+02 -1.23050E+02 1.61063E-02 3.44874E-03 + 1.73451E-02 4.51019E-03 9.90061E-01 -1.45497E-03 4.54173E-04 + 1.38641E-04 1.06006E+02 -2.35062E+02 -7.47840E+02 -6.37531E+02 + -1.52461E+02 -1.16179E+02 -1.58033E+02 -1.18660E+02 1.65023E-02 + 3.59654E-03 1.77288E-02 4.65338E-03 9.89987E-01 -1.48280E-03 + 4.79140E-04 1.47960E-04 8.93618E+01 -2.41366E+02 -7.04983E+02 + -6.21302E+02 -1.41791E+02 -1.12139E+02 -1.46851E+02 -1.14425E+02 + 1.68862E-02 3.74192E-03 1.81099E-02 4.79772E-03 9.89913E-01 + -1.50909E-03 5.05347E-04 1.57885E-04 7.57960E+01 -2.46579E+02 + -6.62576E+02 -6.05002E+02 -1.31610E+02 -1.08226E+02 -1.36201E+02 + -1.10332E+02 1.72626E-02 3.88657E-03 1.84902E-02 4.94387E-03 + 9.89863E-01 -1.53074E-03 5.32809E-04 1.68438E-04 6.52354E+01 + -2.50698E+02 -6.20631E+02 -5.88642E+02 -1.21889E+02 -1.04434E+02 + -1.26053E+02 -1.06373E+02 1.76286E-02 4.02935E-03 1.88677E-02 + 5.09112E-03 9.89807E-01 -1.55238E-03 5.61601E-04 1.79670E-04 + 5.72397E+01 -2.53864E+02 -5.79332E+02 -5.72284E+02 -1.12635E+02 + -1.00769E+02 -1.16409E+02 -1.02554E+02 1.79859E-02 4.17087E-03 + 1.92417E-02 5.23923E-03 9.89764E-01 -1.56939E-03 5.91753E-04 + 1.91611E-04 5.15081E+01 -2.56169E+02 -5.38888E+02 -5.56021E+02 + -1.03811E+02 -9.72205E+01 -1.07229E+02 -9.88620E+01 1.83358E-02 + 4.31161E-03 1.96129E-02 5.38851E-03 9.89733E-01 -1.58176E-03 + 6.23315E-04 2.04305E-04 4.78570E+01 -2.57661E+02 -4.99280E+02 + -5.39839E+02 -9.54021E+01 -9.37852E+01 -9.84959E+01 -9.52948E+01 + 1.86767E-02 4.45083E-03 1.99788E-02 5.53800E-03 9.89696E-01 + -1.59413E-03 6.56358E-04 2.17803E-04 4.59792E+01 -2.58441E+02 + -4.60701E+02 -5.23827E+02 -8.73993E+01 -9.04638E+01 -9.02001E+01 + -9.18515E+01 1.90132E-02 4.59051E-03 2.03430E-02 5.68916E-03 + 9.89684E-01 -1.60031E-03 6.90916E-04 2.32146E-04 4.57705E+01 + -2.58529E+02 -4.23056E+02 -5.07948E+02 -7.97690E+01 -8.72453E+01 + -8.23015E+01 -8.85200E+01 1.93371E-02 4.72714E-03 2.06974E-02 + 5.83866E-03 9.89678E-01 -1.60496E-03 7.27036E-04 2.47381E-04 + 4.69545E+01 -2.58022E+02 -3.86548E+02 -4.92296E+02 -7.25130E+01 + -8.41339E+01 -7.48017E+01 -8.53044E+01 1.96592E-02 4.86523E-03 + 2.10511E-02 5.99031E-03 9.89671E-01 -1.60650E-03 7.64771E-04 + 2.63561E-04 4.94086E+01 -2.56950E+02 -3.51146E+02 -4.76862E+02 + -6.56075E+01 -8.11235E+01 -6.76745E+01 -8.21969E+01 1.99694E-02 + 5.00047E-03 2.13948E-02 6.14013E-03 9.89684E-01 -1.60341E-03 + 8.04194E-04 2.80748E-04 5.29363E+01 -2.55387E+02 -3.16896E+02 + -4.61675E+02 -5.90367E+01 -7.82100E+01 -6.09050E+01 -7.91952E+01 + 2.02761E-02 5.13647E-03 2.17349E-02 6.29096E-03 9.89690E-01 + -1.59877E-03 8.45336E-04 2.98992E-04 5.74392E+01 -2.53356E+02 + -2.83776E+02 -4.46733E+02 -5.27847E+01 -7.53891E+01 -5.44681E+01 + -7.62914E+01 2.05724E-02 5.27014E-03 2.20651E-02 6.43990E-03 + 9.89708E-01 -1.58949E-03 8.88235E-04 3.18345E-04 6.27274E+01 + -2.50927E+02 -2.51863E+02 -4.32081E+02 -4.68465E+01 -7.26629E+01 + -4.83652E+01 -7.34893E+01 2.08583E-02 5.40145E-03 2.23848E-02 + 6.58670E-03 9.89739E-01 -1.57712E-03 9.32986E-04 3.38891E-04 + 6.87187E+01 -2.48128E+02 -2.21131E+02 -4.17717E+02 -4.11980E+01 + -7.00229E+01 -4.25672E+01 -7.07793E+01 2.11517E-02 5.53855E-03 + 2.27098E-02 6.73859E-03 9.89764E-01 -1.56475E-03 9.79593E-04 + 3.60675E-04 7.53147E+01 -2.44988E+02 -1.91561E+02 -4.03642E+02 + -3.58350E+01 -6.74702E+01 -3.70692E+01 -6.81625E+01 2.14191E-02 + 5.66582E-03 2.30096E-02 6.88130E-03 9.89814E-01 -1.54156E-03 + 1.02815E-03 3.83785E-04 8.23857E+01 -2.41560E+02 -1.63172E+02 + -3.89876E+02 -3.07375E+01 -6.49987E+01 -3.18482E+01 -6.56310E+01 + 2.16860E-02 5.79524E-03 2.33067E-02 7.02532E-03 9.89857E-01 + -1.51991E-03 1.07866E-03 4.08277E-04 8.98431E+01 -2.37874E+02 + -1.35958E+02 -3.76431E+02 -2.59005E+01 -6.26087E+01 -2.69008E+01 + -6.31866E+01 2.19503E-02 5.92585E-03 2.35990E-02 7.16981E-03 + 9.89910E-01 -1.49517E-03 1.13121E-03 4.34244E-04 9.76266E+01 + -2.33954E+02 -1.09869E+02 -3.63290E+02 -2.13089E+01 -6.02959E+01 + -2.22086E+01 -6.08229E+01 2.21989E-02 6.05104E-03 2.38743E-02 + 7.30842E-03 9.89971E-01 -1.46270E-03 1.18583E-03 4.61757E-04 + 1.05639E+02 -2.29837E+02 -8.49417E+01 -3.50484E+02 -1.69534E+01 + -5.80586E+01 -1.77620E+01 -5.85389E+01 2.24410E-02 6.17541E-03 + 2.41408E-02 7.44535E-03 9.90036E-01 -1.43024E-03 1.24259E-03 + 4.90911E-04 1.13841E+02 -2.25540E+02 -6.10966E+01 -3.37988E+02 + -1.28220E+01 -5.58937E+01 -1.35491E+01 -5.63313E+01 2.26742E-02 + 6.29759E-03 2.43958E-02 7.57898E-03 9.90107E-01 -1.39158E-03 + 1.30150E-03 5.21780E-04 1.22149E+02 -2.21096E+02 -3.83382E+01 + -3.25817E+02 -8.90412E+00 -5.37982E+01 -9.55763E+00 -5.41964E+01 + 2.28993E-02 6.41802E-03 2.46402E-02 7.70970E-03 9.90179E-01 + -1.35292E-03 1.36263E-03 5.54476E-04 1.30521E+02 -2.16523E+02 + -1.66437E+01 -3.13970E+02 -5.19522E+00 -5.17725E+01 -5.78144E+00 + -5.21343E+01 2.31174E-02 6.53710E-03 2.48747E-02 7.83775E-03 + 9.90265E-01 -1.30654E-03 1.42602E-03 5.89095E-04 1.38908E+02 + -2.11846E+02 4.00893E+00 -3.02448E+02 -1.67989E+00 -4.98116E+01 + -2.20673E+00 -5.01401E+01 2.33241E-02 6.65242E-03 2.50952E-02 + 7.96077E-03 9.90352E-01 -1.25706E-03 1.49170E-03 6.25734E-04 + 1.47273E+02 -2.07077E+02 2.36847E+01 -2.91230E+02 1.64901E+00 + -4.79138E+01 1.17679E+00 -4.82111E+01 2.35224E-02 6.76548E-03 + 2.53040E-02 8.07980E-03 9.90441E-01 -1.20603E-03 1.55971E-03 + 6.64508E-04 1.55560E+02 -2.02246E+02 4.23566E+01 -2.80346E+02 + 4.79742E+00 -4.60784E+01 4.37309E+00 -4.63479E+01 2.37108E-02 + 6.87531E-03 2.55003E-02 8.19424E-03 9.90537E-01 -1.15037E-03 + 1.63011E-03 7.05548E-04 1.63765E+02 -1.97354E+02 6.01086E+01 + -2.69760E+02 7.77641E+00 -4.43019E+01 7.39602E+00 -4.45451E+01 + 2.38917E-02 6.98321E-03 2.56857E-02 8.30477E-03 9.90642E-01 + -1.09007E-03 1.70289E-03 7.48949E-04 1.71830E+02 -1.92430E+02 + 7.69328E+01 -2.59491E+02 1.05927E+01 -4.25830E+01 1.02511E+01 + -4.28027E+01 2.40622E-02 7.08724E-03 2.58577E-02 8.40979E-03 + 9.90738E-01 -1.02977E-03 1.77813E-03 7.94871E-04 1.79743E+02 + -1.87484E+02 9.28799E+01 -2.49523E+02 1.32529E+01 -4.09201E+01 + 1.29465E+01 -4.11177E+01 2.42225E-02 7.18745E-03 2.60165E-02 + 8.50901E-03 9.90850E-01 -9.60189E-04 1.85582E-03 8.43436E-04 + 1.87490E+02 -1.82522E+02 1.07975E+02 -2.39854E+02 1.57662E+01 + -3.93105E+01 1.54909E+01 -3.94880E+01 2.43736E-02 7.28418E-03 + 2.61631E-02 8.60292E-03 9.90955E-01 -8.93702E-04 1.93600E-03 + 8.94789E-04 1.95031E+02 -1.77570E+02 1.22245E+02 -2.30483E+02 + 1.81381E+01 -3.77528E+01 1.78911E+01 -3.79117E+01 2.45142E-02 + 7.37654E-03 2.62961E-02 8.69029E-03 9.91069E-01 -8.17943E-04 + 2.01869E-03 9.49090E-04 2.02381E+02 -1.72618E+02 1.35734E+02 + -2.21395E+02 2.03748E+01 -3.62458E+01 2.01525E+01 -3.63881E+01 + 2.46475E-02 7.46642E-03 2.64193E-02 8.77329E-03 9.91180E-01 + -7.42175E-04 2.10390E-03 1.00650E-03 2.09492E+02 -1.67698E+02 + 1.48451E+02 -2.12598E+02 2.24837E+01 -3.47868E+01 2.22842E+01 + -3.49135E+01 2.47695E-02 7.55076E-03 2.65283E-02 8.84867E-03 + 9.91295E-01 -6.64865E-04 2.19164E-03 1.06719E-03 2.16384E+02 + -1.62801E+02 1.60451E+02 -2.04070E+02 2.44702E+01 -3.33751E+01 + 2.42907E+01 -3.34875E+01 2.48819E-02 7.63068E-03 2.66250E-02 + 8.91740E-03 9.91416E-01 -5.79824E-04 2.28192E-03 1.13135E-03 + 2.23024E+02 -1.57949E+02 1.71741E+02 -1.95819E+02 2.63395E+01 + -3.20091E+01 2.61780E+01 -3.21085E+01 2.49861E-02 7.70675E-03 + 2.67109E-02 8.98022E-03 9.91527E-01 -4.96329E-04 2.37474E-03 + 1.19918E-03 2.29426E+02 -1.53136E+02 1.82364E+02 -1.87833E+02 + 2.80985E+01 -3.06866E+01 2.79523E+01 -3.07743E+01 2.50789E-02 + 7.77660E-03 2.67837E-02 9.03487E-03 9.91647E-01 -4.08196E-04 + 2.47008E-03 1.27087E-03 2.35567E+02 -1.48381E+02 1.92342E+02 + -1.80107E+02 2.97519E+01 -2.94063E+01 2.96201E+01 -2.94829E+01 + 2.51647E-02 7.84301E-03 2.68469E-02 9.08381E-03 9.91765E-01 + -3.16970E-04 2.56795E-03 1.34665E-03 2.41457E+02 -1.43680E+02 + 2.01711E+02 -1.72629E+02 3.13039E+01 -2.81676E+01 3.11846E+01 + -2.82343E+01 2.52399E-02 7.90305E-03 2.68975E-02 9.12423E-03 + 9.91876E-01 -2.27291E-04 2.66830E-03 1.42674E-03 2.47084E+02 + -1.39045E+02 2.10485E+02 -1.65403E+02 3.27600E+01 -2.69685E+01 + 3.26515E+01 -2.70262E+01 2.53066E-02 7.95789E-03 2.69379E-02 + 9.15755E-03 9.91994E-01 -1.29881E-04 2.77111E-03 1.51140E-03 + 2.52456E+02 -1.34477E+02 2.18698E+02 -1.58418E+02 3.41248E+01 + -2.58079E+01 3.40258E+01 -2.58574E+01 2.53645E-02 8.00718E-03 + 2.69683E-02 9.18340E-03 9.92105E-01 -3.55625E-05 2.87636E-03 + 1.60092E-03 2.57570E+02 -1.29980E+02 2.26375E+02 -1.51667E+02 + 3.54023E+01 -2.46844E+01 3.53121E+01 -2.47263E+01 2.54148E-02 + 8.05134E-03 2.69897E-02 9.20220E-03 9.92216E-01 6.33945E-05 + 2.98398E-03 1.69556E-03 2.62424E+02 -1.25562E+02 2.33543E+02 + -1.45144E+02 3.65971E+01 -2.35968E+01 3.65146E+01 -2.36318E+01 + 2.54558E-02 8.08869E-03 2.70006E-02 9.21205E-03 9.92325E-01 + 1.62351E-04 3.09390E-03 1.79560E-03 2.67025E+02 -1.21223E+02 + 2.40221E+02 -1.38845E+02 3.77134E+01 -2.25441E+01 3.76374E+01 + -2.25728E+01 2.54896E-02 8.12063E-03 2.70036E-02 9.21485E-03 + 9.92433E-01 2.62854E-04 3.20606E-03 1.90140E-03 2.71378E+02 + -1.16961E+02 2.46433E+02 -1.32763E+02 3.87543E+01 -2.15251E+01 + 3.86839E+01 -2.15483E+01 2.55151E-02 8.14561E-03 2.69978E-02 + 9.20925E-03 9.92536E-01 3.64903E-04 3.32034E-03 2.01326E-03 + 2.75479E+02 -1.12790E+02 2.52206E+02 -1.26892E+02 3.97244E+01 + -2.05382E+01 3.96592E+01 -2.05563E+01 2.55345E-02 8.16532E-03 + 2.69852E-02 9.19640E-03 9.92634E-01 4.63859E-04 3.43669E-03 + 2.13162E-03 2.79340E+02 -1.08702E+02 2.57556E+02 -1.21227E+02 + 4.06258E+01 -1.95840E+01 4.05651E+01 -1.95972E+01 2.55471E-02 + 8.17866E-03 2.69658E-02 9.17591E-03 9.92733E-01 5.69001E-04 + 3.55492E-03 2.25680E-03 2.82964E+02 -1.04701E+02 2.62508E+02 + -1.15760E+02 4.14637E+01 -1.86590E+01 4.14066E+01 -1.86682E+01 + 2.55518E-02 8.18378E-03 2.69386E-02 9.14585E-03 9.92827E-01 + 6.72596E-04 3.67491E-03 2.38924E-03 2.86354E+02 -1.00792E+02 + 2.67082E+02 -1.10487E+02 4.22391E+01 -1.77651E+01 4.21854E+01 + -1.77703E+01 2.55513E-02 8.18330E-03 2.69063E-02 9.10860E-03 + 9.92914E-01 7.73099E-04 3.79650E-03 2.52942E-03 2.89517E+02 + -9.69767E+01 2.71292E+02 -1.05409E+02 4.29573E+01 -1.68986E+01 + 4.29065E+01 -1.69004E+01 2.55454E-02 8.17619E-03 2.68692E-02 + 9.06386E-03 9.92999E-01 8.75148E-04 3.91947E-03 2.67778E-03 + 2.92464E+02 -9.32480E+01 2.75166E+02 -1.00507E+02 4.36196E+01 + -1.60606E+01 4.35712E+01 -1.60594E+01 2.55344E-02 8.16218E-03 + 2.68274E-02 9.01104E-03 9.93078E-01 9.75651E-04 4.04363E-03 + 2.83489E-03 2.95195E+02 -8.96143E+01 2.78714E+02 -9.57861E+01 + 4.42293E+01 -1.52495E+01 4.41829E+01 -1.52455E+01 2.55185E-02 + 8.14112E-03 2.67818E-02 8.95026E-03 9.93157E-01 1.07925E-03 + 4.16868E-03 3.00124E-03 2.97720E+02 -8.60738E+01 2.81956E+02 + -9.12402E+01 4.47890E+01 -1.44648E+01 4.47445E+01 -1.44581E+01 + 2.54984E-02 8.11295E-03 2.67328E-02 8.88165E-03 9.93226E-01 + 1.17666E-03 4.29437E-03 3.17747E-03 3.00047E+02 -8.26256E+01 + 2.84912E+02 -8.68602E+01 4.53020E+01 -1.37043E+01 4.52590E+01 + -1.36956E+01 2.54738E-02 8.07642E-03 2.66803E-02 8.80385E-03 + 9.93291E-01 1.27252E-03 4.42033E-03 3.36418E-03 3.02182E+02 + -7.92690E+01 2.87594E+02 -8.26430E+01 4.57693E+01 -1.29697E+01 + 4.57278E+01 -1.29587E+01 2.54468E-02 8.03394E-03 2.66268E-02 + 8.71968E-03 9.93351E-01 1.36993E-03 4.54619E-03 3.56204E-03 + 3.04129E+02 -7.60101E+01 2.90016E+02 -7.85901E+01 4.61945E+01 + -1.22583E+01 4.61537E+01 -1.22458E+01 2.54175E-02 7.98500E-03 + 2.65725E-02 8.62874E-03 9.93407E-01 1.46115E-03 4.67158E-03 + 3.77186E-03 3.05901E+02 -7.28397E+01 2.92198E+02 -7.46866E+01 + 4.65792E+01 -1.15700E+01 4.65395E+01 -1.15557E+01 2.53850E-02 + 7.92682E-03 2.65163E-02 8.52820E-03 9.93460E-01 1.55703E-03 + 4.79595E-03 3.99436E-03 3.07501E+02 -6.97656E+01 2.94150E+02 + -7.09349E+01 4.69254E+01 -1.09048E+01 4.68864E+01 -1.08892E+01 + 2.53518E-02 7.86304E-03 2.64608E-02 8.42175E-03 9.93504E-01 + 1.64207E-03 4.91879E-03 4.23037E-03 3.08940E+02 -6.67811E+01 + 2.95888E+02 -6.73289E+01 4.72358E+01 -1.02607E+01 4.71972E+01 + -1.02441E+01 2.53168E-02 7.79037E-03 2.64054E-02 8.30656E-03 + 9.93546E-01 1.72864E-03 5.03949E-03 4.48085E-03 3.10222E+02 + -6.38892E+01 2.97423E+02 -6.38670E+01 4.75118E+01 -9.63804E+00 + 4.74739E+01 -9.62013E+00 2.52813E-02 7.71021E-03 2.63510E-02 + 8.18398E-03 9.93584E-01 1.81370E-03 5.15735E-03 4.74667E-03 + 3.11357E+02 -6.10844E+01 2.98772E+02 -6.05342E+01 4.77555E+01 + -9.03627E+00 4.77178E+01 -9.01766E+00 2.52463E-02 7.62382E-03 + 2.62988E-02 8.05516E-03 9.93616E-01 1.89253E-03 5.27162E-03 + 5.02896E-03 3.12350E+02 -5.83737E+01 2.99941E+02 -5.73437E+01 + 4.79686E+01 -8.45411E+00 4.79314E+01 -8.43452E+00 2.52115E-02 + 7.52887E-03 2.62487E-02 7.91837E-03 9.93645E-01 1.97141E-03 + 5.38142E-03 5.32876E-03 3.13209E+02 -5.57518E+01 3.00945E+02 + -5.42786E+01 4.81529E+01 -7.89174E+00 4.81159E+01 -7.87156E+00 + 2.51778E-02 7.42595E-03 2.62013E-02 7.77365E-03 9.93668E-01 + 2.04251E-03 5.48584E-03 5.64738E-03 3.13943E+02 -5.32152E+01 + 3.01794E+02 -5.13422E+01 4.83102E+01 -7.34797E+00 4.82733E+01 + -7.32719E+00 2.51460E-02 7.31597E-03 2.61577E-02 7.62285E-03 + 9.93689E-01 2.11366E-03 5.58374E-03 5.98597E-03 3.14556E+02 + -5.07660E+01 3.02501E+02 -4.85233E+01 4.84418E+01 -6.82272E+00 + 4.84050E+01 -6.80154E+00 2.51165E-02 7.19823E-03 2.61180E-02 + 7.46446E-03 9.93705E-01 2.17703E-03 5.67397E-03 6.34599E-03 + 3.15057E+02 -4.84051E+01 3.03072E+02 -4.58291E+01 4.85494E+01 + -6.31545E+00 4.85126E+01 -6.29388E+00 2.50895E-02 7.07114E-03 + 2.60826E-02 7.29766E-03 9.93718E-01 2.24200E-03 5.75515E-03 + 6.72881E-03 3.15453E+02 -4.61246E+01 3.03521E+02 -4.32454E+01 + 4.86344E+01 -5.82544E+00 4.85977E+01 -5.80357E+00 2.50665E-02 + 6.93813E-03 2.60528E-02 7.12570E-03 9.93729E-01 2.30073E-03 + 5.82583E-03 7.13615E-03 3.15749E+02 -4.39283E+01 3.03854E+02 + -4.07735E+01 4.86983E+01 -5.35240E+00 4.86616E+01 -5.33033E+00 + 2.50472E-02 6.79553E-03 2.60284E-02 6.94484E-03 9.93736E-01 + 2.35333E-03 5.88434E-03 7.56969E-03 3.15953E+02 -4.18170E+01 + 3.04082E+02 -3.84128E+01 4.87423E+01 -4.89551E+00 4.87057E+01 + -4.87335E+00 2.50328E-02 6.64565E-03 2.60104E-02 6.75765E-03 + 9.93740E-01 2.40126E-03 5.92883E-03 8.03114E-03 3.16071E+02 + -3.97827E+01 3.04212E+02 -3.61577E+01 4.87678E+01 -4.45520E+00 + 4.87312E+01 -4.43283E+00 2.50236E-02 6.48703E-03 2.59991E-02 + 6.56299E-03 9.93743E-01 2.44916E-03 5.95727E-03 8.52254E-03 + 3.16108E+02 -3.78270E+01 3.04254E+02 -3.40017E+01 4.87760E+01 + -4.02993E+00 4.87394E+01 -4.00777E+00 2.50203E-02 6.31954E-03 + 2.59952E-02 6.36013E-03 9.93744E-01 2.49094E-03 5.96738E-03 + 9.04602E-03 3.16077E+02 -3.61688E+01 3.04219E+02 -3.21631E+01 + 4.87681E+01 -3.62040E+00 4.87315E+01 -3.59794E+00 2.50367E-02 + 5.47036E-03 2.60126E-02 5.45137E-03 9.93743E-01 2.52338E-03 + 5.95665E-03 9.60375E-03 3.15974E+02 -3.43952E+01 3.04107E+02 + -3.02310E+01 4.87453E+01 -3.22492E+00 4.87086E+01 -3.20265E+00 + 2.50528E-02 5.19211E-03 2.60308E-02 5.13708E-03 9.93742E-01 + 2.55433E-03 5.92230E-03 1.01982E-02 3.15809E+02 -3.26832E+01 + 3.03929E+02 -2.83806E+01 4.87086E+01 -2.84380E+00 4.86720E+01 + -2.82183E+00 2.50761E-02 4.95012E-03 2.60573E-02 4.86218E-03 + 9.93738E-01 2.58677E-03 5.86125E-03 1.08320E-02 3.15588E+02 + -3.10449E+01 3.03691E+02 -2.66179E+01 4.86591E+01 -2.47720E+00 + 4.86225E+01 -2.45513E+00 2.51104E-02 4.69535E-03 2.60960E-02 + 4.57527E-03 9.93736E-01 2.60690E-03 5.77009E-03 1.15079E-02 + 3.15316E+02 -2.94746E+01 3.03401E+02 -2.49464E+01 4.85978E+01 + -2.12350E+00 4.85612E+01 -2.10173E+00 2.51563E-02 4.43084E-03 + 2.61471E-02 4.28062E-03 9.93731E-01 2.63161E-03 5.64505E-03 + 1.22289E-02 3.14999E+02 -2.79811E+01 3.03064E+02 -2.33579E+01 + 4.85257E+01 -1.78351E+00 4.84892E+01 -1.76193E+00 2.52153E-02 + 4.15258E-03 2.62124E-02 3.97259E-03 9.93728E-01 2.64711E-03 + 5.48196E-03 1.29982E-02 3.14641E+02 -2.65527E+01 3.02687E+02 + -2.18519E+01 4.84436E+01 -1.45574E+00 4.84071E+01 -1.43437E+00 + 2.52878E-02 3.86330E-03 2.62919E-02 3.65514E-03 9.93724E-01 + 2.66099E-03 5.27627E-03 1.38192E-02 3.14249E+02 -2.51945E+01 + 3.02276E+02 -2.04287E+01 4.83526E+01 -1.14088E+00 4.83162E+01 + -1.11980E+00 2.53751E-02 3.56111E-03 2.63870E-02 3.32608E-03 + 9.93722E-01 2.66721E-03 5.02291E-03 1.46957E-02 3.13824E+02 + -2.38970E+01 3.01835E+02 -1.90829E+01 4.82532E+01 -8.37673E-01 + 4.82170E+01 -8.16991E-01 2.54792E-02 3.24341E-03 2.64994E-02 + 2.98302E-03 9.93721E-01 2.67339E-03 4.71628E-03 1.56316E-02 + 3.13374E+02 -2.26688E+01 3.01368E+02 -1.78097E+01 4.81466E+01 + -5.46435E-01 4.81104E+01 -5.25852E-01 2.55989E-02 2.91650E-03 + 2.66281E-02 2.63156E-03 9.93721E-01 2.67490E-03 4.35026E-03 + 1.66312E-02 3.12802E+02 -2.12576E+01 3.00786E+02 -1.63699E+01 + 4.80334E+01 -2.66789E-01 4.79973E+01 -2.46601E-01 2.54222E-02 + 3.35305E-03 2.64504E-02 3.07062E-03 9.93719E-01 2.67648E-03 + 3.91806E-03 1.76991E-02 3.12148E+02 -1.97801E+01 3.00121E+02 + -1.48711E+01 4.79140E+01 2.77077E-03 4.78780E+01 2.26609E-02 + 2.50535E-02 4.18481E-03 2.60750E-02 3.91770E-03 9.93719E-01 + 2.67645E-03 3.41229E-03 1.88401E-02 3.11628E+02 -1.87021E+01 + 2.99596E+02 -1.37804E+01 4.77898E+01 2.60455E-01 4.77539E+01 + 2.80049E-01 2.51767E-02 3.92920E-03 2.62073E-02 3.64296E-03 + 9.93723E-01 2.66875E-03 2.82467E-03 2.00596E-02 3.11095E+02 + -1.76767E+01 2.99061E+02 -1.27519E+01 4.76606E+01 5.08634E-01 + 4.76252E+01 5.27534E-01 2.53149E-02 3.66364E-03 2.63548E-02 + 3.35943E-03 9.93729E-01 2.65790E-03 2.14636E-03 2.13632E-02 + 3.10551E+02 -1.67032E+01 2.98518E+02 -1.17815E+01 4.75280E+01 + 7.45940E-01 4.74925E+01 7.64939E-01 2.54632E-02 3.39827E-03 + 2.65125E-02 3.07739E-03 9.93738E-01 2.64247E-03 1.36738E-03 + 2.27569E-02 3.10002E+02 -1.57847E+01 2.97977E+02 -1.08757E+01 + 4.73916E+01 9.74222E-01 4.73565E+01 9.92529E-01 2.56320E-02 + 3.11571E-03 2.66906E-02 2.77937E-03 9.93747E-01 2.62697E-03 + 4.76854E-04 2.42473E-02 3.09452E+02 -1.49204E+01 2.97437E+02 + -1.00271E+01 4.72528E+01 1.19253E+00 4.72178E+01 1.21054E+00 + 2.58241E-02 2.81366E-03 2.68922E-02 2.46229E-03 9.93761E-01 + 2.60536E-03 -5.37017E-04 2.58415E-02 3.08902E+02 -1.41048E+01 + 2.96903E+02 -9.23537E+00 4.71115E+01 1.40201E+00 4.70767E+01 + 1.41972E+00 2.60296E-02 2.50908E-03 2.71068E-02 2.14422E-03 + 9.93775E-01 2.58523E-03 -1.68735E-03 2.75467E-02 3.08108E+02 + -1.29911E+01 2.96130E+02 -8.15091E+00 4.69685E+01 1.60251E+00 + 4.69340E+01 1.61983E+00 2.54571E-02 3.31188E-03 2.65209E-02 + 2.96577E-03 9.93789E-01 2.56516E-03 -2.98872E-03 2.93716E-02 + 3.07537E+02 -1.22311E+01 2.95584E+02 -7.42496E+00 4.68240E+01 + 1.79469E+00 4.67900E+01 1.81161E+00 2.56141E-02 3.10304E-03 + 2.66851E-02 2.74737E-03 9.93809E-01 2.53887E-03 -4.45639E-03 + 3.13242E-02 3.06989E+02 -1.15383E+01 2.95065E+02 -6.76780E+00 + 4.66788E+01 1.97843E+00 4.66451E+01 1.99485E+00 2.58287E-02 + 2.83141E-03 2.69080E-02 2.46530E-03 9.93832E-01 2.50792E-03 + -6.10788E-03 3.34141E-02 3.06445E+02 -1.08821E+01 2.94560E+02 + -6.15910E+00 4.65333E+01 2.15400E+00 4.64997E+01 2.17023E+00 + 2.60652E-02 2.54607E-03 2.71519E-02 2.17102E-03 9.93855E-01 + 2.48012E-03 -7.96205E-03 3.56514E-02 3.05913E+02 -1.02693E+01 + 2.94067E+02 -5.59062E+00 4.63873E+01 2.32230E+00 4.63543E+01 + 2.33803E+00 2.63188E-02 2.25362E-03 2.74129E-02 1.87002E-03 + 9.93886E-01 2.44607E-03 -1.00394E-02 3.80465E-02 3.05281E+02 + -9.57114E+00 2.93481E+02 -4.94311E+00 4.62419E+01 2.48284E+00 + 4.62092E+01 2.49818E+00 2.62166E-02 2.36647E-03 2.73082E-02 + 1.98562E-03 9.93911E-01 2.41672E-03 -1.23629E-02 4.06115E-02 + 3.04143E+02 -8.36614E+00 2.92393E+02 -3.79120E+00 4.60968E+01 + 2.63649E+00 4.60642E+01 2.65163E+00 2.44367E-02 4.25119E-03 + 2.54845E-02 3.91674E-03 9.93940E-01 2.38731E-03 -1.49567E-02 + 4.33580E-02 3.03611E+02 -7.82475E+00 2.91915E+02 -3.30517E+00 + 4.59525E+01 2.78337E+00 4.59204E+01 2.79792E+00 2.46075E-02 + 4.07740E-03 2.56607E-02 3.73742E-03 9.93973E-01 2.35333E-03 + -1.78488E-02 4.63002E-02 3.03093E+02 -7.31720E+00 2.91460E+02 + -2.85943E+00 4.58096E+01 2.92316E+00 4.57779E+01 2.93741E+00 + 2.47944E-02 3.89441E-03 2.58522E-02 3.55000E-03 9.94013E-01 + 2.31619E-03 -2.10683E-02 4.94519E-02 3.02595E+02 -6.84784E+00 + 2.91025E+02 -2.44860E+00 4.56679E+01 3.05679E+00 4.56368E+01 + 3.07054E+00 2.50122E-02 3.68906E-03 2.60749E-02 3.33986E-03 + 9.94053E-01 2.27602E-03 -2.46475E-02 5.28283E-02 3.02595E+02 + -6.84784E+00 2.91025E+02 -2.44860E+00 4.56679E+01 3.05679E+00 + 4.56368E+01 3.07054E+00 2.50122E-02 3.68906E-03 2.60749E-02 + 3.33986E-03 9.94053E-01 2.27602E-03 -2.46475E-02 5.28283E-02 + ECHO READ:EBINDA(IM),$LGN(GE(IM)/0,1/) + 4.62792E-04 1.69413E+02 2.69387E+01 + ECHO READ:($LGN(GMFP,GBR1,GBR2(I,IM)/0,1/),I=1,NGE) + 1.04689E-03 1.60029E-04 0.00000E+00 0.00000E+00 7.77847E-02 + 1.25164E-02 1.04689E-03 1.60029E-04 0.00000E+00 0.00000E+00 + 7.77847E-02 1.25164E-02 1.16187E-03 1.78641E-04 0.00000E+00 + 0.00000E+00 3.37782E-02 5.39275E-03 1.29055E-03 1.99599E-04 + 0.00000E+00 0.00000E+00 -2.07659E-02 -3.49014E-03 1.42728E-03 + 2.22001E-04 0.00000E+00 0.00000E+00 6.98676E-03 1.05706E-03 + 1.58362E-03 2.47773E-04 0.00000E+00 0.00000E+00 -2.61171E-02 + -4.40010E-03 1.74734E-03 2.74930E-04 0.00000E+00 0.00000E+00 + 4.11194E-02 6.75207E-03 1.93820E-03 3.06782E-04 0.00000E+00 + 0.00000E+00 8.49149E-03 1.30672E-03 2.14111E-03 3.40858E-04 + 0.00000E+00 0.00000E+00 4.97847E-02 8.24121E-03 2.38130E-03 + 3.81447E-04 0.00000E+00 0.00000E+00 -3.05470E-02 -5.33375E-03 + 2.62747E-03 4.23309E-04 0.00000E+00 0.00000E+00 3.34793E-02 + 5.55411E-03 2.91907E-03 4.73210E-04 0.00000E+00 0.00000E+00 + 1.28820E-02 2.02923E-03 3.31770E-03 5.41865E-04 0.00000E+00 + 0.00000E+00 4.07295E-02 6.82533E-03 1.01512E-03 1.42746E-04 + 0.00000E+00 0.00000E+00 -7.05894E-04 -3.56898E-04 3.71687E-03 + 6.14090E-04 0.00000E+00 0.00000E+00 7.24900E-02 1.24127E-02 + 4.14059E-03 6.88492E-04 0.00000E+00 0.00000E+00 -7.01388E-03 + -1.54779E-03 4.58517E-03 7.67072E-04 0.00000E+00 0.00000E+00 + 2.17853E-02 3.54239E-03 5.07408E-03 8.54056E-04 0.00000E+00 + 0.00000E+00 5.71198E-02 9.82892E-03 5.62318E-03 9.52398E-04 + 0.00000E+00 0.00000E+00 6.37516E-02 1.10167E-02 6.27745E-03 + 1.07036E-03 0.00000E+00 0.00000E+00 -6.01869E-03 -1.56266E-03 + 6.96921E-03 1.19592E-03 0.00000E+00 0.00000E+00 7.31686E-02 + 1.28107E-02 7.73765E-03 1.33635E-03 0.00000E+00 0.00000E+00 + 5.95470E-02 1.03215E-02 8.58085E-03 1.49149E-03 0.00000E+00 + 0.00000E+00 6.54485E-02 1.14073E-02 9.51451E-03 1.66446E-03 + 0.00000E+00 0.00000E+00 7.18684E-02 1.25966E-02 1.05304E-02 + 1.85396E-03 0.00000E+00 0.00000E+00 1.05686E-01 1.89048E-02 + 1.17684E-02 2.08650E-03 0.00000E+00 0.00000E+00 6.03974E-02 + 1.03979E-02 1.31966E-02 2.35666E-03 0.00000E+00 0.00000E+00 + 6.55599E-02 1.13745E-02 1.46263E-02 2.62902E-03 0.00000E+00 + 0.00000E+00 1.02968E-01 1.85006E-02 1.62157E-02 2.93394E-03 + 0.00000E+00 0.00000E+00 1.30419E-01 2.37671E-02 1.80107E-02 + 3.28078E-03 0.00000E+00 0.00000E+00 1.25123E-01 2.27438E-02 + 2.04245E-02 3.75058E-03 0.00000E+00 0.00000E+00 1.40077E-01 + 2.56541E-02 2.34330E-02 4.34036E-03 0.00000E+00 0.00000E+00 + 1.58692E-01 2.93035E-02 2.60611E-02 4.85937E-03 0.00000E+00 + 0.00000E+00 2.20782E-01 4.15649E-02 2.91286E-02 5.46960E-03 + 0.00000E+00 0.00000E+00 1.95776E-01 3.65904E-02 3.24125E-02 + 6.12774E-03 0.00000E+00 0.00000E+00 2.41452E-01 4.57445E-02 + 3.61659E-02 6.88563E-03 0.00000E+00 0.00000E+00 2.37779E-01 + 4.50028E-02 4.02000E-02 7.70635E-03 0.00000E+00 0.00000E+00 + 2.91041E-01 5.58388E-02 4.47308E-02 8.63511E-03 0.00000E+00 + 0.00000E+00 3.20391E-01 6.18553E-02 4.91277E-02 9.54336E-03 + 0.00000E+00 0.00000E+00 3.29857E-01 6.38105E-02 5.40110E-02 + 1.05599E-02 0.00000E+00 0.00000E+00 3.95411E-01 7.74562E-02 + 6.00171E-02 1.18198E-02 0.00000E+00 0.00000E+00 3.93708E-01 + 7.70990E-02 6.61044E-02 1.31068E-02 0.00000E+00 0.00000E+00 + 5.14440E-01 1.02625E-01 7.35745E-02 1.46987E-02 0.00000E+00 + 0.00000E+00 4.74189E-01 9.40476E-02 8.09887E-02 1.62913E-02 + 0.00000E+00 0.00000E+00 5.87990E-01 1.18492E-01 8.99752E-02 + 1.82371E-02 0.00000E+00 0.00000E+00 6.46394E-01 1.31138E-01 + 9.96601E-02 2.03511E-02 0.00000E+00 0.00000E+00 7.08018E-01 + 1.44589E-01 1.10191E-01 2.26687E-02 0.00000E+00 0.00000E+00 + 7.42929E-01 1.52272E-01 1.20912E-01 2.50472E-02 0.00000E+00 + 0.00000E+00 8.68345E-01 1.80099E-01 1.33514E-01 2.78665E-02 + 0.00000E+00 0.00000E+00 8.95920E-01 1.86267E-01 1.46179E-01 + 3.07237E-02 0.00000E+00 0.00000E+00 1.02165E+00 2.14631E-01 + 1.60566E-01 3.39968E-02 0.00000E+00 0.00000E+00 1.08846E+00 + 2.29830E-01 1.75306E-01 3.73786E-02 0.00000E+00 0.00000E+00 + 1.21326E+00 2.58463E-01 1.90860E-01 4.09779E-02 0.00000E+00 + 0.00000E+00 1.34817E+00 2.89683E-01 2.08749E-01 4.51535E-02 + 0.00000E+00 0.00000E+00 1.40975E+00 3.04056E-01 2.25763E-01 + 4.91592E-02 0.00000E+00 0.00000E+00 1.57688E+00 3.43407E-01 + 2.47738E-01 5.43790E-02 0.00000E+00 0.00000E+00 1.68220E+00 + 3.68423E-01 2.68666E-01 5.93941E-02 0.00000E+00 0.00000E+00 + 1.82613E+00 4.02914E-01 2.89370E-01 6.44002E-02 0.00000E+00 + 0.00000E+00 1.96802E+00 4.37223E-01 3.10527E-01 6.95622E-02 + 0.00000E+00 0.00000E+00 2.11242E+00 4.72452E-01 3.32422E-01 + 7.49528E-02 0.00000E+00 0.00000E+00 2.24484E+00 5.05056E-01 + 3.54546E-01 8.04503E-02 0.00000E+00 0.00000E+00 2.37518E+00 + 5.37443E-01 3.75363E-01 8.56710E-02 0.00000E+00 0.00000E+00 + 2.52813E+00 5.75803E-01 3.97620E-01 9.13053E-02 0.00000E+00 + 0.00000E+00 2.63650E+00 6.03235E-01 4.21198E-01 9.73307E-02 + 0.00000E+00 0.00000E+00 2.80469E+00 6.46218E-01 4.41664E-01 + 1.02611E-01 0.00000E+00 0.00000E+00 2.91217E+00 6.73946E-01 + 4.59805E-01 1.07337E-01 0.00000E+00 0.00000E+00 3.01985E+00 + 7.01997E-01 4.75569E-01 1.11483E-01 0.00000E+00 0.00000E+00 + 3.11979E+00 7.28287E-01 4.89079E-01 1.15072E-01 0.00000E+00 + 0.00000E+00 3.20323E+00 7.50450E-01 5.02255E-01 1.18607E-01 + 0.00000E+00 0.00000E+00 3.24936E+00 7.62826E-01 5.11033E-01 + 1.20985E-01 0.00000E+00 0.00000E+00 3.29564E+00 7.75366E-01 + 5.18759E-01 1.23100E-01 0.00000E+00 0.00000E+00 3.31003E+00 + 7.79305E-01 5.22285E-01 1.24075E-01 0.00000E+00 0.00000E+00 + 3.31665E+00 7.81136E-01 5.23077E-01 1.24297E-01 0.00000E+00 + 0.00000E+00 3.30343E+00 7.77443E-01 5.23512E-01 1.24419E-01 + 0.00000E+00 0.00000E+00 3.26222E+00 7.65806E-01 5.20433E-01 + 1.23541E-01 0.00000E+00 0.00000E+00 3.24327E+00 7.60400E-01 + 5.15618E-01 1.22152E-01 0.00000E+00 0.00000E+00 3.16990E+00 + 7.39242E-01 5.07342E-01 1.19740E-01 0.00000E+00 0.00000E+00 + 3.08996E+00 7.15940E-01 4.97481E-01 1.16834E-01 0.00000E+00 + 0.00000E+00 2.99843E+00 6.88965E-01 4.87034E-01 1.13721E-01 + 0.00000E+00 0.00000E+00 2.89607E+00 6.58470E-01 4.73780E-01 + 1.09728E-01 0.00000E+00 0.00000E+00 2.79215E+00 6.27159E-01 + 4.61888E-01 1.06105E-01 0.00000E+00 0.00000E+00 2.67891E+00 + 5.92655E-01 4.48455E-01 1.01965E-01 0.00000E+00 0.00000E+00 + 2.57226E+00 5.59787E-01 4.36419E-01 9.82126E-02 0.00000E+00 + 0.00000E+00 2.46825E+00 5.27364E-01 4.22937E-01 9.39607E-02 + 0.00000E+00 0.00000E+00 2.35455E+00 4.91504E-01 4.08548E-01 + 8.93689E-02 0.00000E+00 0.00000E+00 2.24546E+00 4.56689E-01 + 3.97586E-01 8.58287E-02 0.00000E+00 0.00000E+00 2.13665E+00 + 4.21552E-01 3.84670E-01 8.16067E-02 0.00000E+00 0.00000E+00 + 2.03620E+00 3.88716E-01 3.72353E-01 7.75311E-02 0.00000E+00 + 0.00000E+00 1.93665E+00 3.55775E-01 3.60661E-01 7.36141E-02 + 0.00000E+00 0.00000E+00 1.83842E+00 3.22872E-01 3.50949E-01 + 7.03200E-02 0.00000E+00 0.00000E+00 1.75713E+00 2.95296E-01 + 3.42574E-01 6.74427E-02 0.00000E+00 0.00000E+00 1.68152E+00 + 2.69318E-01 3.35184E-01 6.48712E-02 0.00000E+00 0.00000E+00 + 1.61185E+00 2.45074E-01 3.28706E-01 6.25871E-02 0.00000E+00 + 0.00000E+00 1.55392E+00 2.24650E-01 3.23785E-01 6.08297E-02 + 0.00000E+00 0.00000E+00 1.50290E+00 2.06427E-01 3.18517E-01 + 5.89222E-02 0.00000E+00 0.00000E+00 1.44757E+00 1.86393E-01 + 3.13697E-01 5.71535E-02 0.00000E+00 0.00000E+00 1.39773E+00 + 1.68106E-01 3.09297E-01 5.55166E-02 0.00000E+00 0.00000E+00 + 1.35296E+00 1.51452E-01 3.05999E-01 5.42725E-02 0.00000E+00 + 0.00000E+00 1.31264E+00 1.36243E-01 3.03304E-01 5.32417E-02 + 0.00000E+00 0.00000E+00 1.27657E+00 1.22442E-01 3.00900E-01 + 5.23088E-02 0.00000E+00 0.00000E+00 1.24440E+00 1.09961E-01 + 2.99431E-01 5.17304E-02 0.00000E+00 0.00000E+00 1.21657E+00 + 9.90010E-02 2.98703E-01 5.14393E-02 0.00000E+00 0.00000E+00 + 1.19125E+00 8.88836E-02 2.97669E-01 5.10199E-02 0.00000E+00 + 0.00000E+00 1.16840E+00 7.96152E-02 2.97272E-01 5.08561E-02 + 0.00000E+00 0.00000E+00 1.14811E+00 7.12581E-02 2.97393E-01 + 5.09070E-02 0.00000E+00 0.00000E+00 1.13016E+00 6.37468E-02 + 2.97876E-01 5.11118E-02 0.00000E+00 0.00000E+00 1.11428E+00 + 5.70046E-02 2.98302E-01 5.12960E-02 0.00000E+00 0.00000E+00 + 1.09974E+00 5.07264E-02 2.99413E-01 5.17833E-02 0.00000E+00 + 0.00000E+00 1.08719E+00 4.52222E-02 3.00437E-01 5.22402E-02 + 0.00000E+00 0.00000E+00 1.07649E+00 4.04549E-02 3.02000E-01 + 5.29487E-02 0.00000E+00 0.00000E+00 1.06705E+00 3.61742E-02 + 3.03577E-01 5.36760E-02 0.00000E+00 0.00000E+00 1.05876E+00 + 3.23495E-02 3.05391E-01 5.45267E-02 0.00000E+00 0.00000E+00 + 1.05146E+00 2.89226E-02 3.07367E-01 5.54704E-02 0.00000E+00 + 0.00000E+00 1.04504E+00 2.58561E-02 3.09410E-01 5.64635E-02 + 0.00000E+00 0.00000E+00 1.03941E+00 2.31201E-02 3.11525E-01 + 5.75108E-02 0.00000E+00 0.00000E+00 1.03445E+00 2.06682E-02 + 3.13905E-01 5.87110E-02 0.00000E+00 0.00000E+00 1.03011E+00 + 1.84765E-02 3.16214E-01 5.98980E-02 0.00000E+00 0.00000E+00 + 1.02630E+00 1.65192E-02 3.18550E-01 6.11223E-02 0.00000E+00 + 0.00000E+00 1.02223E+00 1.43868E-02 3.21012E-01 6.24382E-02 + 0.00000E+00 0.00000E+00 1.01916E+00 1.27474E-02 3.23642E-01 + 6.38712E-02 0.00000E+00 0.00000E+00 1.01678E+00 1.14468E-02 + 3.26265E-01 6.53304E-02 0.00000E+00 0.00000E+00 1.01469E+00 + 1.02859E-02 3.28993E-01 6.68811E-02 0.00000E+00 0.00000E+00 + 1.01284E+00 9.23422E-03 3.31693E-01 6.84478E-02 0.00000E+00 + 0.00000E+00 1.01123E+00 8.29812E-03 3.34395E-01 7.00510E-02 + 0.00000E+00 0.00000E+00 1.00981E+00 7.45665E-03 3.37178E-01 + 7.17386E-02 0.00000E+00 0.00000E+00 1.00856E+00 6.70047E-03 + 3.39809E-01 7.33716E-02 0.00000E+00 0.00000E+00 1.00692E+00 + 5.68087E-03 3.42600E-01 7.51439E-02 0.00000E+00 0.00000E+00 + 1.00604E+00 5.12049E-03 3.45424E-01 7.69808E-02 0.00000E+00 + 0.00000E+00 1.00529E+00 4.63397E-03 3.48205E-01 7.88353E-02 + 0.00000E+00 0.00000E+00 1.00462E+00 4.19081E-03 3.51018E-01 + 8.07577E-02 0.00000E+00 0.00000E+00 1.00405E+00 3.79581E-03 + 3.53788E-01 8.27002E-02 0.00000E+00 0.00000E+00 1.00353E+00 + 3.43293E-03 3.56579E-01 8.47093E-02 0.00000E+00 0.00000E+00 + 1.00309E+00 3.11340E-03 3.59345E-01 8.67557E-02 0.00000E+00 + 0.00000E+00 1.00268E+00 2.81314E-03 3.62093E-01 8.88455E-02 + 0.00000E+00 0.00000E+00 1.00233E+00 2.54981E-03 3.64831E-01 + 9.09895E-02 0.00000E+00 0.00000E+00 1.00203E+00 2.31053E-03 + 3.71097E-01 9.60409E-02 0.00000E+00 0.00000E+00 1.01564E+00 + 1.32869E-02 3.70068E-01 9.51859E-02 0.00000E+00 0.00000E+00 + 1.00069E+00 8.60640E-04 3.72767E-01 9.75013E-02 0.00000E+00 + 0.00000E+00 1.00060E+00 7.80357E-04 3.75438E-01 9.98672E-02 + 0.00000E+00 0.00000E+00 1.00051E+00 7.04890E-04 3.78068E-01 + 1.02275E-01 0.00000E+00 0.00000E+00 1.00044E+00 6.42269E-04 + 3.80684E-01 1.04756E-01 0.00000E+00 0.00000E+00 1.00038E+00 + 5.79648E-04 3.83260E-01 1.07287E-01 0.00000E+00 0.00000E+00 + 1.00032E+00 5.26661E-04 3.85795E-01 1.09872E-01 0.00000E+00 + 0.00000E+00 1.00027E+00 4.73673E-04 3.88921E-01 1.13186E-01 + 0.00000E+00 0.00000E+00 1.00239E+00 2.71840E-03 3.90738E-01 + 1.15190E-01 0.00000E+00 0.00000E+00 1.00007E+00 1.62173E-04 + 3.93172E-01 1.17991E-01 0.00000E+00 0.00000E+00 1.00006E+00 + 1.47722E-04 3.95555E-01 1.20856E-01 0.00000E+00 0.00000E+00 + 1.00005E+00 1.36480E-04 3.97895E-01 1.23800E-01 0.00000E+00 + 0.00000E+00 1.00004E+00 1.25242E-04 4.00179E-01 1.26814E-01 + 0.00000E+00 0.00000E+00 1.00004E+00 1.18820E-04 4.02420E-01 + 1.29923E-01 0.00000E+00 0.00000E+00 1.00003E+00 1.04369E-04 + 4.04591E-01 1.33100E-01 0.00000E+00 0.00000E+00 1.00002E+00 + 8.99177E-05 4.06711E-01 1.36379E-01 0.00000E+00 0.00000E+00 + 1.00001E+00 8.51006E-05 4.08761E-01 1.39744E-01 0.00000E+00 + 0.00000E+00 1.00001E+00 7.86780E-05 4.10747E-01 1.43214E-01 + 0.00000E+00 0.00000E+00 1.00001E+00 7.38609E-05 4.12653E-01 + 1.46776E-01 0.00000E+00 0.00000E+00 1.00000E+00 6.26212E-05 + 4.14481E-01 1.50449E-01 0.00000E+00 0.00000E+00 9.99998E-01 + 5.61985E-05 4.16224E-01 1.54230E-01 0.00000E+00 0.00000E+00 + 9.99996E-01 5.13815E-05 4.17875E-01 1.58128E-01 0.00000E+00 + 0.00000E+00 9.99994E-01 4.65645E-05 4.19425E-01 1.62138E-01 + 0.00000E+00 0.00000E+00 9.99994E-01 4.65639E-05 4.20873E-01 + 1.66280E-01 0.00000E+00 0.00000E+00 9.99992E-01 4.17475E-05 + 4.22204E-01 1.70542E-01 0.00000E+00 0.00000E+00 9.99990E-01 + 3.69305E-05 4.23416E-01 1.74946E-01 0.00000E+00 0.00000E+00 + 9.99990E-01 3.69305E-05 4.24496E-01 1.79482E-01 0.00000E+00 + 0.00000E+00 9.99989E-01 3.05078E-05 4.25436E-01 1.84163E-01 + 0.00000E+00 0.00000E+00 9.99988E-01 2.89021E-05 4.26228E-01 + 1.88996E-01 0.00000E+00 0.00000E+00 9.99988E-01 2.72964E-05 + 4.26860E-01 1.93981E-01 0.00000E+00 0.00000E+00 9.99988E-01 + 2.56908E-05 4.27321E-01 1.99126E-01 0.00000E+00 0.00000E+00 + 9.99987E-01 2.08737E-05 4.27599E-01 2.04437E-01 0.00000E+00 + 0.00000E+00 9.99988E-01 2.40851E-05 4.27684E-01 2.09919E-01 + 0.00000E+00 0.00000E+00 9.99988E-01 1.92681E-05 4.27600E-01 + 2.13755E-01 -9.04078E-05 4.15168E-03 9.99988E-01 1.60565E-05 + 4.27264E-01 2.19459E-01 -9.93594E-05 4.30366E-03 9.99988E-01 + 1.60567E-05 4.26699E-01 2.25348E-01 -1.14703E-04 4.46346E-03 + 9.99988E-01 1.28454E-05 4.25890E-01 2.31423E-01 -1.36837E-04 + 4.62970E-03 9.99987E-01 1.60567E-05 4.24824E-01 2.37685E-01 + -1.66282E-04 4.80264E-03 9.99988E-01 1.28454E-05 4.23483E-01 + 2.44151E-01 -2.03598E-04 4.98258E-03 9.99988E-01 1.12397E-05 + 4.21853E-01 2.50818E-01 -2.49377E-04 5.16981E-03 9.99988E-01 + 1.44511E-05 4.19915E-01 2.57697E-01 -3.04243E-04 5.36463E-03 + 9.99989E-01 9.63404E-06 4.17654E-01 2.64793E-01 -3.68858E-04 + 5.56735E-03 9.99989E-01 1.12397E-05 4.15050E-01 2.72108E-01 + -4.43929E-04 5.77830E-03 9.99988E-01 1.12397E-05 4.14154E-01 + 2.74389E-01 -4.41171E-03 1.58747E-02 9.99990E-01 9.63404E-06 + 4.12183E-01 2.78973E-01 -6.86501E-03 2.15786E-02 9.99990E-01 + 6.42269E-06 4.08744E-01 2.86331E-01 -7.25248E-03 2.24079E-02 + 9.99990E-01 8.02826E-06 4.04933E-01 2.93888E-01 -7.68709E-03 + 2.32696E-02 9.99989E-01 8.02836E-06 4.00733E-01 3.01645E-01 + -8.17183E-03 2.41648E-02 9.99992E-01 4.81702E-06 3.96119E-01 + 3.09617E-01 -8.70995E-03 2.50948E-02 9.99990E-01 6.42269E-06 + 3.91078E-01 3.17806E-01 -9.30480E-03 2.60609E-02 9.99990E-01 + 6.42269E-06 3.85593E-01 3.26207E-01 -9.95975E-03 2.70642E-02 + 9.99990E-01 6.42269E-06 3.86935E-01 3.24262E-01 -2.23548E-02 + 4.50290E-02 9.99900E-01 1.36482E-04 3.81948E-01 3.31122E-01 + -2.47753E-02 4.83581E-02 1.00000E+00 0.00000E+00 3.75783E-01 + 3.39189E-01 -2.61765E-02 5.01917E-02 1.00000E+00 0.00000E+00 + 3.69192E-01 3.47415E-01 -2.76971E-02 5.20893E-02 1.00000E+00 + -1.60567E-06 3.62164E-01 3.55796E-01 -2.93436E-02 5.40530E-02 + 9.99998E-01 1.60567E-06 3.54680E-01 3.64343E-01 -3.11224E-02 + 5.60846E-02 1.00000E+00 0.00000E+00 3.46740E-01 3.73043E-01 + -3.30395E-02 5.81852E-02 1.00000E+00 0.00000E+00 3.38333E-01 + 3.81895E-01 -3.51016E-02 6.03561E-02 1.00000E+00 0.00000E+00 + 3.29444E-01 3.90903E-01 -3.73157E-02 6.25997E-02 1.00000E+00 + 0.00000E+00 3.20073E-01 4.00052E-01 -3.96880E-02 6.49161E-02 + 1.00000E+00 0.00000E+00 3.10210E-01 4.09347E-01 -4.22256E-02 + 6.73074E-02 1.00000E+00 -1.60567E-06 3.17416E-01 4.02786E-01 + -6.68124E-02 8.96939E-02 9.99997E-01 1.60567E-06 3.17416E-01 + 4.02786E-01 -6.68124E-02 8.96939E-02 9.99997E-01 1.60567E-06 + DATA FOR MEDIUM # 2, WHICH IS: MEDIUM=ALUM-3MEV-10KEV-02KEV ,STERNCID=ALUM-3MEV-10KEV-02KEV + ELEM,RHO= 2.702 ,NE= 1,COMPOSITION IS : + ASYM=AL,Z=13.,A= 26.982,PZ= 1.00000E+00,RHOZ= 2.69815E+01 + ECHO READ:$LGN(RLC,AE,AP,UE,UP(IM)) + 8.88722E+00 5.21000E-01 2.00000E-03 3.51100E+00 3.00200E+00 + ECHO READ:$LGN(MSGE,MGE,MSEKE,MEKE,MLEKE,MCMFP,MRANGE(IM)),IRAYL + 0 200 0 150 0 0 0 0 + ECHO READ:($LGN(DL(I,IM)/1,2,3,4,5,6/),I=1,6) + 9.99981E-01 -2.19279E-01 5.03195E-02 9.95706E-01 -2.35368E-01 + 9.52000E-01 1.00023E+00 -1.85862E-01 3.58308E-02 1.01473E+00 + -2.39866E-01 9.52000E-01 1.00036E+00 -1.68669E-01 2.58879E-02 + 1.02452E+00 -2.42180E-01 9.52000E-01 9.99981E-01 -2.19810E-01 + 5.04414E-02 9.95695E-01 -2.35938E-01 9.52000E-01 1.00023E+00 + -1.86320E-01 3.59193E-02 1.01477E+00 -2.40458E-01 9.52000E-01 + 1.00036E+00 -1.69090E-01 2.59524E-02 1.02458E+00 -2.42783E-01 + 9.52000E-01 + ECHO READ:DELCM(IM),($LGN(ALPHI,BPAR, DELPOS(I,IM)),I=1,2) + 2.95564E+01 9.41857E-01 8.53614E-01 2.29371E+00 9.41901E-01 + 8.53605E-01 2.26997E+00 + ECHO READ:$LGN(XR0,TEFF0,BLCC,XCC(IM)) + 1.09552E-01 1.04935E-04 1.90631E+05 5.04144E+00 + ECHO READ:$LGN(EKE(IM)/0,1/) + 1.21501E+02 2.59409E+01 + ECHO READ:($LGN(ESIG,PSIG,EDEDX,PDEDX,EBR1,PBR1,PBR2, TMXS(I,IM)/0,1/),I=1,NEKE) + 9.91931E+00 1.55599E+00 1.90277E+04 4.12859E+03 -9.33733E+02 + -2.88749E+02 -1.30169E+03 -3.78920E+02 1.00000E+00 0.00000E+00 + -2.64604E+01 -5.79607E+00 8.69583E+01 1.88284E+01 2.64582E-05 + 5.12867E-06 9.91931E+00 1.55599E+00 1.90277E+04 4.12859E+03 + -9.33733E+02 -2.88749E+02 -1.30169E+03 -3.78920E+02 1.00000E+00 + 0.00000E+00 -2.64604E+01 -5.79607E+00 8.69583E+01 1.88284E+01 + 2.64582E-05 5.12867E-06 9.87962E+00 1.54730E+00 1.69317E+04 + 3.66976E+03 -8.98752E+02 -2.81091E+02 -1.24401E+03 -3.66295E+02 + 1.00000E+00 0.00000E+00 -8.68785E-01 -1.93853E-01 3.83817E+00 + 6.32722E-01 2.80970E-05 5.48741E-06 9.83222E+00 1.53683E+00 + 1.50135E+04 3.24629E+03 -8.64541E+02 -2.73538E+02 -1.18823E+03 + -3.53980E+02 1.00000E+00 0.00000E+00 -2.88968E-01 -6.58457E-02 + 1.95562E+00 2.17108E-01 2.98474E-05 5.87386E-06 9.78680E+00 + 1.52672E+00 1.32844E+04 2.86126E+03 -8.31588E+02 -2.66201E+02 + -1.13504E+03 -3.42136E+02 1.00000E+00 0.00000E+00 -1.39812E-01 + -3.26336E-02 1.47187E+00 1.09393E-01 3.17135E-05 6.28937E-06 + 9.74776E+00 1.51795E+00 1.17191E+04 2.50971E+03 -7.99074E+02 + -2.58899E+02 -1.08332E+03 -3.30521E+02 1.00000E+00 0.00000E+00 + -7.95795E-02 -1.91057E-02 1.27703E+00 6.56318E-02 3.36861E-05 + 6.73240E-06 9.69160E+00 1.50523E+00 1.03102E+04 2.19051E+03 + -7.68104E+02 -2.51883E+02 -1.03443E+03 -3.19443E+02 1.00000E+00 + 0.00000E+00 -4.93843E-02 -1.22647E-02 1.17965E+00 4.35700E-02 + 3.57835E-05 7.20758E-06 9.65120E+00 1.49600E+00 9.03881E+03 + 1.89994E+03 -7.37923E+02 -2.44985E+02 -9.87327E+02 -3.08678E+02 + 1.00000E+00 0.00000E+00 -3.20389E-02 -8.30041E-03 1.12414E+00 + 3.08839E-02 3.80087E-05 7.71617E-06 9.60618E+00 1.48561E+00 + 7.89570E+03 1.63636E+03 -7.08503E+02 -2.38200E+02 -9.41954E+02 + -2.98216E+02 1.00000E+00 0.00000E+00 -2.11512E-02 -5.78991E-03 + 1.08960E+00 2.29191E-02 4.03662E-05 8.25976E-06 9.55759E+00 + 1.47431E+00 6.86828E+03 1.39733E+03 -6.80237E+02 -2.31624E+02 + -8.98753E+02 -2.88165E+02 1.00000E+00 0.00000E+00 -1.38377E-02 + -4.08841E-03 1.06670E+00 1.75896E-02 4.28742E-05 8.84323E-06 + 9.51630E+00 1.46462E+00 5.94601E+03 1.18082E+03 -6.52834E+02 + -2.25191E+02 -8.57292E+02 -2.78432E+02 1.00000E+00 0.00000E+00 + -8.64913E-03 -2.87035E-03 1.05073E+00 1.38415E-02 4.55310E-05 + 9.46695E-06 9.46912E+00 1.45344E+00 5.11813E+03 9.84691E+02 + -6.26300E+02 -2.18905E+02 -8.17545E+02 -2.69016E+02 1.00000E+00 + 0.00000E+00 -4.81274E-03 -1.96150E-03 1.03916E+00 1.11033E-02 + 4.83475E-05 1.01342E-05 9.42335E+00 1.44249E+00 4.37815E+03 + 8.07770E+02 -6.00596E+02 -2.12760E+02 -7.79422E+02 -2.59901E+02 + 1.00000E+00 0.00000E+00 -1.87212E-03 -1.25845E-03 1.03055E+00 + 9.04376E-03 5.13380E-05 1.08492E-05 9.37888E+00 1.43176E+00 + 3.71664E+03 6.48148E+02 -5.75848E+02 -2.06789E+02 -7.43038E+02 + -2.51121E+02 1.00000E+00 0.00000E+00 4.57744E-04 -6.96231E-04 + 1.02396E+00 7.45108E-03 5.45085E-05 1.16142E-05 9.33162E+00 + 1.42025E+00 3.12609E+03 5.04302E+02 -5.51763E+02 -2.00922E+02 + -7.07992E+02 -2.42585E+02 1.00000E+00 0.00000E+00 2.35350E-03 + -2.34480E-04 1.01880E+00 6.19720E-03 5.78661E-05 1.24320E-05 + 9.28816E+00 1.40957E+00 2.60079E+03 3.75140E+02 -5.28561E+02 + -1.95216E+02 -6.74522E+02 -2.34356E+02 1.00000E+00 0.00000E+00 + 3.93773E-03 1.55060E-04 1.01467E+00 5.17973E-03 6.14316E-05 + 1.33087E-05 9.24115E+00 1.39789E+00 2.13371E+03 2.59195E+02 + -5.06135E+02 -1.89650E+02 -6.42462E+02 -2.26397E+02 1.00000E+00 + 0.00000E+00 5.28839E-03 4.90341E-04 1.01136E+00 4.35566E-03 + 6.52011E-05 1.42445E-05 9.19693E+00 1.38682E+00 1.71942E+03 + 1.55360E+02 -4.84569E+02 -1.84245E+02 -6.11880E+02 -2.18732E+02 + 1.00000E+00 0.00000E+00 6.46878E-03 7.86187E-04 1.00860E+00 + 3.66758E-03 6.92011E-05 1.52470E-05 9.15225E+00 1.37551E+00 + 1.35375E+03 6.28192E+01 -4.63582E+02 -1.78933E+02 -5.82413E+02 + -2.11274E+02 1.00000E+00 0.00000E+00 7.51449E-03 1.05084E-03 + 1.00635E+00 3.09549E-03 7.34408E-05 1.63200E-05 8.61814E+03 + 2.20160E+03 1.03107E+03 -1.96488E+01 -5.31061E+02 -1.96179E+02 + -5.54236E+02 -2.04074E+02 -9.61236E+01 -2.48221E+01 8.45968E-03 + 1.29240E-03 1.00442E+00 2.60225E-03 7.79274E-05 1.74667E-05 + 7.19813E+03 1.83507E+03 7.47713E+02 -9.27912E+01 -5.00342E+02 + -1.88250E+02 -5.27388E+02 -1.97143E+02 -1.84775E+00 -4.88066E-01 + 9.32635E-03 1.51610E-03 1.00280E+00 2.18478E-03 8.26901E-05 + 1.86960E-05 6.05547E+03 1.53717E+03 4.99628E+02 -1.57469E+02 + -4.72313E+02 -1.80943E+02 -5.01523E+02 -1.90400E+02 -6.07800E-01 + -1.64798E-01 1.01308E-02 1.72584E-03 1.00140E+00 1.82142E-03 + 8.77156E-05 2.00062E-05 5.12993E+03 1.29342E+03 2.83032E+02 + -2.14509E+02 -4.46773E+02 -1.74216E+02 -4.76938E+02 -1.83926E+02 + -2.91725E-01 -8.15575E-02 1.08935E-02 1.92670E-03 1.00019E+00 + 1.50136E-03 9.30578E-05 2.14131E-05 4.37031E+03 1.09132E+03 + 9.50554E+01 -2.64523E+02 -4.23036E+02 -1.67901E+02 -4.53293E+02 + -1.77635E+02 -1.64923E-01 -4.78208E-02 1.16037E-02 2.11563E-03 + 9.99147E-01 1.22459E-03 9.86918E-05 2.29120E-05 3.74366E+03 + 9.22866E+02 -6.70182E+01 -3.08092E+02 -4.00996E+02 -1.61977E+02 + -4.30745E+02 -1.71573E+02 -1.01640E-01 -3.08095E-02 1.22990E-02 + 2.30254E-03 9.98219E-01 9.75651E-04 1.04664E-04 2.45174E-05 + 3.22063E+03 7.80795E+02 -2.05982E+02 -3.45838E+02 -3.80261E+02 + -1.56344E+02 -4.09084E+02 -1.65690E+02 -6.55736E-02 -2.10127E-02 + 1.29649E-02 2.48343E-03 9.97415E-01 7.56090E-04 1.10979E-04 + 2.62329E-05 2.78220E+03 6.60445E+02 -3.24713E+02 -3.78431E+02 + -3.60882E+02 -1.51024E+02 -3.88508E+02 -1.60041E+02 -4.31093E-02 + -1.48462E-02 1.36166E-02 2.66231E-03 9.96673E-01 5.53542E-04 + 1.17672E-04 2.80699E-05 2.41107E+03 5.57479E+02 -4.24263E+02 + -4.06050E+02 -3.42396E+02 -1.45896E+02 -3.68654E+02 -1.54533E+02 + -2.81495E-02 -1.06957E-02 1.42500E-02 2.83806E-03 9.96048E-01 + 3.78818E-04 1.24731E-04 3.00284E-05 2.09597E+03 4.69112E+02 + -5.08115E+02 -4.29565E+02 -3.25027E+02 -1.41025E+02 -3.49817E+02 + -1.49250E+02 -1.76934E-02 -7.76346E-03 1.48748E-02 3.01326E-03 + 9.95467E-01 2.16468E-04 1.32209E-04 3.21257E-05 1.82534E+03 + 3.92388E+02 -5.76469E+02 -4.48943E+02 -3.08420E+02 -1.36316E+02 + -3.31695E+02 -1.44113E+02 -1.00294E-02 -5.59069E-03 1.54972E-02 + 3.18973E-03 9.94947E-01 6.80327E-05 1.40105E-04 3.43643E-05 + 1.59305E+03 3.25803E+02 -6.32208E+02 -4.64919E+02 -2.92677E+02 + -1.31804E+02 -3.14445E+02 -1.39168E+02 -4.27388E-03 -3.94094E-03 + 1.61080E-02 3.36482E-03 9.94458E-01 -6.95789E-05 1.48457E-04 + 3.67581E-05 1.39130E+03 2.67330E+02 -6.76414E+02 -4.77734E+02 + -2.77688E+02 -1.27460E+02 -2.97962E+02 -1.34391E+02 2.18497E-04 + -2.63885E-03 1.67185E-02 3.54176E-03 9.94050E-01 -1.90182E-04 + 1.57278E-04 3.93149E-05 1.21650E+03 2.16095E+02 -7.09695E+02 + -4.87489E+02 -2.63294E+02 -1.23241E+02 -2.82121E+02 -1.29748E+02 + 3.79128E-03 -1.59164E-03 1.73245E-02 3.71937E-03 9.93648E-01 + -3.07693E-04 1.66587E-04 4.20435E-05 1.06377E+03 1.70815E+02 + -7.34553E+02 -4.94858E+02 -2.49623E+02 -1.19188E+02 -2.67063E+02 + -1.25284E+02 6.70866E-03 -7.26737E-04 1.79322E-02 3.89953E-03 + 9.93290E-01 -4.12835E-04 1.76433E-04 4.49625E-05 9.30116E+02 + 1.30733E+02 -7.51013E+02 -4.99793E+02 -2.36529E+02 -1.15261E+02 + -2.52647E+02 -1.20961E+02 9.13812E-03 1.83611E-06 1.85389E-02 + 4.08148E-03 9.92956E-01 -5.13337E-04 1.86812E-04 4.80749E-05 + 8.12843E+02 9.51525E+01 -7.60650E+02 -5.02717E+02 -2.24008E+02 + -1.11462E+02 -2.38873E+02 -1.16781E+02 1.11971E-02 6.26504E-04 + 1.91473E-02 4.26606E-03 9.92659E-01 -6.03021E-04 1.97771E-04 + 5.13999E-05 7.09606E+02 6.34597E+01 -7.64047E+02 -5.03760E+02 + -2.12040E+02 -1.07788E+02 -2.25732E+02 -1.12747E+02 1.29643E-02 + 1.16905E-03 1.97505E-02 4.45124E-03 9.92387E-01 -6.88058E-04 + 2.09344E-04 5.49527E-05 6.18683E+02 3.52135E+01 -7.62064E+02 + -5.03145E+02 -2.00569E+02 -1.04224E+02 -2.13157E+02 -1.08840E+02 + 1.45402E-02 1.65863E-03 2.03728E-02 4.64458E-03 9.92127E-01 + -7.68460E-04 2.21528E-04 5.87378E-05 5.38422E+02 9.97720E+00 + -7.55604E+02 -5.01113E+02 -1.89610E+02 -1.00779E+02 -2.01168E+02 + -1.05071E+02 1.59141E-02 2.09063E-03 2.09810E-02 4.83580E-03 + 9.91892E-01 -8.42678E-04 2.34390E-04 6.27819E-05 4.67880E+02 + -1.24765E+01 -7.44907E+02 -4.97709E+02 -1.79104E+02 -9.74343E+01 + -1.89704E+02 -1.01422E+02 1.71550E-02 2.48558E-03 2.15959E-02 + 5.03154E-03 9.91675E-01 -9.10710E-04 2.47935E-04 6.70933E-05 + 4.05516E+02 -3.25726E+01 -7.30955E+02 -4.93212E+02 -1.69064E+02 + -9.41990E+01 -1.78773E+02 -9.78998E+01 1.82859E-02 2.84998E-03 + 2.22138E-02 5.23063E-03 9.91465E-01 -9.80289E-04 2.62220E-04 + 7.16964E-05 3.50477E+02 -5.05312E+01 -7.14267E+02 -4.87767E+02 + -1.59452E+02 -9.10629E+01 -1.68339E+02 -9.44949E+01 1.93281E-02 + 3.19007E-03 2.28359E-02 5.43364E-03 9.91286E-01 -1.03750E-03 + 2.77261E-04 7.66042E-05 3.02193E+02 -6.64870E+01 -6.94875E+02 + -4.81358E+02 -1.50261E+02 -8.80259E+01 -1.58387E+02 -9.12063E+01 + 2.02914E-02 3.50839E-03 2.34576E-02 5.63909E-03 9.91106E-01 + -1.09625E-03 2.93097E-04 8.18373E-05 2.59631E+02 -8.07336E+01 + -6.73589E+02 -4.74235E+02 -1.41449E+02 -8.50764E+01 -1.48871E+02 + -8.80213E+01 2.11846E-02 3.80737E-03 2.40750E-02 5.84571E-03 + 9.90939E-01 -1.15037E-03 3.09765E-04 8.74163E-05 2.22350E+02 + -9.33755E+01 -6.50675E+02 -4.66466E+02 -1.33030E+02 -8.22211E+01 + -1.39805E+02 -8.49469E+01 2.20416E-02 4.09795E-03 2.47049E-02 + 6.05933E-03 9.90803E-01 -1.19830E-03 3.27297E-04 9.33614E-05 + 1.89842E+02 -1.04544E+02 -6.26378E+02 -4.58117E+02 -1.24975E+02 + -7.94537E+01 -1.31153E+02 -8.19743E+01 2.28438E-02 4.37356E-03 + 2.53290E-02 6.27374E-03 9.90661E-01 -1.24623E-03 3.45746E-04 + 9.97001E-05 1.61482E+02 -1.14418E+02 -6.01131E+02 -4.49326E+02 + -1.17276E+02 -7.67732E+01 -1.22908E+02 -7.91034E+01 2.36163E-02 + 4.64258E-03 2.59590E-02 6.49309E-03 9.90537E-01 -1.28954E-03 + 3.65142E-04 1.06454E-04 1.36981E+02 -1.23066E+02 -5.75139E+02 + -4.40153E+02 -1.09914E+02 -7.41745E+01 -1.15042E+02 -7.63274E+01 + 2.43460E-02 4.90009E-03 2.65824E-02 6.71313E-03 9.90426E-01 + -1.32973E-03 3.85508E-04 1.13642E-04 1.15873E+02 -1.30619E+02 + -5.48565E+02 -4.30643E+02 -1.02878E+02 -7.16573E+01 -1.07547E+02 + -7.36455E+01 2.50561E-02 5.15415E-03 2.72108E-02 6.93798E-03 + 9.90321E-01 -1.36684E-03 4.06924E-04 1.21304E-04 9.78293E+01 + -1.37164E+02 -5.21630E+02 -4.20871E+02 -9.61506E+01 -6.92164E+01 + -1.00397E+02 -7.10513E+01 2.57370E-02 5.40121E-03 2.78343E-02 + 7.16416E-03 9.90228E-01 -1.39931E-03 4.29400E-04 1.29459E-04 + 8.26264E+01 -1.42759E+02 -4.94546E+02 -4.10906E+02 -8.97260E+01 + -6.68525E+01 -9.35864E+01 -6.85455E+01 2.64007E-02 5.64541E-03 + 2.84609E-02 7.39474E-03 9.90141E-01 -1.43332E-03 4.52993E-04 + 1.38139E-04 6.98745E+01 -1.47517E+02 -4.67465E+02 -4.00798E+02 + -8.35843E+01 -6.45601E+01 -8.70909E+01 -6.61210E+01 2.70396E-02 + 5.88388E-03 2.90807E-02 7.62609E-03 9.90061E-01 -1.46116E-03 + 4.77738E-04 1.47376E-04 5.93961E+01 -1.51487E+02 -4.40501E+02 + -3.90587E+02 -7.77304E+01 -6.23435E+01 -8.09145E+01 -6.37821E+01 + 2.76617E-02 6.11946E-03 2.96984E-02 7.86000E-03 9.90005E-01 + -1.48435E-03 5.03704E-04 1.57209E-04 5.08495E+01 -1.54771E+02 + -4.13816E+02 -3.80332E+02 -7.21382E+01 -6.01943E+01 -7.50281E+01 + -6.15200E+01 2.82697E-02 6.35311E-03 3.03131E-02 8.09623E-03 + 9.89956E-01 -1.50445E-03 5.30902E-04 1.67661E-04 4.41932E+01 + -1.57367E+02 -3.87435E+02 -3.70041E+02 -6.67965E+01 -5.81105E+01 + -6.94157E+01 -5.93306E+01 2.88600E-02 6.58337E-03 3.09222E-02 + 8.33381E-03 9.89894E-01 -1.52610E-03 5.59415E-04 1.78783E-04 + 3.91583E+01 -1.59361E+02 -3.61443E+02 -3.59748E+02 -6.17058E+01 + -5.60946E+01 -6.40811E+01 -5.72179E+01 2.94383E-02 6.81240E-03 + 3.15271E-02 8.57337E-03 9.89857E-01 -1.54156E-03 5.89261E-04 + 1.90604E-04 3.55452E+01 -1.60815E+02 -3.36000E+02 -3.49515E+02 + -5.68478E+01 -5.41408E+01 -5.89987E+01 -5.51741E+01 2.99964E-02 + 7.03689E-03 3.21206E-02 8.81209E-03 9.89826E-01 -1.55393E-03 + 6.20495E-04 2.03165E-04 3.32463E+01 -1.61754E+02 -3.11078E+02 + -3.39334E+02 -5.22166E+01 -5.22487E+01 -5.41633E+01 -5.31987E+01 + 3.05542E-02 7.26476E-03 3.27175E-02 9.05591E-03 9.89801E-01 + -1.56321E-03 6.53185E-04 2.16519E-04 3.20540E+01 -1.62248E+02 + -2.86810E+02 -3.29261E+02 -4.78032E+01 -5.04170E+01 -4.95658E+01 + -5.12904E+01 3.10861E-02 7.48550E-03 3.32952E-02 9.29571E-03 + 9.89789E-01 -1.56939E-03 6.87362E-04 2.30705E-04 3.19212E+01 + -1.62305E+02 -2.63122E+02 -3.19269E+02 -4.35934E+01 -4.86412E+01 + -4.51870E+01 -4.94434E+01 3.16121E-02 7.70736E-03 3.38693E-02 + 9.53785E-03 9.89783E-01 -1.57249E-03 7.23074E-04 2.45768E-04 + 3.26589E+01 -1.61988E+02 -2.40146E+02 -3.09418E+02 -3.95866E+01 + -4.69233E+01 -4.10274E+01 -4.76599E+01 3.21220E-02 7.92595E-03 + 3.44303E-02 9.77839E-03 9.89783E-01 -1.57403E-03 7.60373E-04 + 2.61760E-04 3.42042E+01 -1.61314E+02 -2.17876E+02 -2.99709E+02 + -3.57708E+01 -4.52598E+01 -3.70719E+01 -4.59355E+01 3.26241E-02 + 8.14488E-03 3.49854E-02 1.00204E-02 9.89783E-01 -1.57094E-03 + 7.99327E-04 2.78743E-04 3.64160E+01 -1.60334E+02 -1.96330E+02 + -2.90155E+02 -3.21376E+01 -4.36488E+01 -3.33132E+01 -4.42686E+01 + 3.31089E-02 8.35988E-03 3.55240E-02 1.02592E-02 9.89807E-01 + -1.56321E-03 8.39967E-04 2.96764E-04 3.92486E+01 -1.59056E+02 + -1.75481E+02 -2.80749E+02 -2.86772E+01 -4.20876E+01 -2.97369E+01 + -4.26555E+01 3.35862E-02 8.57519E-03 3.60542E-02 1.04984E-02 + 9.89820E-01 -1.55393E-03 8.82333E-04 3.15877E-04 4.25767E+01 + -1.57528E+02 -1.55401E+02 -2.71531E+02 -2.53899E+01 -4.05782E+01 + -2.63450E+01 -4.10982E+01 3.40440E-02 8.78540E-03 3.65654E-02 + 1.07331E-02 9.89851E-01 -1.54156E-03 9.26510E-04 3.36160E-04 + 4.63386E+01 -1.55770E+02 -1.36071E+02 -2.62496E+02 -2.22597E+01 + -3.91153E+01 -2.31218E+01 -3.95915E+01 3.44931E-02 8.99529E-03 + 3.70658E-02 1.09670E-02 9.89894E-01 -1.52300E-03 9.72514E-04 + 3.57662E-04 5.04932E+01 -1.53792E+02 -1.17454E+02 -2.53635E+02 + -1.92863E+01 -3.76999E+01 -2.00627E+01 -3.81355E+01 3.49287E-02 + 9.20263E-03 3.75509E-02 1.11979E-02 9.89931E-01 -1.50290E-03 + 1.02042E-03 3.80462E-04 5.49407E+01 -1.51636E+02 -9.95915E+01 + -2.44974E+02 -1.64573E+01 -3.63283E+01 -1.71559E+01 -3.67262E+01 + 3.53513E-02 9.40750E-03 3.80205E-02 1.14256E-02 9.89981E-01 + -1.47971E-03 1.07024E-03 4.04620E-04 5.96336E+01 -1.49318E+02 + -8.24712E+01 -2.36515E+02 -1.37716E+01 -3.50013E+01 -1.44012E+01 + -3.53649E+01 3.57600E-02 9.60947E-03 3.84736E-02 1.16495E-02 + 9.90033E-01 -1.45188E-03 1.12207E-03 4.30229E-04 6.45308E+01 + -1.46850E+02 -6.60492E+01 -2.28242E+02 -1.12205E+01 -3.37163E+01 + -1.17863E+01 -3.40479E+01 3.61528E-02 9.80734E-03 3.89067E-02 + 1.18676E-02 9.90095E-01 -1.42250E-03 1.17591E-03 4.57349E-04 + 6.95741E+01 -1.44260E+02 -5.03637E+01 -2.20185E+02 -8.79868E+00 + -3.24723E+01 -9.30812E+00 -3.27748E+01 3.65345E-02 1.00034E-02 + 3.93257E-02 1.20828E-02 9.90163E-01 -1.38695E-03 1.23185E-03 + 4.86082E-04 7.47352E+01 -1.41555E+02 -3.53521E+01 -2.12320E+02 + -6.50066E+00 -3.12680E+01 -6.95784E+00 -3.15433E+01 3.68992E-02 + 1.01946E-02 3.97233E-02 1.22912E-02 9.90237E-01 -1.34828E-03 + 1.28989E-03 5.16496E-04 7.99633E+01 -1.38759E+02 -2.10342E+01 + -2.04661E+02 -4.31965E+00 -3.01015E+01 -4.73072E+00 -3.03521E+01 + 3.72478E-02 1.03809E-02 4.01002E-02 1.24928E-02 9.90312E-01 + -1.30808E-03 1.35009E-03 5.48699E-04 8.52357E+01 -1.35880E+02 + -7.38137E+00 -1.97206E+02 -2.25324E+00 -2.89730E+01 -2.62275E+00 + -2.92008E+01 3.75910E-02 1.05684E-02 4.04671E-02 1.26931E-02 + 9.90404E-01 -1.25860E-03 1.41252E-03 5.82790E-04 9.05129E+01 + -1.32936E+02 5.61757E+00 -1.89953E+02 -2.94495E-01 -2.78803E+01 + -6.26000E-01 -2.80870E+01 3.79075E-02 1.07450E-02 4.08032E-02 + 1.28806E-02 9.90485E-01 -1.21376E-03 1.47718E-03 6.18858E-04 + 9.57805E+01 -1.29932E+02 1.80038E+01 -1.82892E+02 1.56233E+00 + -2.68217E+01 1.26486E+00 -2.70089E+01 3.82176E-02 1.09218E-02 + 4.11271E-02 1.30653E-02 9.90581E-01 -1.15810E-03 1.54411E-03 + 6.57017E-04 1.00990E+02 -1.26896E+02 2.97472E+01 -1.76046E+02 + 3.31960E+00 -2.57974E+01 3.05261E+00 -2.59669E+01 3.84957E-02 + 1.10839E-02 4.14151E-02 1.32332E-02 9.90676E-01 -1.10398E-03 + 1.61337E-03 6.97395E-04 1.06156E+02 -1.23815E+02 4.09253E+01 + -1.69380E+02 4.98326E+00 -2.48053E+01 4.74378E+00 -2.49583E+01 + 3.87706E-02 1.12478E-02 4.16940E-02 1.33995E-02 9.90779E-01 + -1.04214E-03 1.68496E-03 7.40085E-04 1.11240E+02 -1.20712E+02 + 5.15176E+01 -1.62915E+02 6.55647E+00 -2.38451E+01 6.34134E+00 + -2.39833E+01 3.90478E-02 1.14169E-02 4.19698E-02 1.35678E-02 + 9.90877E-01 -9.80289E-04 1.75895E-03 7.85241E-04 1.16219E+02 + -1.17600E+02 6.15550E+01 -1.56641E+02 8.04418E+00 -2.29152E+01 + 7.85122E+00 -2.30395E+01 3.92819E-02 1.15633E-02 4.21981E-02 + 1.37106E-02 9.90986E-01 -9.13803E-04 1.83532E-03 8.32982E-04 + 1.21098E+02 -1.14475E+02 7.10588E+01 -1.50554E+02 9.44976E+00 + -2.20148E+01 9.27679E+00 -2.21264E+01 3.95145E-02 1.17123E-02 + 4.24202E-02 1.38528E-02 9.91097E-01 -8.42678E-04 1.91412E-03 + 8.83451E-04 1.25844E+02 -1.11359E+02 8.00358E+01 -1.44659E+02 + 1.07777E+01 -2.11427E+01 1.06222E+01 -2.12430E+01 3.97118E-02 + 1.18418E-02 4.26022E-02 1.39723E-02 9.91208E-01 -7.70011E-04 + 1.99537E-03 9.36808E-04 1.30470E+02 -1.08241E+02 8.85282E+01 + -1.38937E+02 1.20302E+01 -2.02989E+01 1.18905E+01 -2.03883E+01 + 3.99114E-02 1.19764E-02 4.27818E-02 1.40933E-02 9.91320E-01 + -6.92696E-04 2.07906E-03 9.93198E-04 1.34952E+02 -1.05141E+02 + 9.65342E+01 -1.33399E+02 1.32126E+01 -1.94810E+01 1.30872E+01 + -1.95606E+01 4.00941E-02 1.21027E-02 4.29401E-02 1.42029E-02 + 9.91434E-01 -6.15387E-04 2.16522E-03 1.05280E-03 1.39288E+02 + -1.02059E+02 1.04090E+02 -1.28029E+02 1.43265E+01 -1.86893E+01 + 1.42133E+01 -1.87602E+01 4.02560E-02 1.22178E-02 4.30730E-02 + 1.42973E-02 9.91552E-01 -5.33438E-04 2.25385E-03 1.11579E-03 + 1.43469E+02 -9.90041E+01 1.11196E+02 -1.22837E+02 1.53753E+01 + -1.79230E+01 1.52735E+01 -1.79856E+01 4.04048E-02 1.23265E-02 + 4.31894E-02 1.43823E-02 9.91669E-01 -4.46851E-04 2.34496E-03 + 1.18236E-03 1.47501E+02 -9.59729E+01 1.17885E+02 -1.17807E+02 + 1.63630E+01 -1.71804E+01 1.62710E+01 -1.72355E+01 4.05363E-02 + 1.24254E-02 4.32848E-02 1.44541E-02 9.91780E-01 -3.61810E-04 + 2.43851E-03 1.25270E-03 1.51368E+02 -9.29781E+01 1.24165E+02 + -1.12944E+02 1.72918E+01 -1.64611E+01 1.72087E+01 -1.65094E+01 + 4.06560E-02 1.25181E-02 4.33651E-02 1.45162E-02 9.91895E-01 + -2.72131E-04 2.53452E-03 1.32704E-03 1.55075E+02 -9.00197E+01 + 1.30061E+02 -1.08238E+02 1.81639E+01 -1.57651E+01 1.80887E+01 + -1.58070E+01 4.07560E-02 1.25979E-02 4.34224E-02 1.45620E-02 + 9.92012E-01 -1.79359E-04 2.63293E-03 1.40559E-03 1.58621E+02 + -8.70993E+01 1.35589E+02 -1.03687E+02 1.89831E+01 -1.50904E+01 + 1.89149E+01 -1.51267E+01 4.08495E-02 1.26748E-02 4.34706E-02 + 1.46017E-02 9.92124E-01 -8.65870E-05 2.73374E-03 1.48860E-03 + 1.62003E+02 -8.42232E+01 1.40758E+02 -9.92899E+01 1.97510E+01 + -1.44373E+01 1.96889E+01 -1.44684E+01 4.09216E-02 1.27362E-02 + 4.34954E-02 1.46228E-02 9.92244E-01 1.23696E-05 2.83691E-03 + 1.57635E-03 1.65222E+02 -8.13919E+01 1.45591E+02 -9.50401E+01 + 2.04706E+01 -1.38046E+01 2.04137E+01 -1.38311E+01 4.09827E-02 + 1.27900E-02 4.35072E-02 1.46332E-02 9.92349E-01 1.05142E-04 + 2.94238E-03 1.66909E-03 1.68278E+02 -7.86107E+01 1.50103E+02 + -9.09332E+01 2.11439E+01 -1.31918E+01 2.10918E+01 -1.32139E+01 + 4.10307E-02 1.28336E-02 4.35039E-02 1.46301E-02 9.92455E-01 + 2.04098E-04 3.05006E-03 1.76710E-03 1.71176E+02 -7.58772E+01 + 1.54308E+02 -8.69674E+01 2.17730E+01 -1.25984E+01 2.17252E+01 + -1.26164E+01 4.10673E-02 1.28682E-02 4.34884E-02 1.46155E-02 + 9.92563E-01 3.04601E-04 3.15993E-03 1.87074E-03 1.73916E+02 + -7.31951E+01 1.58218E+02 -8.31402E+01 2.23603E+01 -1.20234E+01 + 2.23161E+01 -1.20380E+01 4.10898E-02 1.28902E-02 4.34581E-02 + 1.45859E-02 9.92663E-01 4.03557E-04 3.27185E-03 1.98029E-03 + 1.76497E+02 -7.05691E+01 1.61852E+02 -7.94432E+01 2.29080E+01 + -1.14664E+01 2.28669E+01 -1.14778E+01 4.11029E-02 1.29035E-02 + 4.34175E-02 1.45445E-02 9.92762E-01 5.04060E-04 3.38576E-03 + 2.09617E-03 1.78929E+02 -6.79951E+01 1.65220E+02 -7.58780E+01 + 2.34169E+01 -1.09275E+01 2.33787E+01 -1.09358E+01 4.11027E-02 + 1.29033E-02 4.33638E-02 1.44877E-02 9.92860E-01 6.07656E-04 + 3.50148E-03 2.21869E-03 1.81210E+02 -6.54772E+01 1.68337E+02 + -7.24362E+01 2.38906E+01 -1.04046E+01 2.38547E+01 -1.04104E+01 + 4.10961E-02 1.28960E-02 4.33038E-02 1.44215E-02 9.92948E-01 + 7.03520E-04 3.61892E-03 2.34831E-03 1.83344E+02 -6.30168E+01 + 1.71216E+02 -6.91176E+01 2.43290E+01 -9.89923E+00 2.42953E+01 + -9.90249E+00 4.10771E-02 1.28740E-02 4.32319E-02 1.43386E-02 + 9.93037E-01 8.07115E-04 3.73786E-03 2.48545E-03 1.85335E+02 + -6.06149E+01 1.73865E+02 -6.59213E+01 2.44848E+01 -9.71121E+00 + 2.44529E+01 -9.71230E+00 4.10512E-02 1.28429E-02 4.31543E-02 + 1.42450E-02 9.93119E-01 9.06072E-04 3.85816E-03 2.63058E-03 + 1.87188E+02 -5.82696E+01 1.76303E+02 -6.28375E+01 2.45123E+01 + -9.67642E+00 2.44819E+01 -9.67568E+00 4.10162E-02 1.27986E-02 + 4.30684E-02 1.41363E-02 9.93198E-01 1.00503E-03 3.97958E-03 + 2.78422E-03 1.88908E+02 -5.59825E+01 1.78536E+02 -5.98664E+01 + 2.48546E+01 -9.22112E+00 2.48255E+01 -9.21850E+00 4.09750E-02 + 1.27437E-02 4.29779E-02 1.40159E-02 9.93272E-01 1.10398E-03 + 4.10183E-03 2.94685E-03 1.90496E+02 -5.37556E+01 1.80575E+02 + -5.70073E+01 2.51687E+01 -8.78072E+00 2.51407E+01 -8.77657E+00 + 4.09267E-02 1.26761E-02 4.28823E-02 1.38818E-02 9.93342E-01 + 1.20140E-03 4.22471E-03 3.11914E-03 1.91958E+02 -5.15877E+01 + 1.82434E+02 -5.42524E+01 2.54560E+01 -8.35491E+00 2.54289E+01 + -8.34942E+00 4.08738E-02 1.25975E-02 4.27835E-02 1.37354E-02 + 9.93405E-01 1.29571E-03 4.34781E-03 3.30160E-03 1.93301E+02 + -4.94763E+01 1.84121E+02 -5.15999E+01 2.57173E+01 -7.94399E+00 + 2.56912E+01 -7.93711E+00 4.08160E-02 1.25067E-02 4.26823E-02 + 1.35764E-02 9.93464E-01 1.38849E-03 4.47080E-03 3.49494E-03 + 1.94525E+02 -4.74288E+01 1.85644E+02 -4.90517E+01 2.59546E+01 + -7.54698E+00 2.59290E+01 -7.53911E+00 4.07549E-02 1.24045E-02 + 4.25800E-02 1.34052E-02 9.93518E-01 1.48125E-03 4.59328E-03 + 3.69992E-03 1.95639E+02 -4.54352E+01 1.87015E+02 -4.65982E+01 + 2.61690E+01 -7.16342E+00 2.61440E+01 -7.15446E+00 4.06900E-02 + 1.22883E-02 4.24766E-02 1.32201E-02 9.93569E-01 1.56940E-03 + 4.71477E-03 3.91724E-03 1.96644E+02 -4.35044E+01 1.88242E+02 + -4.42402E+01 2.63616E+01 -6.79346E+00 2.63370E+01 -6.78367E+00 + 4.06238E-02 1.21613E-02 4.23743E-02 1.30235E-02 9.93613E-01 + 1.65599E-03 4.83472E-03 4.14771E-03 1.97547E+02 -4.16308E+01 + 1.89334E+02 -4.19756E+01 2.65338E+01 -6.43610E+00 2.65096E+01 + -6.42556E+00 4.05566E-02 1.20218E-02 4.22738E-02 1.28150E-02 + 9.93654E-01 1.73946E-03 4.95255E-03 4.39224E-03 1.98353E+02 + -3.98141E+01 1.90298E+02 -3.98006E+01 2.66866E+01 -6.09136E+00 + 2.66627E+01 -6.08018E+00 4.04887E-02 1.18686E-02 4.21754E-02 + 1.25930E-02 9.93690E-01 1.82143E-03 5.06760E-03 4.65173E-03 + 1.99064E+02 -3.80561E+01 1.91144E+02 -3.77098E+01 2.68211E+01 + -5.75899E+00 2.67975E+01 -5.74722E+00 4.04218E-02 1.17033E-02 + 4.20806E-02 1.23589E-02 9.93720E-01 1.89717E-03 5.17913E-03 + 4.92725E-03 1.99687E+02 -3.63565E+01 1.91878E+02 -3.57073E+01 + 2.69386E+01 -5.43834E+00 2.69152E+01 -5.42597E+00 4.03575E-02 + 1.15278E-02 4.19915E-02 1.21157E-02 9.93748E-01 1.97141E-03 + 5.28628E-03 5.21979E-03 2.00226E+02 -3.47126E+01 1.92507E+02 + -3.37860E+01 2.70399E+01 -5.12924E+00 2.70165E+01 -5.11662E+00 + 4.02947E-02 1.13361E-02 4.19067E-02 1.18567E-02 9.93771E-01 + 2.04251E-03 5.38814E-03 5.53063E-03 2.00685E+02 -3.31245E+01 + 1.93040E+02 -3.19450E+01 2.71260E+01 -4.83159E+00 2.71027E+01 + -4.81848E+00 4.02364E-02 1.11345E-02 4.18294E-02 1.15894E-02 + 9.93791E-01 2.11057E-03 5.48364E-03 5.86087E-03 2.01069E+02 + -3.15907E+01 1.93482E+02 -3.01804E+01 2.71978E+01 -4.54485E+00 + 2.71746E+01 -4.53154E+00 4.01821E-02 1.09177E-02 4.17587E-02 + 1.13076E-02 9.93806E-01 2.17239E-03 5.57163E-03 6.21199E-03 + 2.01382E+02 -3.01151E+01 1.93840E+02 -2.84937E+01 2.72564E+01 + -4.26877E+00 2.72332E+01 -4.25521E+00 4.01335E-02 1.06886E-02 + 4.16966E-02 1.10146E-02 9.93820E-01 2.23427E-03 5.65079E-03 + 6.58527E-03 2.01629E+02 -2.86901E+01 1.94120E+02 -2.68780E+01 + 2.73024E+01 -4.00327E+00 2.72794E+01 -3.98951E+00 4.00914E-02 + 1.04460E-02 4.16437E-02 1.07097E-02 9.93829E-01 2.28836E-03 + 5.71969E-03 6.98237E-03 2.01814E+02 -2.73197E+01 1.94328E+02 + -2.53346E+01 2.73369E+01 -3.74771E+00 2.73139E+01 -3.73380E+00 + 4.00568E-02 1.01900E-02 4.16009E-02 1.03926E-02 9.93836E-01 + 2.34250E-03 5.77672E-03 7.40495E-03 2.01941E+02 -2.60036E+01 + 1.94470E+02 -2.38614E+01 2.73606E+01 -3.50205E+00 2.73376E+01 + -3.48804E+00 4.00305E-02 9.91718E-03 4.15688E-02 1.00600E-02 + 9.93840E-01 2.38580E-03 5.82008E-03 7.85463E-03 2.02015E+02 + -2.47350E+01 1.94552E+02 -2.24543E+01 2.73743E+01 -3.26624E+00 + 2.73512E+01 -3.25224E+00 4.00147E-02 9.64386E-03 4.15497E-02 + 9.72977E-03 9.93843E-01 2.43215E-03 5.84779E-03 8.33349E-03 + 2.02038E+02 -2.35202E+01 1.94578E+02 -2.11119E+01 2.73786E+01 + -3.03942E+00 2.73556E+01 -3.02542E+00 4.00090E-02 9.34721E-03 + 4.15429E-02 9.37688E-03 9.93844E-01 2.47084E-03 5.85764E-03 + 8.84343E-03 2.02018E+02 -2.24796E+01 1.94556E+02 -1.99590E+01 + 2.73745E+01 -2.82218E+00 2.73514E+01 -2.80803E+00 4.00265E-02 + 8.43639E-03 4.15619E-02 8.39010E-03 9.93843E-01 2.50173E-03 + 5.84719E-03 9.38675E-03 2.01954E+02 -2.13779E+01 1.94486E+02 + -1.87571E+01 2.73624E+01 -2.61346E+00 2.73394E+01 -2.59951E+00 + 4.00496E-02 8.03699E-03 4.15881E-02 7.93610E-03 9.93841E-01 + 2.53114E-03 5.81374E-03 9.96567E-03 2.01852E+02 -2.03204E+01 + 1.94376E+02 -1.76130E+01 2.73431E+01 -2.41349E+00 2.73201E+01 + -2.39958E+00 4.00873E-02 7.64503E-03 4.16308E-02 7.49283E-03 + 9.93839E-01 2.56049E-03 5.75428E-03 1.05829E-02 2.01715E+02 + -1.93038E+01 1.94228E+02 -1.65183E+01 2.73173E+01 -2.22194E+00 + 2.72943E+01 -2.20813E+00 4.01392E-02 7.26079E-03 4.16893E-02 + 7.05950E-03 9.93836E-01 2.58217E-03 5.66553E-03 1.12409E-02 + 2.01548E+02 -1.83365E+01 1.94049E+02 -1.54858E+01 2.72855E+01 + -2.03864E+00 2.72625E+01 -2.02489E+00 4.02115E-02 6.84343E-03 + 4.17698E-02 6.59507E-03 9.93833E-01 2.60069E-03 5.54382E-03 + 1.19427E-02 2.01353E+02 -1.74204E+01 1.93843E+02 -1.45111E+01 + 2.72483E+01 -1.86322E+00 2.72253E+01 -1.84966E+00 4.03061E-02 + 6.39714E-03 4.18742E-02 6.10288E-03 9.93829E-01 2.61618E-03 + 5.38509E-03 1.26915E-02 2.01134E+02 -1.65435E+01 1.93611E+02 + -1.35847E+01 2.72063E+01 -1.69536E+00 2.71833E+01 -1.68191E+00 + 4.04196E-02 5.94413E-03 4.19985E-02 5.60638E-03 9.93826E-01 + 2.62697E-03 5.18494E-03 1.34904E-02 2.00894E+02 -1.57128E+01 + 1.93359E+02 -1.27148E+01 2.71600E+01 -1.53537E+00 2.71371E+01 + -1.52211E+00 4.05560E-02 5.47222E-03 4.21468E-02 5.09359E-03 + 9.93824E-01 2.63164E-03 4.93842E-03 1.43432E-02 2.00635E+02 + -1.49235E+01 1.93090E+02 -1.18931E+01 2.71099E+01 -1.38228E+00 + 2.70870E+01 -1.36922E+00 4.07186E-02 4.97618E-03 4.23221E-02 + 4.55822E-03 9.93823E-01 2.63783E-03 4.64011E-03 1.52537E-02 + 2.00362E+02 -1.41784E+01 1.92807E+02 -1.11211E+01 2.70565E+01 + -1.23660E+00 2.70337E+01 -1.22369E+00 4.09067E-02 4.46249E-03 + 4.25239E-02 4.00724E-03 9.93824E-01 2.63625E-03 4.28411E-03 + 1.62259E-02 2.00005E+02 -1.32971E+01 1.92443E+02 -1.02211E+01 + 2.70003E+01 -1.09773E+00 2.69776E+01 -1.08502E+00 4.07729E-02 + 4.79285E-03 4.23935E-02 4.32967E-03 9.93822E-01 2.63938E-03 + 3.86379E-03 1.72644E-02 1.99592E+02 -1.23655E+01 1.92024E+02 + -9.27614E+00 2.69415E+01 -9.65118E-01 2.69190E+01 -9.52650E-01 + 4.04372E-02 5.55043E-03 4.20547E-02 5.09382E-03 9.93823E-01 + 2.63780E-03 3.37202E-03 1.83739E-02 1.99280E+02 -1.17173E+01 + 1.91708E+02 -8.62076E+00 2.68811E+01 -8.39652E-01 2.68586E+01 + -8.27381E-01 4.06537E-02 5.10103E-03 4.22855E-02 4.61475E-03 + 9.93828E-01 2.63010E-03 2.80070E-03 1.95596E-02 1.98961E+02 + -1.11037E+01 1.91387E+02 -8.00277E+00 2.68188E+01 -7.19954E-01 + 2.67964E+01 -7.07832E-01 4.08962E-02 4.63499E-03 4.25428E-02 + 4.12030E-03 9.93835E-01 2.61460E-03 2.14134E-03 2.08267E-02 + 1.98639E+02 -1.05271E+01 1.91067E+02 -7.43090E+00 2.67554E+01 + -6.06509E-01 2.67331E+01 -5.94733E-01 4.11705E-02 4.14432E-03 + 4.28313E-02 3.60411E-03 9.93843E-01 2.60227E-03 1.38427E-03 + 2.21812E-02 1.98314E+02 -9.98347E+00 1.90746E+02 -6.89407E+00 + 2.66911E+01 -4.98936E-01 2.66690E+01 -4.87358E-01 4.14650E-02 + 3.65123E-03 4.31404E-02 3.08687E-03 9.93854E-01 2.58213E-03 + 5.18818E-04 2.36297E-02 1.97992E+02 -9.47733E+00 1.90431E+02 + -6.39857E+00 2.66263E+01 -3.97016E-01 2.66043E+01 -3.85636E-01 + 4.18025E-02 3.12063E-03 4.34923E-02 2.53346E-03 9.93865E-01 + 2.56361E-03 -4.66278E-04 2.51786E-02 1.97673E+02 -9.00500E+00 + 1.90122E+02 -5.94013E+00 2.65613E+01 -3.00628E-01 2.65395E+01 + -2.89545E-01 4.21710E-02 2.57440E-03 4.38750E-02 1.96617E-03 + 9.93883E-01 2.53884E-03 -1.58383E-03 2.68352E-02 1.96940E+02 + -7.97595E+00 1.89401E+02 -4.92926E+00 2.64962E+01 -2.09394E-01 + 2.64745E+01 -1.98459E-01 4.04776E-02 4.94902E-03 4.21390E-02 + 4.40060E-03 9.93891E-01 2.52650E-03 -2.84792E-03 2.86079E-02 + 1.96591E+02 -7.51244E+00 1.89069E+02 -4.48791E+00 2.64314E+01 + -1.23202E-01 2.64100E+01 -1.12613E-01 4.07129E-02 4.63601E-03 + 4.23845E-02 4.07387E-03 9.93911E-01 2.50022E-03 -4.27328E-03 + 3.05041E-02 1.96262E+02 -7.09594E+00 1.88759E+02 -4.09480E+00 + 2.63674E+01 -4.21553E-02 2.63460E+01 -3.17154E-02 4.10318E-02 + 4.23250E-03 4.27150E-02 3.65567E-03 9.93933E-01 2.47235E-03 + -5.87701E-03 3.25336E-02 1.95941E+02 -6.70851E+00 1.88461E+02 + -3.73544E+00 2.63042E+01 3.40413E-02 2.62832E+01 4.41844E-02 + 4.13946E-02 3.79468E-03 4.30889E-02 3.20461E-03 9.93960E-01 + 2.43991E-03 -7.67726E-03 3.47059E-02 1.95631E+02 -6.35179E+00 + 1.88177E+02 -3.40765E+00 2.62421E+01 1.05685E-01 2.62212E+01 + 1.15581E-01 4.17931E-02 3.33532E-03 4.34983E-02 2.73250E-03 + 9.93985E-01 2.40896E-03 -9.69392E-03 3.70311E-02 1.95215E+02 + -5.89152E+00 1.87787E+02 -2.97822E+00 2.61810E+01 1.73175E-01 + 2.61603E+01 1.82823E-01 4.16337E-02 3.51115E-03 4.33351E-02 + 2.91276E-03 9.94018E-01 2.37497E-03 -1.19492E-02 3.95207E-02 + 1.94283E+02 -4.90466E+00 1.86888E+02 -2.02622E+00 2.61211E+01 + 2.36505E-01 2.61007E+01 2.45955E-01 3.88567E-02 6.45166E-03 + 4.04916E-02 5.92364E-03 9.94033E-01 2.35794E-03 -1.44667E-02 + 4.21863E-02 1.93963E+02 -4.58014E+00 1.86603E+02 -1.73611E+00 + 2.60632E+01 2.95437E-01 2.60431E+01 3.04640E-01 3.91287E-02 + 6.17497E-03 4.07715E-02 5.63882E-03 9.94075E-01 2.31622E-03 + -1.72730E-02 4.50413E-02 1.93655E+02 -4.27846E+00 1.86333E+02 + -1.47207E+00 2.60069E+01 3.50601E-01 2.59870E+01 3.59507E-01 + 3.94254E-02 5.88450E-03 4.10752E-02 5.34160E-03 9.94106E-01 + 2.28372E-03 -2.03967E-02 4.80992E-02 1.93356E+02 -3.99589E+00 + 1.86075E+02 -1.22826E+00 2.59524E+01 4.02014E-01 2.59327E+01 + 4.10771E-01 3.97384E-02 5.58925E-03 4.13944E-02 5.04044E-03 + 9.94149E-01 2.24200E-03 -2.38688E-02 5.13746E-02 1.93356E+02 + -3.99589E+00 1.86075E+02 -1.22826E+00 2.59524E+01 4.02014E-01 + 2.59327E+01 4.10771E-01 3.97384E-02 5.58925E-03 4.13944E-02 + 5.04044E-03 9.94149E-01 2.24200E-03 -2.38688E-02 5.13746E-02 + ECHO READ:EBINDA(IM),$LGN(GE(IM)/0,1/) + 1.56000E-03 1.69413E+02 2.69387E+01 + ECHO READ:($LGN(GMFP,GBR1,GBR2(I,IM)/0,1/),I=1,NGE) + 3.29480E-04 5.00737E-05 0.00000E+00 0.00000E+00 1.69154E-02 + 2.72188E-03 3.29480E-04 5.00737E-05 0.00000E+00 0.00000E+00 + 1.69154E-02 2.72188E-03 3.61130E-04 5.51972E-05 0.00000E+00 + 0.00000E+00 7.08556E-03 1.13064E-03 3.95886E-04 6.08575E-05 + 0.00000E+00 0.00000E+00 -4.67774E-03 -7.85092E-04 4.33526E-04 + 6.70248E-05 0.00000E+00 0.00000E+00 1.26673E-03 1.88893E-04 + 4.75087E-04 7.38760E-05 0.00000E+00 0.00000E+00 -5.65203E-03 + -9.51664E-04 5.19959E-04 8.13187E-05 0.00000E+00 0.00000E+00 + 8.31534E-03 1.36503E-03 5.69755E-04 8.96293E-05 0.00000E+00 + 0.00000E+00 1.49024E-03 2.25975E-04 6.23725E-04 9.86927E-05 + 0.00000E+00 0.00000E+00 9.78023E-03 1.61814E-03 6.83806E-04 + 1.08846E-04 0.00000E+00 0.00000E+00 -6.44430E-03 -1.12359E-03 + 7.48332E-04 1.19818E-04 0.00000E+00 0.00000E+00 6.31950E-03 + 1.04694E-03 8.23362E-04 1.32659E-04 0.00000E+00 0.00000E+00 + 2.17269E-03 3.37279E-04 9.49059E-04 1.54307E-04 0.00000E+00 + 0.00000E+00 7.58980E-03 1.27026E-03 1.04537E-03 1.71002E-04 + 0.00000E+00 0.00000E+00 3.00537E-03 4.75610E-04 1.15027E-03 + 1.89303E-04 0.00000E+00 0.00000E+00 1.46893E-02 2.51397E-03 + 1.26782E-03 2.09943E-04 0.00000E+00 0.00000E+00 -1.86800E-03 + -3.93418E-04 1.39547E-03 2.32504E-04 0.00000E+00 0.00000E+00 + 4.03999E-03 6.50803E-04 1.53579E-03 2.57470E-04 0.00000E+00 + 0.00000E+00 1.11451E-02 1.91491E-03 1.69063E-03 2.85201E-04 + 0.00000E+00 0.00000E+00 1.23253E-02 2.12628E-03 1.88376E-03 + 3.20021E-04 0.00000E+00 0.00000E+00 -1.72373E-03 -4.06710E-04 + 2.12151E-03 3.63176E-04 0.00000E+00 0.00000E+00 1.41998E-02 + 2.48358E-03 2.34396E-03 4.03827E-04 0.00000E+00 0.00000E+00 + 1.13785E-02 1.96801E-03 2.58905E-03 4.48921E-04 0.00000E+00 + 0.00000E+00 1.24490E-02 2.16498E-03 2.85962E-03 4.99046E-04 + 0.00000E+00 0.00000E+00 1.36095E-02 2.37997E-03 3.15723E-03 + 5.54561E-04 0.00000E+00 0.00000E+00 2.01274E-02 3.59581E-03 + 3.49161E-03 6.17372E-04 0.00000E+00 0.00000E+00 1.10540E-02 + 1.89146E-03 3.86281E-03 6.87587E-04 0.00000E+00 0.00000E+00 + 1.17947E-02 2.03158E-03 4.26518E-03 7.64237E-04 0.00000E+00 + 0.00000E+00 1.88902E-02 3.38324E-03 4.70961E-03 8.49502E-04 + 0.00000E+00 0.00000E+00 2.39661E-02 4.35707E-03 5.20220E-03 + 9.44685E-04 0.00000E+00 0.00000E+00 2.27079E-02 4.11394E-03 + 5.78717E-03 1.05853E-03 0.00000E+00 0.00000E+00 2.49132E-02 + 4.54315E-03 6.46440E-03 1.19130E-03 0.00000E+00 0.00000E+00 + 2.74394E-02 5.03839E-03 7.14719E-03 1.32614E-03 0.00000E+00 + 0.00000E+00 3.85607E-02 7.23461E-03 7.91001E-03 1.47789E-03 + 0.00000E+00 0.00000E+00 3.32626E-02 6.18062E-03 8.74616E-03 + 1.64547E-03 0.00000E+00 0.00000E+00 4.11053E-02 7.75243E-03 + 9.67593E-03 1.83320E-03 0.00000E+00 0.00000E+00 3.97559E-02 + 7.47996E-03 1.06963E-02 2.04080E-03 0.00000E+00 0.00000E+00 + 4.87788E-02 9.31562E-03 1.18265E-02 2.27248E-03 0.00000E+00 + 0.00000E+00 5.33135E-02 1.02452E-02 1.28751E-02 2.48908E-03 + 0.00000E+00 0.00000E+00 5.41636E-02 1.04208E-02 1.40849E-02 + 2.74092E-03 0.00000E+00 0.00000E+00 6.50151E-02 1.26796E-02 + 1.55350E-02 3.04511E-03 0.00000E+00 0.00000E+00 6.37777E-02 + 1.24201E-02 1.71064E-02 3.37734E-03 0.00000E+00 0.00000E+00 + 8.42178E-02 1.67417E-02 1.88725E-02 3.75370E-03 0.00000E+00 + 0.00000E+00 7.60577E-02 1.50027E-02 2.07788E-02 4.16318E-03 + 0.00000E+00 0.00000E+00 9.50687E-02 1.90863E-02 2.41194E-02 + 4.88650E-03 0.00000E+00 0.00000E+00 1.09055E-01 2.21146E-02 + 2.74712E-02 5.61815E-03 0.00000E+00 0.00000E+00 1.22818E-01 + 2.51190E-02 3.05282E-02 6.29087E-03 0.00000E+00 0.00000E+00 + 1.29677E-01 2.66282E-02 3.38809E-02 7.03475E-03 0.00000E+00 + 0.00000E+00 1.53050E-01 3.18142E-02 3.76384E-02 7.87538E-03 + 0.00000E+00 0.00000E+00 1.59209E-01 3.31920E-02 4.17513E-02 + 8.80321E-03 0.00000E+00 0.00000E+00 1.83680E-01 3.87125E-02 + 4.63353E-02 9.84606E-03 0.00000E+00 0.00000E+00 1.97983E-01 + 4.19664E-02 5.13666E-02 1.10004E-02 0.00000E+00 0.00000E+00 + 2.23853E-01 4.79018E-02 5.69134E-02 1.22840E-02 0.00000E+00 + 0.00000E+00 2.52840E-01 5.46095E-02 6.30998E-02 1.37279E-02 + 0.00000E+00 0.00000E+00 2.68812E-01 5.83377E-02 6.98216E-02 + 1.53106E-02 0.00000E+00 0.00000E+00 3.06867E-01 6.72977E-02 + 7.65787E-02 1.69156E-02 0.00000E+00 0.00000E+00 3.27849E-01 + 7.22816E-02 8.42871E-02 1.87628E-02 0.00000E+00 0.00000E+00 + 3.61157E-01 8.02635E-02 9.30116E-02 2.08723E-02 0.00000E+00 + 0.00000E+00 3.98788E-01 8.93623E-02 1.02557E-01 2.32011E-02 + 0.00000E+00 0.00000E+00 4.39835E-01 9.93768E-02 1.13015E-01 + 2.57760E-02 0.00000E+00 0.00000E+00 4.81568E-01 1.09652E-01 + 1.24432E-01 2.86129E-02 0.00000E+00 0.00000E+00 5.26560E-01 + 1.20832E-01 1.36794E-01 3.17134E-02 0.00000E+00 0.00000E+00 + 5.81579E-01 1.34630E-01 1.50347E-01 3.51444E-02 0.00000E+00 + 0.00000E+00 6.30885E-01 1.47112E-01 1.70238E-01 4.02275E-02 + 0.00000E+00 0.00000E+00 7.17202E-01 1.69170E-01 1.87371E-01 + 4.46477E-02 0.00000E+00 0.00000E+00 7.83279E-01 1.86219E-01 + 2.05684E-01 4.94182E-02 0.00000E+00 0.00000E+00 8.57210E-01 + 2.05477E-01 2.25360E-01 5.45939E-02 0.00000E+00 0.00000E+00 + 9.38370E-01 2.26825E-01 2.46451E-01 6.01963E-02 0.00000E+00 + 0.00000E+00 1.02493E+00 2.49820E-01 2.69152E-01 6.62865E-02 + 0.00000E+00 0.00000E+00 1.10958E+00 2.72528E-01 2.93123E-01 + 7.27820E-02 0.00000E+00 0.00000E+00 1.20565E+00 2.98562E-01 + 3.18635E-01 7.97655E-02 0.00000E+00 0.00000E+00 1.30117E+00 + 3.24707E-01 3.45317E-01 8.71442E-02 0.00000E+00 0.00000E+00 + 1.40558E+00 3.53580E-01 3.73184E-01 9.49302E-02 0.00000E+00 + 0.00000E+00 1.51352E+00 3.83741E-01 4.02419E-01 1.03184E-01 + 0.00000E+00 0.00000E+00 1.61857E+00 4.13399E-01 4.32920E-01 + 1.11887E-01 0.00000E+00 0.00000E+00 1.74063E+00 4.48227E-01 + 4.63728E-01 1.20772E-01 0.00000E+00 0.00000E+00 1.84966E+00 + 4.79670E-01 4.94683E-01 1.29795E-01 0.00000E+00 0.00000E+00 + 1.96231E+00 5.12506E-01 5.25683E-01 1.38931E-01 0.00000E+00 + 0.00000E+00 2.07282E+00 5.45073E-01 5.56528E-01 1.48121E-01 + 0.00000E+00 0.00000E+00 2.17804E+00 5.76424E-01 5.86277E-01 + 1.57084E-01 0.00000E+00 0.00000E+00 2.28274E+00 6.07969E-01 + 6.15396E-01 1.65956E-01 0.00000E+00 0.00000E+00 2.37571E+00 + 6.36296E-01 6.43533E-01 1.74627E-01 0.00000E+00 0.00000E+00 + 2.46897E+00 6.65034E-01 6.71437E-01 1.83326E-01 0.00000E+00 + 0.00000E+00 2.55646E+00 6.92309E-01 6.94799E-01 1.90694E-01 + 0.00000E+00 0.00000E+00 2.62314E+00 7.13340E-01 7.14984E-01 + 1.97136E-01 0.00000E+00 0.00000E+00 2.67995E+00 7.31471E-01 + 7.33321E-01 2.03058E-01 0.00000E+00 0.00000E+00 2.71667E+00 + 7.43328E-01 7.47431E-01 2.07670E-01 0.00000E+00 0.00000E+00 + 2.74494E+00 7.52570E-01 7.59609E-01 2.11700E-01 0.00000E+00 + 0.00000E+00 2.76249E+00 7.58377E-01 7.70470E-01 2.15338E-01 + 0.00000E+00 0.00000E+00 2.77165E+00 7.61446E-01 7.74535E-01 + 2.16717E-01 0.00000E+00 0.00000E+00 2.75556E+00 7.55988E-01 + 7.75558E-01 2.17068E-01 0.00000E+00 0.00000E+00 2.72505E+00 + 7.45506E-01 7.73596E-01 2.16385E-01 0.00000E+00 0.00000E+00 + 2.68200E+00 7.30527E-01 7.68903E-01 2.14731E-01 0.00000E+00 + 0.00000E+00 2.63200E+00 7.12898E-01 7.62381E-01 2.12401E-01 + 0.00000E+00 0.00000E+00 2.57310E+00 6.91857E-01 7.52935E-01 + 2.08982E-01 0.00000E+00 0.00000E+00 2.50139E+00 6.65896E-01 + 7.41490E-01 2.04782E-01 0.00000E+00 0.00000E+00 2.42419E+00 + 6.37568E-01 7.28396E-01 1.99911E-01 0.00000E+00 0.00000E+00 + 2.34304E+00 6.07382E-01 7.14717E-01 1.94751E-01 0.00000E+00 + 0.00000E+00 2.25865E+00 5.75548E-01 7.00436E-01 1.89287E-01 + 0.00000E+00 0.00000E+00 2.17315E+00 5.42835E-01 6.85588E-01 + 1.83525E-01 0.00000E+00 0.00000E+00 2.08810E+00 5.09830E-01 + 6.75832E-01 1.79684E-01 0.00000E+00 0.00000E+00 2.02130E+00 + 4.83527E-01 6.64048E-01 1.74975E-01 0.00000E+00 0.00000E+00 + 1.94636E+00 4.53578E-01 6.49079E-01 1.68903E-01 0.00000E+00 + 0.00000E+00 1.86448E+00 4.20364E-01 6.35096E-01 1.63144E-01 + 0.00000E+00 0.00000E+00 1.78630E+00 3.88170E-01 6.22179E-01 + 1.57742E-01 0.00000E+00 0.00000E+00 1.71240E+00 3.57269E-01 + 6.10274E-01 1.52685E-01 0.00000E+00 0.00000E+00 1.64321E+00 + 3.27870E-01 5.98510E-01 1.47607E-01 0.00000E+00 0.00000E+00 + 1.57699E+00 2.99288E-01 5.88627E-01 1.43272E-01 0.00000E+00 + 0.00000E+00 1.51669E+00 2.72839E-01 5.79688E-01 1.39286E-01 + 0.00000E+00 0.00000E+00 1.46272E+00 2.48775E-01 5.72260E-01 + 1.35918E-01 0.00000E+00 0.00000E+00 1.41322E+00 2.26332E-01 + 5.65619E-01 1.32855E-01 0.00000E+00 0.00000E+00 1.36825E+00 + 2.05590E-01 5.60069E-01 1.30251E-01 0.00000E+00 0.00000E+00 + 1.32745E+00 1.86447E-01 5.55479E-01 1.28060E-01 0.00000E+00 + 0.00000E+00 1.29059E+00 1.68849E-01 5.51680E-01 1.26213E-01 + 0.00000E+00 0.00000E+00 1.25744E+00 1.52732E-01 5.48644E-01 + 1.24709E-01 0.00000E+00 0.00000E+00 1.22770E+00 1.38008E-01 + 5.46609E-01 1.23683E-01 0.00000E+00 0.00000E+00 1.20104E+00 + 1.24562E-01 5.45044E-01 1.22879E-01 0.00000E+00 0.00000E+00 + 1.17728E+00 1.12347E-01 5.41979E-01 1.21272E-01 0.00000E+00 + 0.00000E+00 1.14907E+00 9.75687E-02 5.41173E-01 1.20843E-01 + 0.00000E+00 0.00000E+00 1.12880E+00 8.67368E-02 5.41972E-01 + 1.21278E-01 0.00000E+00 0.00000E+00 1.11372E+00 7.85158E-02 + 5.43096E-01 1.21903E-01 0.00000E+00 0.00000E+00 1.10029E+00 + 7.10430E-02 5.44699E-01 1.22814E-01 0.00000E+00 0.00000E+00 + 1.08834E+00 6.42558E-02 5.46536E-01 1.23880E-01 0.00000E+00 + 0.00000E+00 1.07774E+00 5.81013E-02 5.48637E-01 1.25127E-01 + 0.00000E+00 0.00000E+00 1.06834E+00 5.25241E-02 5.51088E-01 + 1.26614E-01 0.00000E+00 0.00000E+00 1.06000E+00 4.74669E-02 + 5.53443E-01 1.28074E-01 0.00000E+00 0.00000E+00 1.05187E+00 + 4.24219E-02 5.56311E-01 1.29896E-01 0.00000E+00 0.00000E+00 + 1.04545E+00 3.83435E-02 5.59410E-01 1.31912E-01 0.00000E+00 + 0.00000E+00 1.03981E+00 3.46777E-02 5.62592E-01 1.34033E-01 + 0.00000E+00 0.00000E+00 1.03484E+00 3.13620E-02 5.65956E-01 + 1.36333E-01 0.00000E+00 0.00000E+00 1.03045E+00 2.83610E-02 + 5.69376E-01 1.38731E-01 0.00000E+00 0.00000E+00 1.02657E+00 + 2.56426E-02 5.72938E-01 1.41295E-01 0.00000E+00 0.00000E+00 + 1.02316E+00 2.31891E-02 5.76557E-01 1.43973E-01 0.00000E+00 + 0.00000E+00 1.02016E+00 2.09669E-02 5.80235E-01 1.46771E-01 + 0.00000E+00 0.00000E+00 1.01752E+00 1.89582E-02 5.83976E-01 + 1.49699E-01 0.00000E+00 0.00000E+00 1.01520E+00 1.71435E-02 + 5.87744E-01 1.52737E-01 0.00000E+00 0.00000E+00 1.01315E+00 + 1.54915E-02 5.91311E-01 1.55702E-01 0.00000E+00 0.00000E+00 + 1.01082E+00 1.35519E-02 5.95179E-01 1.59018E-01 0.00000E+00 + 0.00000E+00 1.00935E+00 1.22962E-02 5.99048E-01 1.62446E-01 + 0.00000E+00 0.00000E+00 1.00807E+00 1.11594E-02 6.02892E-01 + 1.65966E-01 0.00000E+00 0.00000E+00 1.00695E+00 1.01302E-02 + 6.06755E-01 1.69628E-01 0.00000E+00 0.00000E+00 1.00596E+00 + 9.19087E-03 6.10589E-01 1.73396E-01 0.00000E+00 0.00000E+00 + 1.00510E+00 8.34629E-03 6.14388E-01 1.77270E-01 0.00000E+00 + 0.00000E+00 1.00434E+00 7.57556E-03 6.18102E-01 1.81207E-01 + 0.00000E+00 0.00000E+00 1.00354E+00 6.72295E-03 6.21686E-01 + 1.85162E-01 0.00000E+00 0.00000E+00 1.00264E+00 5.73707E-03 + 6.25419E-01 1.89457E-01 0.00000E+00 0.00000E+00 1.00222E+00 + 5.24894E-03 6.29084E-01 1.93862E-01 0.00000E+00 0.00000E+00 + 1.00185E+00 4.80572E-03 6.32702E-01 1.98415E-01 0.00000E+00 + 0.00000E+00 1.00153E+00 4.40275E-03 6.36235E-01 2.03077E-01 + 0.00000E+00 0.00000E+00 1.00125E+00 4.03024E-03 6.39694E-01 + 2.07877E-01 0.00000E+00 0.00000E+00 1.00096E+00 3.63203E-03 + 6.43020E-01 2.12743E-01 0.00000E+00 0.00000E+00 1.00064E+00 + 3.16317E-03 6.46335E-01 2.17869E-01 0.00000E+00 0.00000E+00 + 1.00048E+00 2.91430E-03 6.49545E-01 2.23139E-01 0.00000E+00 + 0.00000E+00 1.00034E+00 2.68629E-03 6.52660E-01 2.28584E-01 + 0.00000E+00 0.00000E+00 1.00022E+00 2.47595E-03 6.55623E-01 + 2.34120E-01 0.00000E+00 0.00000E+00 1.00006E+00 2.17569E-03 + 6.58452E-01 2.39802E-01 0.00000E+00 0.00000E+00 9.99885E-01 + 1.82565E-03 6.61202E-01 2.45769E-01 0.00000E+00 0.00000E+00 + 9.99828E-01 1.70362E-03 6.63810E-01 2.51927E-01 0.00000E+00 + 0.00000E+00 9.99780E-01 1.58962E-03 6.66257E-01 2.58256E-01 + 0.00000E+00 0.00000E+00 9.99738E-01 1.47881E-03 6.68547E-01 + 2.64809E-01 0.00000E+00 0.00000E+00 9.99703E-01 1.37927E-03 + 6.70653E-01 2.71551E-01 0.00000E+00 0.00000E+00 9.99674E-01 + 1.28614E-03 6.72569E-01 2.78515E-01 0.00000E+00 0.00000E+00 + 9.99650E-01 1.19944E-03 6.74272E-01 2.85668E-01 0.00000E+00 + 0.00000E+00 9.99619E-01 1.06938E-03 6.75758E-01 2.93061E-01 + 0.00000E+00 0.00000E+00 9.99599E-01 9.69826E-04 6.77012E-01 + 3.00720E-01 0.00000E+00 0.00000E+00 9.99589E-01 9.08811E-04 + 6.78014E-01 3.08623E-01 0.00000E+00 0.00000E+00 9.99582E-01 + 8.51006E-04 6.78744E-01 3.16778E-01 0.00000E+00 0.00000E+00 + 9.99577E-01 7.98019E-04 6.79186E-01 3.25200E-01 0.00000E+00 + 0.00000E+00 9.99575E-01 7.51455E-04 6.79436E-01 3.41499E-01 + 0.00000E+00 0.00000E+00 9.99744E-01 1.17696E-02 6.79528E-01 + 3.37307E-01 -1.63458E-04 7.50626E-03 1.00000E+00 1.60565E-06 + 6.79004E-01 3.46199E-01 -1.79526E-04 7.77907E-03 1.00000E+00 + -1.60567E-06 6.78123E-01 3.55371E-01 -2.07055E-04 8.06578E-03 + 1.00000E+00 0.00000E+00 6.76864E-01 3.64825E-01 -2.46749E-04 + 8.36391E-03 1.00000E+00 1.60567E-06 6.75207E-01 3.74560E-01 + -2.99521E-04 8.67386E-03 1.00000E+00 -1.60567E-06 6.73122E-01 + 3.84612E-01 -3.66372E-04 8.99622E-03 1.00000E+00 1.60567E-06 + 6.70592E-01 3.94960E-01 -4.48328E-04 9.33140E-03 1.00000E+00 + -1.60567E-06 6.67587E-01 4.05631E-01 -5.46496E-04 9.67999E-03 + 9.99999E-01 1.60567E-06 6.64082E-01 4.16627E-01 -6.62040E-04 + 1.00425E-02 1.00000E+00 0.00000E+00 6.60051E-01 4.27955E-01 + -7.96188E-04 1.04194E-02 1.00000E+00 0.00000E+00 6.61303E-01 + 4.24768E-01 -7.84299E-03 2.83507E-02 1.00000E+00 0.00000E+00 + 6.59993E-01 4.27814E-01 -1.21846E-02 3.84450E-02 1.00000E+00 + 0.00000E+00 6.55071E-01 4.38348E-01 -1.28508E-02 3.98708E-02 + 1.00000E+00 0.00000E+00 6.49630E-01 4.49137E-01 -1.35962E-02 + 4.13486E-02 1.00000E+00 0.00000E+00 6.43664E-01 4.60155E-01 + -1.44252E-02 4.28797E-02 1.00000E+00 0.00000E+00 6.37137E-01 + 4.71433E-01 -1.53430E-02 4.44658E-02 1.00000E+00 0.00000E+00 + 6.30043E-01 4.82956E-01 -1.63544E-02 4.61085E-02 1.00000E+00 + 0.00000E+00 6.22360E-01 4.94725E-01 -1.74646E-02 4.78092E-02 + 1.00000E+00 0.00000E+00 6.34022E-01 4.77821E-01 -3.86361E-02 + 7.84942E-02 1.00000E+00 0.00000E+00 6.28769E-01 4.85046E-01 + -4.26560E-02 8.40230E-02 1.00000E+00 0.00000E+00 6.21172E-01 + 4.94989E-01 -4.49040E-02 8.69647E-02 1.00000E+00 0.00000E+00 + 6.13144E-01 5.05006E-01 -4.73289E-02 8.99908E-02 1.00000E+00 + -1.60567E-06 6.04680E-01 5.15103E-01 -4.99383E-02 9.31029E-02 + 9.99998E-01 1.60567E-06 5.95795E-01 5.25250E-01 -5.27387E-02 + 9.63014E-02 1.00000E+00 0.00000E+00 5.86482E-01 5.35456E-01 + -5.57369E-02 9.95863E-02 1.00000E+00 -1.60567E-06 5.76754E-01 + 5.45697E-01 -5.89392E-02 1.02958E-01 9.99998E-01 1.60567E-06 + 5.66626E-01 5.55961E-01 -6.23519E-02 1.06416E-01 1.00000E+00 + 0.00000E+00 5.56109E-01 5.66228E-01 -6.59808E-02 1.09959E-01 + 1.00000E+00 0.00000E+00 5.45219E-01 5.76491E-01 -6.98321E-02 + 1.13589E-01 1.00000E+00 -1.60567E-06 5.77095E-01 5.47467E-01 + -1.07703E-01 1.48070E-01 9.99997E-01 1.60567E-06 5.77095E-01 + 5.47467E-01 -1.07703E-01 1.48070E-01 9.99997E-01 1.60567E-06 + DATA FOR MEDIUM # 4, WHICH IS: MEDIUM=CD+TE-3MEV-10KEV-02KEV ,STERNCID=CD+TE-3MEV-10KEV-02KEV + COMP,RHO= 6.060 ,NE= 2,COMPOSITION IS : + ASYM=CD,Z=48.,A= 112.400,PZ= 1.00000E+00,RHOZ= 1.12400E+02 + ASYM=TE,Z=52.,A= 127.600,PZ= 1.00000E+00,RHOZ= 1.27600E+02 + ECHO READ:$LGN(RLC,AE,AP,UE,UP(IM)) + 1.46952E+00 5.21000E-01 2.00000E-03 3.51100E+00 3.00200E+00 + ECHO READ:$LGN(MSGE,MGE,MSEKE,MEKE,MLEKE,MCMFP,MRANGE(IM)),IRAYL + 0 200 0 150 0 0 0 0 + ECHO READ:($LGN(DL(I,IM)/1,2,3,4,5,6/),I=1,6) + 9.99979E-01 -2.43973E-01 5.59862E-02 9.95222E-01 -2.61874E-01 + 9.52000E-01 1.00026E+00 -2.07238E-01 3.99519E-02 1.01643E+00 + -2.67454E-01 9.52000E-01 1.00040E+00 -1.88277E-01 2.88974E-02 + 1.02737E+00 -2.70334E-01 9.52000E-01 9.99978E-01 -2.53143E-01 + 5.80904E-02 9.95043E-01 -2.71716E-01 9.52000E-01 1.00027E+00 + -2.15200E-01 4.14867E-02 1.01706E+00 -2.77728E-01 9.52000E-01 + 1.00041E+00 -1.95591E-01 3.00200E-02 1.02844E+00 -2.80835E-01 + 9.52000E-01 + ECHO READ:DELCM(IM),($LGN(ALPHI,BPAR, DELPOS(I,IM)),I=1,2) + 1.88496E+01 9.43889E-01 8.53205E-01 2.32189E+00 9.44645E-01 + 8.53053E-01 2.01541E+00 + ECHO READ:$LGN(XR0,TEFF0,BLCC,XCC(IM)) + 2.03649E-01 3.09893E-04 6.45506E+04 5.45344E+00 + ECHO READ:$LGN(EKE(IM)/0,1/) + 1.21501E+02 2.59409E+01 + ECHO READ:($LGN(ESIG,PSIG,EDEDX,PDEDX,EBR1,PBR1,PBR2, TMXS(I,IM)/0,1/),I=1,NEKE) + 1.19349E+01 1.87802E+00 6.11107E+03 1.32545E+03 -1.79651E+02 + -5.89218E+01 -2.97656E+02 -8.78403E+01 1.00000E+00 0.00000E+00 + -5.73267E+01 -1.25624E+01 5.11443E+01 1.09879E+01 3.01050E-05 + 5.80003E-06 1.19349E+01 1.87802E+00 6.11107E+03 1.32545E+03 + -1.79651E+02 -5.89218E+01 -2.97656E+02 -8.78403E+01 1.00000E+00 + 0.00000E+00 -5.73267E+01 -1.25624E+01 5.11443E+01 1.09879E+01 + 3.01050E-05 5.80003E-06 1.18886E+01 1.86789E+00 5.43884E+03 + 1.17829E+03 -1.74201E+02 -5.77288E+01 -2.84928E+02 -8.50539E+01 + 1.00000E+00 0.00000E+00 -3.01394E+00 -6.72835E-01 3.65195E+00 + 5.91457E-01 3.18932E-05 6.19149E-06 1.18400E+01 1.85717E+00 + 4.82364E+03 1.04247E+03 -1.68783E+02 -5.65325E+01 -2.72592E+02 + -8.23306E+01 1.00000E+00 0.00000E+00 -1.02904E+00 -2.34625E-01 + 1.91696E+00 2.08422E-01 3.37996E-05 6.61237E-06 1.17940E+01 + 1.84692E+00 4.26905E+03 9.18986E+02 -1.63485E+02 -5.53528E+01 + -2.60805E+02 -7.97059E+01 1.00000E+00 0.00000E+00 -5.02757E-01 + -1.17440E-01 1.45751E+00 1.06115E-01 3.58288E-05 7.06419E-06 + 1.17448E+01 1.83587E+00 3.76702E+03 8.06232E+02 -1.58153E+02 + -5.41551E+01 -2.49314E+02 -7.71251E+01 1.00000E+00 0.00000E+00 + -2.87581E-01 -6.91124E-02 1.27009E+00 6.40222E-02 3.79692E-05 + 7.54492E-06 1.16981E+01 1.82529E+00 3.31515E+03 7.03858E+02 + -1.53022E+02 -5.29929E+01 -2.38433E+02 -7.46599E+01 1.00000E+00 + 0.00000E+00 -1.78846E-01 -4.44777E-02 1.17578E+00 4.26578E-02 + 4.02416E-05 8.05977E-06 1.16499E+01 1.81427E+00 2.90737E+03 + 6.10660E+02 -1.47936E+02 -5.18304E+01 -2.27922E+02 -7.22576E+01 + 1.00000E+00 0.00000E+00 -1.16155E-01 -3.01495E-02 1.12177E+00 + 3.03118E-02 4.26481E-05 8.60976E-06 1.16023E+01 1.80329E+00 + 2.54073E+03 5.26118E+02 -1.42902E+02 -5.06696E+01 -2.17772E+02 + -6.99172E+01 1.00000E+00 0.00000E+00 -7.66504E-02 -2.10405E-02 + 1.08806E+00 2.25388E-02 4.51933E-05 9.19664E-06 1.15573E+01 + 1.79282E+00 2.21121E+03 4.49454E+02 -1.38015E+02 -4.95326E+01 + -2.08095E+02 -6.76658E+01 1.00000E+00 0.00000E+00 -5.00224E-02 + -1.48454E-02 1.06563E+00 1.73206E-02 4.78964E-05 9.82551E-06 + 1.15237E+01 1.78494E+00 1.91540E+03 3.80012E+02 -1.33214E+02 + -4.84055E+01 -1.98786E+02 -6.54804E+01 1.00000E+00 0.00000E+00 + -3.10777E-02 -1.03980E-02 1.05000E+00 1.36497E-02 5.07550E-05 + 1.04966E-05 1.14808E+01 1.77478E+00 1.64987E+03 3.17106E+02 + -1.28503E+02 -4.72897E+01 -1.89836E+02 -6.33601E+01 1.00000E+00 + 0.00000E+00 -1.70472E-02 -7.07423E-03 1.03866E+00 1.09642E-02 + 5.37805E-05 1.12133E-05 1.14279E+01 1.76213E+00 1.41251E+03 + 2.60358E+02 -1.23890E+02 -4.61867E+01 -1.81241E+02 -6.13054E+01 + 1.00000E+00 0.00000E+00 -6.30688E-03 -4.50637E-03 1.03018E+00 + 8.93708E-03 5.69875E-05 1.19801E-05 1.13773E+01 1.74991E+00 + 1.20033E+03 2.09157E+02 -1.19404E+02 -4.51043E+01 -1.73023E+02 + -5.93223E+01 1.00000E+00 0.00000E+00 2.21578E-03 -2.44977E-03 + 1.02369E+00 7.37222E-03 6.03823E-05 1.27993E-05 1.13252E+01 + 1.73722E+00 1.01090E+03 1.63017E+02 -1.14980E+02 -4.40269E+01 + -1.65085E+02 -5.73887E+01 1.00000E+00 0.00000E+00 9.16340E-03 + -7.57521E-04 1.01862E+00 6.13380E-03 6.39712E-05 1.36734E-05 + 1.12740E+01 1.72464E+00 8.42377E+02 1.21581E+02 -1.10682E+02 + -4.29698E+01 -1.57491E+02 -5.55216E+01 1.00000E+00 0.00000E+00 + 1.49661E-02 6.69258E-04 1.01457E+00 5.14107E-03 6.77765E-05 + 1.46091E-05 1.12213E+01 1.71155E+00 6.92558E+02 8.43899E+01 + -1.06482E+02 -4.19272E+01 -1.50203E+02 -5.37124E+01 1.00000E+00 + 0.00000E+00 1.99236E-02 1.89988E-03 1.01127E+00 4.32319E-03 + 7.17930E-05 1.56062E-05 1.11706E+01 1.69885E+00 5.59658E+02 + 5.10798E+01 -1.02411E+02 -4.09069E+01 -1.43241E+02 -5.19673E+01 + 1.00000E+00 0.00000E+00 2.42560E-02 2.98573E-03 1.00859E+00 + 3.64903E-03 7.60490E-05 1.66728E-05 1.11163E+01 1.68512E+00 + 4.42341E+02 2.13897E+01 -9.84041E+01 -3.98929E+01 -1.36513E+02 + -5.02647E+01 1.00000E+00 0.00000E+00 2.80879E-02 3.95554E-03 + 1.00635E+00 3.08312E-03 8.05523E-05 1.78125E-05 2.77205E+03 + 7.07304E+02 3.38827E+02 -5.06500E+00 -1.22638E+02 -4.60864E+01 + -1.30071E+02 -4.86183E+01 -8.58539E+01 -2.21975E+01 3.15637E-02 + 4.84383E-03 1.00445E+00 2.59761E-03 8.53112E-05 1.90288E-05 + 2.31660E+03 5.89746E+02 2.47901E+02 -2.85343E+01 -1.15247E+02 + -4.41787E+01 -1.23922E+02 -4.70311E+01 -5.78503E+00 -1.53045E+00 + 3.47390E-02 5.66343E-03 1.00286E+00 2.18787E-03 9.03553E-05 + 2.03307E-05 1.95011E+03 4.94198E+02 1.68302E+02 -4.92867E+01 + -1.08618E+02 -4.24503E+01 -1.17984E+02 -4.54831E+01 -2.02680E+00 + -5.50648E-01 3.76960E-02 6.43435E-03 1.00148E+00 1.82915E-03 + 9.56694E-05 2.17161E-05 1.65324E+03 4.16015E+02 9.88046E+01 + -6.75898E+01 -1.02659E+02 -4.08811E+01 -1.12334E+02 -4.39951E+01 + -9.96384E-01 -2.79280E-01 4.04746E-02 7.16612E-03 1.00030E+00 + 1.51837E-03 1.01311E-04 2.32018E-05 1.40959E+03 3.51189E+02 + 3.84838E+01 -8.36381E+01 -9.71817E+01 -3.94238E+01 -1.06885E+02 + -4.25454E+01 -5.69993E-01 -1.65836E-01 4.31068E-02 7.86642E-03 + 9.99270E-01 1.24160E-03 1.07250E-04 2.47820E-05 1.20858E+03 + 2.97156E+02 -1.35341E+01 -9.76219E+01 -9.21421E+01 -3.80691E+01 + -1.01683E+02 -4.11469E+01 -3.53586E-01 -1.07662E-01 4.56379E-02 + 8.54682E-03 9.98367E-01 1.00039E-03 1.13538E-04 2.64723E-05 + 1.04080E+03 2.51583E+02 -5.81453E+01 -1.09740E+02 -8.74317E+01 + -3.67896E+01 -9.66759E+01 -3.97868E+01 -2.28810E-01 -7.37697E-02 + 4.80774E-02 9.20944E-03 9.97576E-01 7.83922E-04 1.20177E-04 + 2.82756E-05 9.00154E+02 2.12974E+02 -9.62593E+01 -1.20201E+02 + -8.30497E+01 -3.55867E+01 -9.19095E+01 -3.84785E+01 -1.50500E-01 + -5.22731E-02 5.04506E-02 9.86091E-03 9.96864E-01 5.89105E-04 + 1.27202E-04 3.02042E-05 7.81099E+02 1.79944E+02 -1.28216E+02 + -1.29067E+02 -7.88827E+01 -3.44306E+01 -8.73035E+01 -3.72007E+01 + -9.80185E-02 -3.77128E-02 5.27662E-02 1.05033E-02 9.96227E-01 + 4.12835E-04 1.34603E-04 3.22574E-05 6.80004E+02 1.51592E+02 + -1.55145E+02 -1.36620E+02 -7.49759E+01 -3.33350E+01 -8.29260E+01 + -3.59730E+01 -6.11726E-02 -2.73798E-02 5.50459E-02 1.11427E-02 + 9.95671E-01 2.56669E-04 1.42431E-04 3.44528E-05 5.93172E+02 + 1.26975E+02 -1.77105E+02 -1.42846E+02 -7.12420E+01 -3.22765E+01 + -7.87073E+01 -3.47770E+01 -3.41319E-02 -1.97136E-02 5.72842E-02 + 1.17772E-02 9.95170E-01 1.14419E-04 1.50686E-04 3.67929E-05 + 5.18642E+02 1.05612E+02 -1.95013E+02 -1.47979E+02 -6.77041E+01 + -3.12624E+01 -7.46842E+01 -3.36238E+01 -1.36769E-02 -1.38504E-02 + 5.95265E-02 1.24199E-02 9.94700E-01 -2.01006E-05 1.59404E-04 + 3.92920E-05 4.53902E+02 8.68483E+01 -2.09228E+02 -1.52099E+02 + -6.43325E+01 -3.02852E+01 -7.08351E+01 -3.25082E+01 2.24276E-03 + -9.23631E-03 6.17187E-02 1.30553E-02 9.94298E-01 -1.37612E-04 + 1.68601E-04 4.19575E-05 3.97809E+02 7.04064E+01 -2.19940E+02 + -1.55238E+02 -6.10887E+01 -2.93343E+01 -6.71270E+01 -3.14213E+01 + 1.49629E-02 -5.50784E-03 6.39137E-02 1.36987E-02 9.93908E-01 + -2.50484E-04 1.78292E-04 4.47982E-05 3.48790E+02 5.58745E+01 + -2.27945E+02 -1.57612E+02 -5.80062E+01 -2.84204E+01 -6.35992E+01 + -3.03754E+01 2.53551E-02 -2.42695E-03 6.61078E-02 1.43492E-02 + 9.93574E-01 -3.49441E-04 1.88529E-04 4.78331E-05 3.05892E+02 + 4.30093E+01 -2.33262E+02 -1.59206E+02 -5.50460E+01 -2.75327E+01 + -6.02157E+01 -2.93607E+01 3.39904E-02 1.62737E-04 6.82794E-02 + 1.50004E-02 9.93246E-01 -4.48397E-04 1.99305E-04 5.10648E-05 + 2.68249E+02 3.15887E+01 -2.36381E+02 -1.60152E+02 -5.22077E+01 + -2.66715E+01 -5.69754E+01 -2.83777E+01 4.13390E-02 2.39227E-03 + 7.04693E-02 1.56649E-02 9.92974E-01 -5.31895E-04 2.10669E-04 + 5.45126E-05 2.35107E+02 2.14142E+01 -2.37507E+02 -1.60498E+02 + -4.94904E+01 -2.58374E+01 -5.38813E+01 -2.74278E+01 4.76524E-02 + 4.33042E-03 7.26398E-02 1.63312E-02 9.92696E-01 -6.15387E-04 + 2.22655E-04 5.81920E-05 2.05911E+02 1.23442E+01 -2.36904E+02 + -1.60311E+02 -4.68777E+01 -2.50257E+01 -5.09148E+01 -2.65062E+01 + 5.31913E-02 6.05114E-03 7.48197E-02 1.70084E-02 9.92461E-01 + -6.89604E-04 2.35255E-04 6.21063E-05 1.80141E+02 4.24128E+00 + -2.34868E+02 -1.59670E+02 -4.43765E+01 -2.42392E+01 -4.80826E+01 + -2.56157E+01 5.81013E-02 7.59502E-03 7.69999E-02 1.76939E-02 + 9.92244E-01 -7.57637E-04 2.48540E-04 6.62836E-05 1.57484E+02 + -2.96989E+00 -2.31464E+02 -1.58587E+02 -4.19701E+01 -2.34733E+01 + -4.53698E+01 -2.47522E+01 6.24994E-02 8.99491E-03 7.91777E-02 + 1.83870E-02 9.92028E-01 -8.24123E-04 2.62513E-04 7.07311E-05 + 1.37453E+02 -9.42502E+00 -2.27027E+02 -1.57157E+02 -3.96656E+01 + -2.27307E+01 -4.27795E+01 -2.39175E+01 6.64996E-02 1.02840E-02 + 8.13601E-02 1.90903E-02 9.91848E-01 -8.82879E-04 2.77229E-04 + 7.54735E-05 1.19771E+02 -1.51946E+01 -2.21698E+02 -1.55419E+02 + -3.74529E+01 -2.20087E+01 -4.03031E+01 -2.31094E+01 7.01635E-02 + 1.14795E-02 8.35401E-02 1.98016E-02 9.91675E-01 -9.40088E-04 + 2.92706E-04 8.05233E-05 1.04255E+02 -2.03217E+01 -2.15510E+02 + -1.53374E+02 -3.53307E+01 -2.13074E+01 -3.79362E+01 -2.23274E+01 + 7.35513E-02 1.25989E-02 8.57189E-02 2.05216E-02 9.91521E-01 + -9.92659E-04 3.08980E-04 8.59012E-05 9.05794E+01 -2.48991E+01 + -2.08711E+02 -1.51098E+02 -3.32916E+01 -2.06249E+01 -3.56719E+01 + -2.15694E+01 7.67314E-02 1.36634E-02 8.79077E-02 2.12543E-02 + 9.91372E-01 -1.04059E-03 3.26088E-04 9.16275E-05 7.85890E+01 + -2.89650E+01 -2.01398E+02 -1.48618E+02 -3.13368E+01 -1.99620E+01 + -3.35095E+01 -2.08362E+01 7.96607E-02 1.46567E-02 9.00548E-02 + 2.19823E-02 9.91242E-01 -1.08543E-03 3.44059E-04 9.77215E-05 + 6.81392E+01 -3.25555E+01 -1.93630E+02 -1.45949E+02 -2.94626E+01 + -1.93181E+01 -3.14441E+01 -2.01265E+01 8.24802E-02 1.56254E-02 + 9.22355E-02 2.27316E-02 9.91119E-01 -1.12718E-03 3.62948E-04 + 1.04211E-04 5.90165E+01 -3.57319E+01 -1.85560E+02 -1.43139E+02 + -2.76661E+01 -1.86926E+01 -2.94722E+01 -1.94400E+01 8.51376E-02 + 1.65507E-02 9.43932E-02 2.34829E-02 9.91020E-01 -1.16275E-03 + 3.82783E-04 1.11118E-04 5.11337E+01 -3.85139E+01 -1.77248E+02 + -1.40206E+02 -2.59437E+01 -1.80847E+01 -2.75883E+01 -1.87751E+01 + 8.76700E-02 1.74445E-02 9.65444E-02 2.42422E-02 9.90915E-01 + -1.19830E-03 4.03585E-04 1.18459E-04 4.43373E+01 -4.09459E+01 + -1.68755E+02 -1.37167E+02 -2.42933E+01 -1.74942E+01 -2.57905E+01 + -1.81318E+01 9.00975E-02 1.83131E-02 9.86828E-02 2.50073E-02 + 9.90834E-01 -1.22923E-03 4.25430E-04 1.26276E-04 3.85266E+01 + -4.30541E+01 -1.60139E+02 -1.34041E+02 -2.27111E+01 -1.69202E+01 + -2.40732E+01 -1.75087E+01 9.24199E-02 1.91556E-02 1.00798E-01 + 2.57747E-02 9.90754E-01 -1.25860E-03 4.48332E-04 1.34584E-04 + 3.36278E+01 -4.48566E+01 -1.51480E+02 -1.30855E+02 -2.11972E+01 + -1.63631E+01 -2.24352E+01 -1.69060E+01 9.46609E-02 1.99802E-02 + 1.02905E-01 2.65501E-02 9.90692E-01 -1.28025E-03 4.72340E-04 + 1.43418E-04 2.95168E+01 -4.63909E+01 -1.42811E+02 -1.27619E+02 + -1.97460E+01 -1.58215E+01 -2.08706E+01 -1.63221E+01 9.68401E-02 + 2.07936E-02 1.05002E-01 2.73328E-02 9.90630E-01 -1.30344E-03 + 4.97492E-04 1.52806E-04 2.61333E+01 -4.76722E+01 -1.34188E+02 + -1.24354E+02 -1.83596E+01 -1.52965E+01 -1.93805E+01 -1.57578E+01 + 9.89201E-02 2.15812E-02 1.07059E-01 2.81116E-02 9.90574E-01 + -1.32354E-03 5.23856E-04 1.62790E-04 2.33712E+01 -4.87338E+01 + -1.25651E+02 -1.21073E+02 -1.70323E+01 -1.47864E+01 -1.79591E+01 + -1.52116E+01 1.00941E-01 2.23578E-02 1.09091E-01 2.88925E-02 + 9.90543E-01 -1.33746E-03 5.51437E-04 1.73389E-04 2.12171E+01 + -4.95741E+01 -1.17210E+02 -1.17780E+02 -1.57615E+01 -1.42907E+01 + -1.66018E+01 -1.46820E+01 1.02901E-01 2.31224E-02 1.11101E-01 + 2.96765E-02 9.90513E-01 -1.34828E-03 5.80316E-04 1.84655E-04 + 1.95831E+01 -5.02213E+01 -1.08894E+02 -1.14487E+02 -1.45475E+01 + -1.38098E+01 -1.53090E+01 -1.41701E+01 1.04795E-01 2.38724E-02 + 1.13071E-01 3.04569E-02 9.90482E-01 -1.35911E-03 6.10512E-04 + 1.96613E-04 1.84051E+01 -5.06947E+01 -1.00751E+02 -1.11212E+02 + -1.33867E+01 -1.33430E+01 -1.40766E+01 -1.36744E+01 1.06638E-01 + 2.46138E-02 1.15014E-01 3.12382E-02 9.90469E-01 -1.36684E-03 + 6.42075E-04 2.09307E-04 1.76483E+01 -5.10038E+01 -9.27802E+01 + -1.07956E+02 -1.22778E+01 -1.28900E+01 -1.29022E+01 -1.31947E+01 + 1.08408E-01 2.53366E-02 1.16903E-01 3.20101E-02 9.90463E-01 + -1.36838E-03 6.75070E-04 2.22786E-04 1.72533E+01 -5.11681E+01 + -8.50093E+01 -1.04731E+02 -1.12185E+01 -1.24504E+01 -1.17838E+01 + -1.27305E+01 1.10148E-01 2.60588E-02 1.18772E-01 3.27857E-02 + 9.90463E-01 -1.36838E-03 7.09529E-04 2.37088E-04 1.71924E+01 + -5.11934E+01 -7.74265E+01 -1.01532E+02 -1.02066E+01 -1.20236E+01 + -1.07177E+01 -1.22808E+01 1.11818E-01 2.67635E-02 1.20581E-01 + 3.35487E-02 9.90463E-01 -1.36530E-03 7.45492E-04 2.52257E-04 + 1.74164E+01 -5.10976E+01 -7.00763E+01 -9.83807E+01 -9.24097E+00 + -1.16095E+01 -9.70290E+00 -1.18457E+01 1.13433E-01 2.74560E-02 + 1.22344E-01 3.43043E-02 9.90488E-01 -1.35756E-03 7.83012E-04 + 2.68345E-04 1.78969E+01 -5.08882E+01 -6.29467E+01 -9.52723E+01 + -8.31988E+00 -1.12079E+01 -8.73708E+00 -1.14246E+01 1.15004E-01 + 2.81408E-02 1.24062E-01 3.50535E-02 9.90506E-01 -1.34828E-03 + 8.22153E-04 2.85409E-04 1.85928E+01 -5.05795E+01 -5.60482E+01 + -9.22133E+01 -7.44114E+00 -1.08183E+01 -7.81807E+00 -1.10171E+01 + 1.16505E-01 2.88063E-02 1.25712E-01 3.57851E-02 9.90531E-01 + -1.33591E-03 8.62943E-04 3.03497E-04 1.94905E+01 -5.01746E+01 + -4.93762E+01 -8.92031E+01 -6.60249E+00 -1.04399E+01 -6.94240E+00 + -1.06221E+01 1.17972E-01 2.94682E-02 1.27322E-01 3.65114E-02 + 9.90574E-01 -1.31891E-03 9.05416E-04 3.22658E-04 2.05474E+01 + -4.96895E+01 -4.29464E+01 -8.62510E+01 -5.80430E+00 -1.00735E+01 + -6.11087E+00 -1.02403E+01 1.19373E-01 3.01115E-02 1.28862E-01 + 3.72185E-02 9.90618E-01 -1.29881E-03 9.49655E-04 3.42969E-04 + 2.17420E+01 -4.91310E+01 -3.67596E+01 -8.33595E+01 -5.04313E+00 + -9.71766E+00 -5.31941E+00 -9.87035E+00 1.20711E-01 3.07367E-02 + 1.30332E-01 3.79058E-02 9.90661E-01 -1.27871E-03 9.95674E-04 + 3.64478E-04 2.30616E+01 -4.85026E+01 -3.08001E+01 -8.05230E+01 + -4.31852E+00 -9.37280E+00 -4.56754E+00 -9.51250E+00 1.21990E-01 + 3.13456E-02 1.31733E-01 3.85727E-02 9.90717E-01 -1.25087E-03 + 1.04354E-03 3.87262E-04 2.44827E+01 -4.78139E+01 -2.50764E+01 + -7.77478E+01 -3.62770E+00 -9.03786E+00 -3.85184E+00 -9.16549E+00 + 1.23250E-01 3.19566E-02 1.33101E-01 3.92360E-02 9.90779E-01 + -1.22150E-03 1.09327E-03 4.11374E-04 2.59828E+01 -4.70725E+01 + -1.95895E+01 -7.50368E+01 -2.97102E+00 -8.71338E+00 -3.17285E+00 + -8.83000E+00 1.24464E-01 3.25563E-02 1.34411E-01 3.98828E-02 + 9.90843E-01 -1.18903E-03 1.14495E-03 4.36906E-04 2.75440E+01 + -4.62862E+01 -1.43341E+01 -7.23895E+01 -2.34626E+00 -8.39870E+00 + -2.52775E+00 -8.50505E+00 1.25566E-01 3.31112E-02 1.35596E-01 + 4.04801E-02 9.90915E-01 -1.15346E-03 1.19857E-03 4.63919E-04 + 2.91516E+01 -4.54606E+01 -9.31267E+00 -6.98102E+01 -1.75194E+00 + -8.09342E+00 -1.91517E+00 -8.19039E+00 1.26609E-01 3.36474E-02 + 1.36710E-01 4.10524E-02 9.90995E-01 -1.11327E-03 1.25423E-03 + 4.92505E-04 3.08024E+01 -4.45954E+01 -4.50371E+00 -6.72901E+01 + -1.18694E+00 -7.79734E+00 -1.33369E+00 -7.88566E+00 1.27635E-01 + 3.41846E-02 1.37786E-01 4.16161E-02 9.91072E-01 -1.07151E-03 + 1.31191E-03 5.22733E-04 3.24752E+01 -4.37006E+01 8.35194E-02 + -6.48366E+01 -6.50046E-01 -7.51016E+00 -7.81856E-01 -7.59052E+00 + 1.28585E-01 3.46928E-02 1.38772E-01 4.21432E-02 9.91162E-01 + -1.02513E-03 1.37168E-03 5.54703E-04 3.41578E+01 -4.27817E+01 + 4.45542E+00 -6.24490E+01 -1.40469E-01 -7.23187E+00 -2.58920E-01 + -7.30493E+00 1.29469E-01 3.51756E-02 1.39674E-01 4.26360E-02 + 9.91252E-01 -9.75651E-04 1.43359E-03 5.88511E-04 3.58480E+01 + -4.18389E+01 8.62189E+00 -6.01247E+01 3.43429E-01 -6.96192E+00 + 2.37199E-01 -7.02817E+00 1.30313E-01 3.56464E-02 1.40518E-01 + 4.31070E-02 9.91341E-01 -9.24626E-04 1.49764E-03 6.24243E-04 + 3.75319E+01 -4.08790E+01 1.25885E+01 -5.78633E+01 8.02835E-01 + -6.70001E+00 7.07490E-01 -6.76005E+00 1.31081E-01 3.60846E-02 + 1.41269E-01 4.35351E-02 9.91443E-01 -8.67417E-04 1.56388E-03 + 6.62007E-04 3.92015E+01 -3.99056E+01 1.63532E+01 -5.56688E+01 + 1.23829E+00 -6.44616E+00 1.15265E+00 -6.50054E+00 1.31797E-01 + 3.65019E-02 1.41952E-01 4.39331E-02 9.91539E-01 -8.10207E-04 + 1.63236E-03 7.01925E-04 4.08544E+01 -3.89200E+01 1.99350E+01 + -5.35330E+01 1.65124E+00 -6.19990E+00 1.57445E+00 -6.24901E+00 + 1.32453E-01 3.68932E-02 1.42555E-01 4.42926E-02 9.91641E-01 + -7.49906E-04 1.70306E-03 7.44086E-04 4.24809E+01 -3.79273E+01 + 2.33272E+01 -5.14624E+01 2.04227E+00 -5.96127E+00 1.97334E+00 + -6.00555E+00 1.33055E-01 3.72605E-02 1.43089E-01 4.46184E-02 + 9.91746E-01 -6.84965E-04 1.77605E-03 7.88637E-04 4.40741E+01 + -3.69314E+01 2.65433E+01 -4.94522E+01 2.41286E+00 -5.72961E+00 + 2.35096E+00 -5.76952E+00 1.33585E-01 3.75915E-02 1.43532E-01 + 4.48954E-02 9.91855E-01 -6.18479E-04 1.85133E-03 8.35691E-04 + 4.56368E+01 -3.59306E+01 2.95876E+01 -4.75021E+01 2.76331E+00 + -5.50516E+00 2.70780E+00 -5.54095E+00 1.34071E-01 3.79031E-02 + 1.43918E-01 4.51430E-02 9.91963E-01 -5.48900E-04 1.92891E-03 + 8.85382E-04 4.71563E+01 -3.49325E+01 3.24663E+01 -4.56118E+01 + 3.09494E+00 -5.28736E+00 3.04511E+00 -5.31947E+00 1.34493E-01 + 3.81799E-02 1.44222E-01 4.53425E-02 9.92077E-01 -4.74686E-04 + 2.00883E-03 9.37865E-04 4.86388E+01 -3.39338E+01 3.51878E+01 + -4.37780E+01 3.40839E+00 -5.07618E+00 3.36356E+00 -5.10488E+00 + 1.34865E-01 3.84309E-02 1.44465E-01 4.55059E-02 9.92186E-01 + -4.02011E-04 2.09106E-03 9.93273E-04 5.00724E+01 -3.29421E+01 + 3.77516E+01 -4.20045E+01 3.70452E+00 -4.87134E+00 3.66424E+00 + -4.89689E+00 1.35172E-01 3.86431E-02 1.44628E-01 4.56190E-02 + 9.92294E-01 -3.26248E-04 2.17565E-03 1.05178E-03 5.14614E+01 + -3.19549E+01 4.01728E+01 -4.02837E+01 3.98399E+00 -4.67271E+00 + 3.94778E+00 -4.69539E+00 1.35433E-01 3.88287E-02 1.44733E-01 + 4.56932E-02 9.92405E-01 -2.47392E-04 2.26257E-03 1.11356E-03 + 5.27997E+01 -3.09770E+01 4.24492E+01 -3.86206E+01 4.24769E+00 + -4.48004E+00 4.21501E+00 -4.50013E+00 1.35639E-01 3.89791E-02 + 1.44772E-01 4.57216E-02 9.92519E-01 -1.63897E-04 2.35183E-03 + 1.17878E-03 5.40891E+01 -3.00074E+01 4.45914E+01 -3.70098E+01 + 4.49617E+00 -4.29321E+00 4.46670E+00 -4.31087E+00 1.35790E-01 + 3.90925E-02 1.44746E-01 4.57023E-02 9.92628E-01 -8.34947E-05 + 2.44340E-03 1.24763E-03 5.53272E+01 -2.90487E+01 4.66032E+01 + -3.54520E+01 4.73020E+00 -4.11197E+00 4.70358E+00 -4.12746E+00 + 1.35894E-01 3.91727E-02 1.44664E-01 4.56393E-02 9.92733E-01 + 0.00000E+00 2.53728E-03 1.32033E-03 5.65135E+01 -2.81019E+01 + 4.84919E+01 -3.39445E+01 4.95048E+00 -3.93617E+00 4.92638E+00 + -3.94963E+00 1.35952E-01 3.92197E-02 1.44530E-01 4.55318E-02 + 9.92844E-01 8.81332E-05 2.63343E-03 1.39707E-03 5.76464E+01 + -2.71689E+01 5.02593E+01 -3.24890E+01 5.15759E+00 -3.76562E+00 + 5.13568E+00 -3.77727E+00 1.35959E-01 3.92251E-02 1.44338E-01 + 4.53739E-02 9.92949E-01 1.73174E-04 2.73183E-03 1.47810E-03 + 5.87274E+01 -2.62496E+01 5.19146E+01 -3.10813E+01 5.35204E+00 + -3.60024E+00 5.33206E+00 -3.61023E+00 1.35923E-01 3.91949E-02 + 1.44099E-01 4.51708E-02 9.93048E-01 2.59761E-04 2.83245E-03 + 1.56368E-03 5.97561E+01 -2.53449E+01 5.34605E+01 -2.97220E+01 + 5.53443E+00 -3.43985E+00 5.51620E+00 -3.44834E+00 1.35848E-01 + 3.91287E-02 1.43818E-01 4.49235E-02 9.93153E-01 3.49443E-04 + 2.93519E-03 1.65402E-03 6.07317E+01 -2.44571E+01 5.49025E+01 + -2.84095E+01 5.70547E+00 -3.28420E+00 5.68879E+00 -3.29125E+00 + 1.35729E-01 3.90206E-02 1.43490E-01 4.46254E-02 9.93249E-01 + 4.39120E-04 3.04003E-03 1.74944E-03 6.16557E+01 -2.35855E+01 + 5.62462E+01 -2.71420E+01 5.86548E+00 -3.13324E+00 5.85014E+00 + -3.13903E+00 1.35576E-01 3.88758E-02 1.43127E-01 4.42825E-02 + 9.93342E-01 5.25707E-04 3.14689E-03 1.85024E-03 6.25297E+01 + -2.27301E+01 5.74952E+01 -2.59195E+01 6.01508E+00 -2.98681E+00 + 6.00088E+00 -2.99148E+00 1.35386E-01 3.86901E-02 1.42730E-01 + 4.38936E-02 9.93435E-01 6.16933E-04 3.25564E-03 1.95669E-03 + 6.33508E+01 -2.18947E+01 5.86540E+01 -2.47405E+01 6.15471E+00 + -2.84478E+00 6.14152E+00 -2.84842E+00 1.35161E-01 3.84617E-02 + 1.42297E-01 4.34536E-02 9.93523E-01 7.06612E-04 3.36623E-03 + 2.06919E-03 6.41245E+01 -2.10756E+01 5.97278E+01 -2.36037E+01 + 6.28486E+00 -2.70698E+00 6.27261E+00 -2.70966E+00 1.34914E-01 + 3.82002E-02 1.41847E-01 4.29771E-02 9.93606E-01 7.94745E-04 + 3.47850E-03 2.18805E-03 6.48488E+01 -2.02760E+01 6.07204E+01 + -2.25081E+01 6.40599E+00 -2.57327E+00 6.39447E+00 -2.57512E+00 + 1.34632E-01 3.78884E-02 1.41364E-01 4.24443E-02 9.93687E-01 + 8.82879E-04 3.59232E-03 2.31369E-03 6.55259E+01 -1.94954E+01 + 6.16363E+01 -2.14520E+01 6.51836E+00 -2.44371E+00 6.50756E+00 + -2.44475E+00 1.34333E-01 3.75438E-02 1.40870E-01 4.18743E-02 + 9.93761E-01 9.71012E-04 3.70752E-03 2.44650E-03 6.61565E+01 + -1.87349E+01 6.24780E+01 -2.04365E+01 6.62266E+00 -2.31789E+00 + 6.61238E+00 -2.31828E+00 1.34010E-01 3.71540E-02 1.40358E-01 + 4.12562E-02 9.93832E-01 1.05605E-03 3.82394E-03 2.58695E-03 + 6.67419E+01 -1.79939E+01 6.32506E+01 -1.94590E+01 6.71904E+00 + -2.19592E+00 6.70930E+00 -2.19565E+00 1.33665E-01 3.67168E-02 + 1.39830E-01 4.05883E-02 9.93902E-01 1.14264E-03 3.94133E-03 + 2.73550E-03 6.72841E+01 -1.72727E+01 6.39579E+01 -1.85181E+01 + 6.80796E+00 -2.07764E+00 6.79862E+00 -2.07683E+00 1.33308E-01 + 3.62429E-02 1.39298E-01 3.98807E-02 9.93964E-01 1.22613E-03 + 4.05947E-03 2.89264E-03 6.77838E+01 -1.65720E+01 6.46024E+01 + -1.76146E+01 6.88975E+00 -1.96297E+00 6.88078E+00 -1.96163E+00 + 1.32939E-01 3.57251E-02 1.38762E-01 3.91295E-02 9.94021E-01 + 1.30654E-03 4.17808E-03 3.05896E-03 6.82433E+01 -1.58910E+01 + 6.51885E+01 -1.67458E+01 6.96488E+00 -1.85160E+00 6.95619E+00 + -1.84985E+00 1.32561E-01 3.51646E-02 1.38226E-01 3.83341E-02 + 9.94076E-01 1.38694E-03 4.29684E-03 3.23500E-03 6.86632E+01 + -1.52308E+01 6.57189E+01 -1.59120E+01 7.03349E+00 -1.74374E+00 + 7.02510E+00 -1.74151E+00 1.32176E-01 3.45598E-02 1.37692E-01 + 3.74951E-02 9.94128E-01 1.46734E-03 4.41539E-03 3.42135E-03 + 6.90447E+01 -1.45924E+01 6.61965E+01 -1.51128E+01 7.09600E+00 + -1.63913E+00 7.08780E+00 -1.63661E+00 1.31788E-01 3.39104E-02 + 1.37163E-01 3.66110E-02 9.94169E-01 1.54000E-03 4.53338E-03 + 3.61882E-03 6.93912E+01 -1.39726E+01 6.66252E+01 -1.43456E+01 + 7.15273E+00 -1.53765E+00 7.14471E+00 -1.53478E+00 1.31401E-01 + 3.32172E-02 1.36647E-01 3.56866E-02 9.94211E-01 1.61269E-03 + 4.65032E-03 3.82800E-03 6.97021E+01 -1.33753E+01 6.70075E+01 + -1.36112E+01 7.20386E+00 -1.43941E+00 7.19598E+00 -1.43627E+00 + 1.31020E-01 3.24866E-02 1.36146E-01 3.47251E-02 9.94249E-01 + 1.68537E-03 4.76569E-03 4.04968E-03 6.99805E+01 -1.27974E+01 + 6.73465E+01 -1.29078E+01 7.24983E+00 -1.34401E+00 7.24208E+00 + -1.34063E+00 1.30646E-01 3.17088E-02 1.35662E-01 3.37198E-02 + 9.94281E-01 1.75183E-03 4.87897E-03 4.28473E-03 7.02272E+01 + -1.22410E+01 6.76440E+01 -1.22369E+01 7.29077E+00 -1.25167E+00 + 7.28310E+00 -1.24809E+00 1.30276E-01 3.08758E-02 1.35194E-01 + 3.26638E-02 9.94309E-01 1.81679E-03 4.98947E-03 4.53399E-03 + 7.04442E+01 -1.17051E+01 6.79042E+01 -1.15941E+01 7.32699E+00 + -1.16218E+00 7.31941E+00 -1.15839E+00 1.29925E-01 3.00073E-02 + 1.34752E-01 3.15733E-02 9.94333E-01 1.87707E-03 5.09654E-03 + 4.79847E-03 7.06328E+01 -1.11901E+01 6.81283E+01 -1.09821E+01 + 7.35876E+00 -1.07544E+00 7.35124E+00 -1.07148E+00 1.29588E-01 + 2.90894E-02 1.34337E-01 3.04390E-02 9.94356E-01 1.93585E-03 + 5.19931E-03 5.07907E-03 7.07949E+01 -1.06956E+01 6.83196E+01 + -1.03983E+01 7.38631E+00 -9.91416E-01 7.37881E+00 -9.87371E-01 + 1.29273E-01 2.81281E-02 1.33952E-01 2.92653E-02 9.94373E-01 + 1.98994E-03 5.29696E-03 5.37705E-03 7.09317E+01 -1.02223E+01 + 6.84799E+01 -9.84387E+00 7.40983E+00 -9.10060E-01 7.40238E+00 + -9.05855E-01 1.28979E-01 2.71112E-02 1.33598E-01 2.80420E-02 + 9.94389E-01 2.04409E-03 5.38845E-03 5.69344E-03 7.10458E+01 + -9.76716E+00 6.86125E+01 -9.31493E+00 7.42957E+00 -8.31292E-01 + 7.42213E+00 -8.27025E-01 1.28717E-01 2.60635E-02 1.33285E-01 + 2.67931E-02 9.94400E-01 2.08735E-03 5.47269E-03 6.02959E-03 + 7.11373E+01 -9.33563E+00 6.87184E+01 -8.81570E+00 7.44575E+00 + -7.54994E-01 7.43833E+00 -7.50640E-01 1.28480E-01 2.49459E-02 + 1.33007E-01 2.54792E-02 9.94410E-01 2.13531E-03 5.54843E-03 + 6.38675E-03 7.12090E+01 -8.92207E+00 6.88008E+01 -8.34061E+00 + 7.45856E+00 -6.81176E-01 7.45115E+00 -6.76760E-01 1.28280E-01 + 2.37966E-02 1.32774E-01 2.41395E-02 9.94416E-01 2.17239E-03 + 5.61432E-03 6.76646E-03 7.12619E+01 -8.52992E+00 6.88611E+01 + -7.89362E+00 7.46819E+00 -6.09787E-01 7.46079E+00 -6.05346E-01 + 1.28116E-01 2.25796E-02 1.32585E-01 2.27397E-02 9.94422E-01 + 2.21417E-03 5.66882E-03 7.17028E-03 7.12979E+01 -8.15744E+00 + 6.89019E+01 -7.47048E+00 7.47486E+00 -5.40628E-01 7.46746E+00 + -5.36138E-01 1.27996E-01 2.13288E-02 1.32448E-01 2.13098E-02 + 9.94425E-01 2.24509E-03 5.71022E-03 7.59975E-03 7.13182E+01 + -7.80565E+00 6.89249E+01 -7.07397E+00 7.47867E+00 -4.74828E-01 + 7.47127E+00 -4.70326E-01 1.27919E-01 2.00069E-02 1.32361E-01 + 1.98175E-02 9.94426E-01 2.27290E-03 5.73667E-03 8.05677E-03 + 7.13246E+01 -7.47305E+00 6.89321E+01 -6.70059E+00 7.47976E+00 + -4.18243E-01 7.47236E+00 -4.13753E-01 1.27893E-01 1.86422E-02 + 1.32332E-01 1.82874E-02 9.94427E-01 2.30076E-03 5.74607E-03 + 8.54323E-03 7.13162E+01 -7.03538E+00 6.89230E+01 -6.22691E+00 + 7.47874E+00 -3.64999E-01 7.47134E+00 -3.60472E-01 1.27891E-01 + 1.87510E-02 1.32332E-01 1.82833E-02 9.94426E-01 2.32856E-03 + 5.73611E-03 9.06115E-03 7.12984E+01 -6.72692E+00 6.89033E+01 + -5.88677E+00 7.47578E+00 -3.13917E-01 7.46839E+00 -3.09427E-01 + 1.27965E-01 1.74690E-02 1.32414E-01 1.68552E-02 9.94425E-01 + 2.35333E-03 5.70423E-03 9.61280E-03 7.12712E+01 -6.44520E+00 + 6.88735E+01 -5.57677E+00 7.47105E+00 -2.64757E-01 7.46366E+00 + -2.60304E-01 1.28102E-01 1.60409E-02 1.32565E-01 1.52848E-02 + 9.94424E-01 2.36567E-03 5.64762E-03 1.02005E-02 7.12360E+01 + -6.18364E+00 6.88348E+01 -5.29025E+00 7.46470E+00 -2.17706E-01 + 7.45732E+00 -2.13278E-01 1.28305E-01 1.45409E-02 1.32786E-01 + 1.36476E-02 9.94422E-01 2.37652E-03 5.56316E-03 1.08267E-02 + 7.11937E+01 -5.93993E+00 6.87889E+01 -5.02578E+00 7.45688E+00 + -1.72629E-01 7.44950E+00 -1.68213E-01 1.28574E-01 1.29868E-02 + 1.33078E-01 1.19659E-02 9.94421E-01 2.38577E-03 5.44739E-03 + 1.14942E-02 7.11461E+01 -5.71557E+00 6.87374E+01 -4.78241E+00 + 7.44772E+00 -1.29411E-01 7.44035E+00 -1.25070E-01 1.28915E-01 + 1.13797E-02 1.33445E-01 1.02355E-02 9.94420E-01 2.39044E-03 + 5.29649E-03 1.22060E-02 7.10948E+01 -5.51066E+00 6.86822E+01 + -4.56207E+00 7.43737E+00 -8.81080E-02 7.43002E+00 -8.38034E-02 + 1.29340E-01 9.68107E-03 1.33900E-01 8.42015E-03 9.94419E-01 + 2.39195E-03 5.10633E-03 1.29651E-02 7.10403E+01 -5.32212E+00 + 6.86239E+01 -4.36045E+00 7.42595E+00 -4.86004E-02 7.41861E+00 + -4.43452E-02 1.29838E-01 7.95949E-03 1.34429E-01 6.58955E-03 + 9.94421E-01 2.38889E-03 4.87222E-03 1.37749E-02 7.09853E+01 + -5.15429E+00 6.85655E+01 -4.18233E+00 7.41361E+00 -1.09348E-02 + 7.40629E+00 -6.75383E-03 1.30439E-01 6.12568E-03 1.35063E-01 + 4.65215E-03 9.94423E-01 2.38116E-03 4.58914E-03 1.46390E-02 + 7.09302E+01 -5.00361E+00 6.85072E+01 -4.02316E+00 7.40047E+00 + 2.49617E-02 7.39316E+00 2.91178E-02 1.31128E-01 4.24351E-03 + 1.35788E-01 2.67259E-03 9.94424E-01 2.37804E-03 4.25144E-03 + 1.55612E-02 7.07619E+01 -4.58786E+00 6.83366E+01 -3.60145E+00 + 7.38661E+00 5.92135E-02 7.37933E+00 6.32955E-02 1.30475E-01 + 5.85703E-03 1.35136E-01 4.28531E-03 9.94421E-01 2.38425E-03 + 3.85298E-03 1.65457E-02 7.05220E+01 -4.04671E+00 6.80947E+01 + -3.05576E+00 7.37211E+00 9.19178E-02 7.36487E+00 9.59131E-02 + 1.28985E-01 9.21760E-03 1.33627E-01 7.68996E-03 9.94415E-01 + 2.39814E-03 3.38696E-03 1.75970E-02 7.04571E+01 -3.91198E+00 + 6.80285E+01 -2.91864E+00 7.35725E+00 1.22769E-01 7.35003E+00 + 1.26702E-01 1.29752E-01 7.62744E-03 1.34428E-01 6.02557E-03 + 9.94424E-01 2.38270E-03 2.84597E-03 1.87198E-02 7.03948E+01 + -3.79219E+00 6.79659E+01 -2.79808E+00 7.34202E+00 1.52021E-01 + 7.33484E+00 1.55905E-01 1.30610E-01 5.97795E-03 1.35323E-01 + 4.30652E-03 9.94431E-01 2.36567E-03 2.22182E-03 1.99193E-02 + 7.03370E+01 -3.68893E+00 6.79087E+01 -2.69579E+00 7.32652E+00 + 1.79743E-01 7.31940E+00 1.83516E-01 1.31570E-01 4.25980E-03 + 1.36319E-01 2.52457E-03 9.94443E-01 2.34405E-03 1.50562E-03 + 2.12006E-02 7.02839E+01 -3.60002E+00 6.78570E+01 -2.60927E+00 + 7.31088E+00 2.05940E-01 7.30377E+00 2.09675E-01 1.32625E-01 + 2.49477E-03 1.37408E-01 7.01583E-04 9.94457E-01 2.32237E-03 + 6.87305E-04 2.25703E-02 7.02392E+01 -3.52961E+00 6.78143E+01 + -2.54221E+00 7.29514E+00 2.30682E-01 7.28811E+00 2.34306E-01 + 1.33816E-01 6.21962E-04 1.38634E-01 -1.22614E-03 9.94474E-01 + 2.29612E-03 -2.43624E-04 2.40340E-02 7.02026E+01 -3.47534E+00 + 6.77807E+01 -2.49231E+00 7.27946E+00 2.53921E-01 7.27246E+00 + 2.57496E-01 1.35129E-01 -1.32470E-03 1.39982E-01 -3.22380E-03 + 9.94491E-01 2.26980E-03 -1.29923E-03 2.55989E-02 6.93000E+01 + -2.20991E+00 6.68826E+01 -1.23301E+00 7.26355E+00 2.76239E-01 + 7.25662E+00 2.79727E-01 1.25380E-01 1.23457E-02 1.30025E-01 + 1.07388E-02 9.94460E-01 2.31313E-03 -2.49265E-03 2.72724E-02 + 6.91817E+01 -2.05237E+00 6.67693E+01 -1.08220E+00 7.24810E+00 + 2.96797E-01 7.24121E+00 3.00212E-01 1.25646E-01 1.19928E-02 + 1.30304E-01 1.03665E-02 9.94482E-01 2.28529E-03 -3.83759E-03 + 2.90616E-02 6.91167E+01 -1.97021E+00 6.67105E+01 -1.00777E+00 + 7.23291E+00 3.16016E-01 7.22607E+00 3.19368E-01 1.26585E-01 + 1.08040E-02 1.31270E-01 9.14531E-03 9.94503E-01 2.25743E-03 + -5.35010E-03 3.09757E-02 6.90571E+01 -1.89820E+00 6.66584E+01 + -9.44844E-01 7.21800E+00 3.33993E-01 7.21127E+00 3.37234E-01 + 1.27594E-01 9.58687E-03 1.32301E-01 7.90034E-03 9.94531E-01 + 2.22345E-03 -7.04722E-03 3.30235E-02 6.90040E+01 -1.83702E+00 + 6.66132E+01 -8.92781E-01 7.20352E+00 3.50700E-01 7.19684E+00 + 3.53879E-01 1.28675E-01 8.34091E-03 1.33404E-01 6.62967E-03 + 9.94561E-01 2.18940E-03 -8.94726E-03 3.52141E-02 6.88952E+01 + -1.71691E+00 6.65135E+01 -7.82752E-01 7.18940E+00 3.66278E-01 + 7.18280E+00 3.69370E-01 1.29021E-01 7.95794E-03 1.33754E-01 + 6.24242E-03 9.94588E-01 2.15850E-03 -1.10710E-02 3.75586E-02 + 6.85063E+01 -1.30503E+00 6.61349E+01 -3.81772E-01 7.17568E+00 + 3.80807E-01 7.16915E+00 3.83826E-01 1.25757E-01 1.14147E-02 + 1.30423E-01 9.76997E-03 9.94605E-01 2.14147E-03 -1.34407E-02 + 4.00677E-02 6.84570E+01 -1.25478E+00 6.60963E+01 -3.42590E-01 + 7.16269E+00 3.94035E-01 7.15619E+00 3.97004E-01 1.26812E-01 + 1.03410E-02 1.31493E-01 8.68118E-03 9.94641E-01 2.10439E-03 + -1.60807E-02 4.27536E-02 6.84158E+01 -1.21459E+00 6.60678E+01 + -3.14680E-01 7.15023E+00 4.06227E-01 7.14385E+00 4.09084E-01 + 1.27960E-01 9.21760E-03 1.32652E-01 7.54694E-03 9.94681E-01 + 2.06571E-03 -1.90179E-02 4.56289E-02 6.83814E+01 -1.18214E+00 + 6.60464E+01 -2.94497E-01 7.13831E+00 4.17476E-01 7.13200E+00 + 4.20284E-01 1.29160E-01 8.08512E-03 1.33862E-01 6.40516E-03 + 9.94718E-01 2.03017E-03 -2.22812E-02 4.87074E-02 6.83814E+01 + -1.18214E+00 6.60464E+01 -2.94497E-01 7.13831E+00 4.17476E-01 + 7.13200E+00 4.20284E-01 1.29160E-01 8.08512E-03 1.33862E-01 + 6.40516E-03 9.94718E-01 2.03017E-03 -2.22812E-02 4.87074E-02 + ECHO READ:EBINDA(IM),$LGN(GE(IM)/0,1/) + 2.96878E-02 1.69413E+02 2.69387E+01 + ECHO READ:($LGN(GMFP,GBR1,GBR2(I,IM)/0,1/),I=1,NGE) + 1.16570E-03 1.76462E-04 0.00000E+00 0.00000E+00 2.03558E-02 + 3.27548E-03 1.16570E-03 1.76462E-04 0.00000E+00 0.00000E+00 + 2.03558E-02 3.27548E-03 1.27011E-03 1.93363E-04 0.00000E+00 + 0.00000E+00 8.35115E-03 1.33219E-03 1.38410E-03 2.11928E-04 + 0.00000E+00 0.00000E+00 -5.73241E-03 -9.61418E-04 1.50630E-03 + 2.31951E-04 0.00000E+00 0.00000E+00 1.36109E-03 2.00833E-04 + 1.64082E-03 2.54126E-04 0.00000E+00 0.00000E+00 -6.77843E-03 + -1.14096E-03 1.78447E-03 2.77952E-04 0.00000E+00 0.00000E+00 + 9.60456E-03 1.57640E-03 1.94362E-03 3.04514E-04 0.00000E+00 + 0.00000E+00 1.57229E-03 2.35872E-04 2.11444E-03 3.33200E-04 + 0.00000E+00 0.00000E+00 1.11100E-02 1.83756E-03 2.30454E-03 + 3.65324E-04 0.00000E+00 0.00000E+00 -7.61174E-03 -1.32615E-03 + 2.50621E-03 3.99619E-04 0.00000E+00 0.00000E+00 7.01569E-03 + 1.16129E-03 2.73679E-03 4.39078E-04 0.00000E+00 0.00000E+00 + 2.23251E-03 3.42727E-04 3.08275E-03 4.98663E-04 0.00000E+00 + 0.00000E+00 8.20923E-03 1.37208E-03 3.36811E-03 5.48125E-04 + 0.00000E+00 0.00000E+00 2.97454E-03 4.64724E-04 3.67540E-03 + 6.01734E-04 0.00000E+00 0.00000E+00 1.58261E-02 2.70679E-03 + 4.01874E-03 6.62024E-04 0.00000E+00 0.00000E+00 -2.55770E-03 + -5.21327E-04 -1.78006E-02 -3.19449E-03 0.00000E+00 0.00000E+00 + -2.67677E-02 -4.80039E-03 -2.85728E-03 -5.35852E-04 0.00000E+00 + 0.00000E+00 -1.95894E-03 -3.86537E-04 2.15743E-03 3.62270E-04 + 0.00000E+00 0.00000E+00 5.16836E-03 8.89946E-04 -2.46828E-04 + -7.12089E-05 0.00000E+00 0.00000E+00 -4.61632E-03 -8.74198E-04 + 2.26038E-03 3.83877E-04 0.00000E+00 0.00000E+00 5.05742E-03 + 8.81695E-04 -5.61891E-03 -1.05600E-03 0.00000E+00 0.00000E+00 + -7.99268E-03 -1.50311E-03 1.86703E-03 3.21339E-04 0.00000E+00 + 0.00000E+00 2.89420E-03 4.99970E-04 -1.04536E-03 -2.18197E-04 + 0.00000E+00 0.00000E+00 -1.44306E-03 -3.03532E-04 1.91013E-03 + 3.33115E-04 0.00000E+00 0.00000E+00 4.01614E-03 7.14821E-04 + 4.50968E-04 5.90269E-05 0.00000E+00 0.00000E+00 -4.23734E-04 + -1.19163E-04 2.13396E-03 3.77380E-04 0.00000E+00 0.00000E+00 + 1.98979E-03 3.37375E-04 2.33348E-03 4.15387E-04 0.00000E+00 + 0.00000E+00 3.31230E-03 5.89308E-04 2.55162E-03 4.57238E-04 + 0.00000E+00 0.00000E+00 4.21179E-03 7.61878E-04 2.79025E-03 + 5.03348E-04 0.00000E+00 0.00000E+00 3.89297E-03 7.00272E-04 + 3.07343E-03 5.58462E-04 0.00000E+00 0.00000E+00 4.22491E-03 + 7.64876E-04 3.39903E-03 6.22292E-04 0.00000E+00 0.00000E+00 + 4.60309E-03 8.39015E-04 3.72240E-03 6.86151E-04 0.00000E+00 + 0.00000E+00 6.54230E-03 1.22197E-03 4.07718E-03 7.56729E-04 + 0.00000E+00 0.00000E+00 5.45614E-03 1.00589E-03 4.46483E-03 + 8.34422E-04 0.00000E+00 0.00000E+00 6.75269E-03 1.26574E-03 + 4.88961E-03 9.20193E-04 0.00000E+00 0.00000E+00 6.38154E-03 + 1.19080E-03 5.35385E-03 1.01464E-03 0.00000E+00 0.00000E+00 + 7.84035E-03 1.48758E-03 5.86215E-03 1.11884E-03 0.00000E+00 + 0.00000E+00 8.47619E-03 1.61793E-03 6.50489E-03 1.25160E-03 + 0.00000E+00 0.00000E+00 8.73814E-03 1.67204E-03 7.18023E-03 + 1.39218E-03 0.00000E+00 0.00000E+00 1.06449E-02 2.06896E-03 + 7.87727E-03 1.53841E-03 0.00000E+00 0.00000E+00 1.03267E-02 + 2.00219E-03 8.63906E-03 1.69947E-03 0.00000E+00 0.00000E+00 + 1.37004E-02 2.71549E-03 9.47741E-03 1.87812E-03 0.00000E+00 + 0.00000E+00 1.21843E-02 2.39240E-03 1.03927E-02 2.07473E-03 + 0.00000E+00 0.00000E+00 1.52687E-02 3.05493E-03 1.15128E-02 + 2.31727E-03 0.00000E+00 0.00000E+00 1.67134E-02 3.36774E-03 + 1.27079E-02 2.57812E-03 0.00000E+00 0.00000E+00 1.82224E-02 + 3.69713E-03 1.39574E-02 2.85308E-03 0.00000E+00 0.00000E+00 + 1.89111E-02 3.84869E-03 1.53254E-02 3.15661E-03 0.00000E+00 + 0.00000E+00 2.22403E-02 4.58736E-03 1.68297E-02 3.49317E-03 + 0.00000E+00 0.00000E+00 2.27084E-02 4.69209E-03 1.84768E-02 + 3.86473E-03 0.00000E+00 0.00000E+00 2.60534E-02 5.44669E-03 + 2.02855E-02 4.27620E-03 0.00000E+00 0.00000E+00 2.77003E-02 + 5.82135E-03 2.22665E-02 4.73071E-03 0.00000E+00 0.00000E+00 + 3.10868E-02 6.59833E-03 2.44381E-02 5.23323E-03 0.00000E+00 + 0.00000E+00 3.48503E-02 7.46922E-03 2.68233E-02 5.78996E-03 + 0.00000E+00 0.00000E+00 3.65287E-02 7.86099E-03 2.94308E-02 + 6.40390E-03 0.00000E+00 0.00000E+00 4.14890E-02 9.02888E-03 + 3.26570E-02 7.17022E-03 0.00000E+00 0.00000E+00 4.47583E-02 + 9.80545E-03 3.60219E-02 7.97657E-03 0.00000E+00 0.00000E+00 + 4.94359E-02 1.09264E-02 3.95751E-02 8.83572E-03 0.00000E+00 + 0.00000E+00 5.43587E-02 1.21167E-02 4.34719E-02 9.78645E-03 + 0.00000E+00 0.00000E+00 5.97387E-02 1.34293E-02 4.77463E-02 + 1.08388E-02 0.00000E+00 0.00000E+00 6.51774E-02 1.47683E-02 + 5.24329E-02 1.20034E-02 0.00000E+00 0.00000E+00 7.10700E-02 + 1.62325E-02 5.75637E-02 1.32901E-02 0.00000E+00 0.00000E+00 + 7.84238E-02 1.80768E-02 6.31919E-02 1.47149E-02 0.00000E+00 + 0.00000E+00 8.49377E-02 1.97258E-02 6.94152E-02 1.63053E-02 + 0.00000E+00 0.00000E+00 9.36538E-02 2.19533E-02 7.61764E-02 + 1.80497E-02 0.00000E+00 0.00000E+00 1.01958E-01 2.40958E-02 + 8.35699E-02 1.99757E-02 0.00000E+00 0.00000E+00 1.11531E-01 + 2.65895E-02 9.16540E-02 2.21021E-02 0.00000E+00 0.00000E+00 + 1.22246E-01 2.94080E-02 1.00492E-01 2.44498E-02 0.00000E+00 + 0.00000E+00 1.33905E-01 3.25049E-02 1.10159E-01 2.70432E-02 + 0.00000E+00 0.00000E+00 1.45503E-01 3.56164E-02 1.20707E-01 + 2.99014E-02 0.00000E+00 0.00000E+00 1.59119E-01 3.93061E-02 + -7.27922E-01 -2.02394E-01 0.00000E+00 0.00000E+00 -9.87100E-01 + -2.74448E-01 4.38266E-02 1.10256E-02 0.00000E+00 0.00000E+00 + 5.71561E-02 1.43301E-02 4.78813E-02 1.21585E-02 0.00000E+00 + 0.00000E+00 6.22455E-02 1.57521E-02 5.22962E-02 1.34050E-02 + 0.00000E+00 0.00000E+00 6.74503E-02 1.72216E-02 5.69786E-02 + 1.47410E-02 0.00000E+00 0.00000E+00 7.34944E-02 1.89461E-02 + -2.23110E-01 -6.60314E-02 0.00000E+00 0.00000E+00 -2.98342E-01 + -8.82846E-02 3.59525E-02 9.48591E-03 0.00000E+00 0.00000E+00 + 4.58239E-02 1.20405E-02 3.92588E-02 1.04602E-02 0.00000E+00 + 0.00000E+00 4.97845E-02 1.32076E-02 4.28617E-02 1.15337E-02 + 0.00000E+00 0.00000E+00 5.40093E-02 1.44664E-02 4.67859E-02 + 1.27160E-02 0.00000E+00 0.00000E+00 5.87169E-02 1.58847E-02 + 5.10599E-02 1.40183E-02 0.00000E+00 0.00000E+00 6.36365E-02 + 1.73837E-02 5.60409E-02 1.55533E-02 0.00000E+00 0.00000E+00 + 6.95267E-02 1.91989E-02 6.20131E-02 1.74151E-02 0.00000E+00 + 0.00000E+00 7.65246E-02 2.13804E-02 6.77671E-02 1.92298E-02 + 0.00000E+00 0.00000E+00 8.31036E-02 2.34554E-02 7.40350E-02 + 2.12301E-02 0.00000E+00 0.00000E+00 9.03287E-02 2.57611E-02 + 8.08625E-02 2.34351E-02 0.00000E+00 0.00000E+00 9.78428E-02 + 2.81879E-02 8.82918E-02 2.58635E-02 0.00000E+00 0.00000E+00 + 1.06209E-01 3.09226E-02 9.69113E-02 2.87156E-02 0.00000E+00 + 0.00000E+00 1.15854E-01 3.41140E-02 1.07262E-01 3.21832E-02 + 0.00000E+00 0.00000E+00 1.27402E-01 3.79825E-02 1.17234E-01 + 3.55659E-02 0.00000E+00 0.00000E+00 1.38301E-01 4.16798E-02 + 1.28083E-01 3.92931E-02 0.00000E+00 0.00000E+00 1.49983E-01 + 4.56930E-02 1.39879E-01 4.33978E-02 0.00000E+00 0.00000E+00 + 1.62533E-01 5.00603E-02 1.53253E-01 4.81125E-02 0.00000E+00 + 0.00000E+00 1.76833E-01 5.51017E-02 1.68292E-01 5.34848E-02 + 0.00000E+00 0.00000E+00 1.92750E-01 6.07875E-02 1.83709E-01 + 5.90659E-02 0.00000E+00 0.00000E+00 2.08664E-01 6.65483E-02 + 2.00428E-01 6.52010E-02 0.00000E+00 0.00000E+00 2.25786E-01 + 7.28313E-02 2.18535E-01 7.19369E-02 0.00000E+00 0.00000E+00 + 2.44182E-01 7.96748E-02 2.38127E-01 7.93274E-02 0.00000E+00 + 0.00000E+00 2.63768E-01 8.70631E-02 2.59295E-01 8.74261E-02 + 0.00000E+00 0.00000E+00 2.84676E-01 9.50618E-02 2.82135E-01 + 9.62900E-02 0.00000E+00 0.00000E+00 3.07026E-01 1.03736E-01 + 3.10298E-01 1.07380E-01 0.00000E+00 0.00000E+00 3.34824E-01 + 1.14682E-01 3.39767E-01 1.19155E-01 0.00000E+00 0.00000E+00 + 3.63234E-01 1.26034E-01 3.69409E-01 1.31179E-01 0.00000E+00 + 0.00000E+00 3.91226E-01 1.37389E-01 4.01232E-01 1.44284E-01 + 0.00000E+00 0.00000E+00 4.20787E-01 1.49562E-01 4.35322E-01 + 1.58541E-01 0.00000E+00 0.00000E+00 4.51929E-01 1.62587E-01 + 4.71753E-01 1.74018E-01 0.00000E+00 0.00000E+00 4.84683E-01 + 1.76501E-01 5.12777E-01 1.91724E-01 0.00000E+00 0.00000E+00 + 5.21545E-01 1.92411E-01 5.55962E-01 2.10667E-01 0.00000E+00 + 0.00000E+00 5.59431E-01 2.09029E-01 6.00455E-01 2.30507E-01 + 0.00000E+00 0.00000E+00 5.97687E-01 2.26088E-01 6.47516E-01 + 2.51845E-01 0.00000E+00 0.00000E+00 6.37243E-01 2.44023E-01 + 6.97107E-01 2.74715E-01 0.00000E+00 0.00000E+00 6.78223E-01 + 2.62922E-01 7.49181E-01 2.99148E-01 0.00000E+00 0.00000E+00 + 7.20345E-01 2.82686E-01 8.03644E-01 3.25156E-01 0.00000E+00 + 0.00000E+00 7.63447E-01 3.03268E-01 8.60368E-01 3.52731E-01 + 0.00000E+00 0.00000E+00 8.07363E-01 3.24618E-01 9.19166E-01 + 3.81841E-01 0.00000E+00 0.00000E+00 8.51825E-01 3.46629E-01 + 9.79855E-01 4.12449E-01 0.00000E+00 0.00000E+00 8.96400E-01 + 3.69110E-01 1.04213E+00 4.44455E-01 0.00000E+00 0.00000E+00 + 9.40990E-01 3.92029E-01 1.10470E+00 4.77240E-01 0.00000E+00 + 0.00000E+00 9.84067E-01 4.14599E-01 1.16861E+00 5.11389E-01 + 0.00000E+00 0.00000E+00 1.02679E+00 4.37431E-01 1.23347E+00 + 5.46750E-01 0.00000E+00 0.00000E+00 1.06868E+00 4.60267E-01 + 1.29842E+00 5.82891E-01 0.00000E+00 0.00000E+00 1.10900E+00 + 4.82701E-01 1.36304E+00 6.19607E-01 0.00000E+00 0.00000E+00 + 1.14723E+00 5.04423E-01 1.42680E+00 6.56612E-01 0.00000E+00 + 0.00000E+00 1.18311E+00 5.25246E-01 1.48921E+00 6.93633E-01 + 0.00000E+00 0.00000E+00 1.21621E+00 5.44883E-01 1.54982E+00 + 7.30396E-01 0.00000E+00 0.00000E+00 1.24612E+00 5.63024E-01 + 1.59324E+00 7.57340E-01 0.00000E+00 0.00000E+00 1.25928E+00 + 5.71192E-01 1.64677E+00 7.91339E-01 0.00000E+00 0.00000E+00 + 1.28063E+00 5.84748E-01 1.69814E+00 8.24755E-01 0.00000E+00 + 0.00000E+00 1.29898E+00 5.96687E-01 1.74613E+00 8.56743E-01 + 0.00000E+00 0.00000E+00 1.31354E+00 6.06392E-01 1.79052E+00 + 8.87081E-01 0.00000E+00 0.00000E+00 1.32418E+00 6.13661E-01 + 1.83101E+00 9.15481E-01 0.00000E+00 0.00000E+00 1.33097E+00 + 6.18423E-01 1.86755E+00 9.41786E-01 0.00000E+00 0.00000E+00 + 1.33396E+00 6.20576E-01 1.90001E+00 9.65799E-01 0.00000E+00 + 0.00000E+00 1.33332E+00 6.20102E-01 1.92841E+00 9.87404E-01 + 0.00000E+00 0.00000E+00 1.32928E+00 6.17029E-01 1.95284E+00 + 1.00653E+00 0.00000E+00 0.00000E+00 1.32209E+00 6.11403E-01 + 1.97207E+00 1.02203E+00 0.00000E+00 0.00000E+00 1.31099E+00 + 6.02452E-01 1.92400E+00 9.82079E-01 0.00000E+00 0.00000E+00 + 1.24787E+00 5.49997E-01 1.93853E+00 9.94542E-01 0.00000E+00 + 0.00000E+00 1.23534E+00 5.39246E-01 1.95059E+00 1.00522E+00 + 0.00000E+00 0.00000E+00 1.22143E+00 5.26934E-01 1.96040E+00 + 1.01421E+00 0.00000E+00 0.00000E+00 1.20650E+00 5.13253E-01 + 1.96827E+00 1.02167E+00 0.00000E+00 0.00000E+00 1.19075E+00 + 4.98325E-01 1.97440E+00 1.02770E+00 0.00000E+00 0.00000E+00 + 1.17451E+00 4.82365E-01 1.97907E+00 1.03245E+00 0.00000E+00 + 0.00000E+00 1.15802E+00 4.65549E-01 1.97686E+00 1.03012E+00 + 0.00000E+00 0.00000E+00 1.13750E+00 4.43795E-01 1.96529E+00 + 1.01734E+00 0.00000E+00 0.00000E+00 1.11153E+00 4.15137E-01 + 1.96807E+00 1.02055E+00 0.00000E+00 0.00000E+00 1.09664E+00 + 3.98011E-01 1.97031E+00 1.02323E+00 0.00000E+00 0.00000E+00 + 1.08226E+00 3.80721E-01 1.97220E+00 1.02562E+00 0.00000E+00 + 0.00000E+00 1.06847E+00 3.63378E-01 1.97384E+00 1.02778E+00 + 0.00000E+00 0.00000E+00 1.05538E+00 3.46104E-01 1.97158E+00 + 1.02464E+00 0.00000E+00 0.00000E+00 1.04059E+00 3.25571E-01 + 1.96332E+00 1.01256E+00 0.00000E+00 0.00000E+00 1.02295E+00 + 2.99771E-01 1.96584E+00 1.01646E+00 0.00000E+00 0.00000E+00 + 1.01310E+00 2.84535E-01 1.96841E+00 1.02068E+00 0.00000E+00 + 0.00000E+00 1.00404E+00 2.69663E-01 1.97110E+00 1.02538E+00 + 0.00000E+00 0.00000E+00 9.95762E-01 2.55193E-01 1.96723E+00 + 1.01815E+00 0.00000E+00 0.00000E+00 9.84311E-01 2.33792E-01 + 1.95941E+00 1.00244E+00 0.00000E+00 0.00000E+00 9.71414E-01 + 2.07891E-01 1.96361E+00 1.01156E+00 0.00000E+00 0.00000E+00 + 9.66397E-01 1.97000E-01 1.96778E+00 1.02139E+00 0.00000E+00 + 0.00000E+00 9.61951E-01 1.86508E-01 1.97185E+00 1.03192E+00 + 0.00000E+00 0.00000E+00 9.58051E-01 1.76419E-01 1.97582E+00 + 1.04329E+00 0.00000E+00 0.00000E+00 9.54669E-01 1.66743E-01 + 1.97962E+00 1.05546E+00 0.00000E+00 0.00000E+00 9.51776E-01 + 1.57481E-01 1.98322E+00 1.06854E+00 0.00000E+00 0.00000E+00 + 9.49340E-01 1.48626E-01 1.98288E+00 1.06712E+00 0.00000E+00 + 0.00000E+00 9.45424E-01 1.32176E-01 1.98410E+00 1.07318E+00 + 0.00000E+00 0.00000E+00 9.42952E-01 1.19876E-01 1.98701E+00 + 1.09092E+00 0.00000E+00 0.00000E+00 9.41916E-01 1.13555E-01 + 1.98937E+00 1.10960E+00 0.00000E+00 0.00000E+00 9.41152E-01 + 1.07521E-01 1.99113E+00 1.12923E+00 0.00000E+00 0.00000E+00 + 9.40637E-01 1.01772E-01 1.99222E+00 1.14988E+00 0.00000E+00 + 0.00000E+00 9.40349E-01 9.62890E-02 1.99234E+00 1.15779E+00 + 0.00000E+00 0.00000E+00 9.40170E-01 8.46495E-02 1.99369E+00 + 1.09565E+00 -8.06911E-04 3.70547E-02 9.40314E-01 7.80604E-02 + 1.99258E+00 1.11448E+00 -8.93760E-04 3.85293E-02 9.40539E-01 + 7.42367E-02 1.99071E+00 1.13397E+00 -1.04049E-03 4.00574E-02 + 9.40889E-01 7.05886E-02 1.98803E+00 1.15413E+00 -1.24939E-03 + 4.16264E-02 9.41352E-01 6.71171E-02 1.98449E+00 1.17492E+00 + -1.52376E-03 4.32379E-02 9.41917E-01 6.37950E-02 1.98003E+00 + 1.19643E+00 -1.86717E-03 4.48938E-02 9.42574E-01 6.06318E-02 + 1.97461E+00 1.21858E+00 -2.28335E-03 4.65959E-02 9.43310E-01 + 5.76180E-02 1.96818E+00 1.24141E+00 -2.77623E-03 4.83461E-02 + 9.44116E-01 5.47534E-02 1.96069E+00 1.26492E+00 -3.34995E-03 + 5.01460E-02 9.44989E-01 5.20158E-02 1.95209E+00 1.28907E+00 + -4.00880E-03 5.19974E-02 9.45915E-01 4.94178E-02 2.01175E+00 + 1.13726E+00 -3.01144E-02 1.18426E-01 9.47794E-01 4.46345E-02 + 2.04524E+00 1.05938E+00 -4.59458E-02 1.55233E-01 9.49157E-01 + 4.14649E-02 2.04123E+00 1.06798E+00 -4.81144E-02 1.59875E-01 + 9.50017E-01 3.96243E-02 2.03703E+00 1.07631E+00 -5.04813E-02 + 1.64568E-01 9.50904E-01 3.78666E-02 2.03272E+00 1.08426E+00 + -5.30479E-02 1.69308E-01 9.51817E-01 3.61790E-02 2.02834E+00 + 1.09184E+00 -5.58159E-02 1.74092E-01 9.52750E-01 3.45669E-02 + 2.02391E+00 1.09903E+00 -5.87864E-02 1.78916E-01 9.53699E-01 + 3.30271E-02 2.01953E+00 1.10575E+00 -6.19588E-02 1.83775E-01 + 9.54665E-01 3.15466E-02 2.19371E+00 8.53287E-01 -1.21757E-01 + 2.70445E-01 9.56454E-01 2.89535E-02 2.21653E+00 8.21912E-01 + -1.31274E-01 2.83534E-01 9.57398E-01 2.76545E-02 2.22303E+00 + 8.13408E-01 -1.35347E-01 2.88864E-01 9.58269E-01 2.65161E-02 + 2.23052E+00 8.04057E-01 -1.39522E-01 2.94073E-01 9.59148E-01 + 2.54194E-02 2.23912E+00 7.93793E-01 -1.43779E-01 2.99151E-01 + 9.60032E-01 2.43661E-02 2.24886E+00 7.82678E-01 -1.48101E-01 + 3.04087E-01 9.60917E-01 2.33526E-02 2.25982E+00 7.70665E-01 + -1.52463E-01 3.08866E-01 9.61806E-01 2.23799E-02 2.27210E+00 + 7.57730E-01 -1.56841E-01 3.13475E-01 9.62695E-01 2.14438E-02 + 2.28575E+00 7.43902E-01 -1.61213E-01 3.17905E-01 9.63588E-01 + 2.05382E-02 2.30085E+00 7.29162E-01 -1.65549E-01 3.22140E-01 + 9.64476E-01 1.96711E-02 2.31744E+00 7.13522E-01 -1.69825E-01 + 3.26169E-01 9.65362E-01 1.88377E-02 2.60222E+00 4.54232E-01 + -2.40197E-01 3.90243E-01 9.67071E-01 1.72802E-02 2.60222E+00 + 4.54232E-01 -2.40197E-01 3.90243E-01 9.67071E-01 1.72802E-02 + DATA FOR MEDIUM # 5, WHICH IS: MEDIUM=LEAD-3MEV-10KEV-02KEV ,STERNCID=LEAD-3MEV-10KEV-02KEV + ELEM,RHO= 11.34 ,NE= 1,COMPOSITION IS : + ASYM=PB,Z=82.,A= 207.190,PZ= 1.00000E+00,RHOZ= 2.07190E+02 + ECHO READ:$LGN(RLC,AE,AP,UE,UP(IM)) + 5.61722E-01 5.21000E-01 2.00000E-03 3.51100E+00 3.00200E+00 + ECHO READ:$LGN(MSGE,MGE,MSEKE,MEKE,MLEKE,MCMFP,MRANGE(IM)),IRAYL + 0 200 0 150 0 0 0 0 + ECHO READ:($LGN(DL(I,IM)/1,2,3,4,5,6/),I=1,6) + 9.99978E-01 -2.54427E-01 5.83850E-02 9.95017E-01 -2.73094E-01 + 9.52000E-01 1.00027E+00 -2.16315E-01 4.17018E-02 1.01715E+00 + -2.79168E-01 9.52000E-01 1.00042E+00 -1.96617E-01 3.01774E-02 + 1.02859E+00 -2.82308E-01 9.52000E-01 9.99976E-01 -2.78543E-01 + 6.39190E-02 9.94545E-01 -2.98980E-01 9.52000E-01 1.00029E+00 + -2.37319E-01 4.57509E-02 1.01881E+00 -3.06275E-01 9.52000E-01 + 1.00046E+00 -2.15944E-01 3.31437E-02 1.03140E+00 -3.10058E-01 + 9.52000E-01 + ECHO READ:DELCM(IM),($LGN(ALPHI,BPAR, DELPOS(I,IM)),I=1,2) + 1.59966E+01 9.44751E-01 8.53032E-01 2.33006E+00 9.46747E-01 + 8.52630E-01 1.68084E+00 + ECHO READ:$LGN(XR0,TEFF0,BLCC,XCC(IM)) + 2.95540E-01 5.91168E-04 3.38378E+04 5.73000E+00 + ECHO READ:$LGN(EKE(IM)/0,1/) + 1.21501E+02 2.59409E+01 + ECHO READ:($LGN(ESIG,PSIG,EDEDX,PDEDX,EBR1,PBR1,PBR2, TMXS(I,IM)/0,1/),I=1,NEKE) + 1.38378E+01 2.18057E+00 4.15774E+03 9.01448E+02 -9.28571E+01 + -3.18232E+01 -1.73032E+02 -5.14711E+01 1.00000E+00 0.00000E+00 + -6.80463E+01 -1.49172E+01 3.60754E+01 7.68879E+00 3.38669E-05 + 6.47600E-06 1.38378E+01 2.18057E+00 4.15774E+03 9.01448E+02 + -9.28571E+01 -3.18232E+01 -1.73032E+02 -5.14711E+01 1.00000E+00 + 0.00000E+00 -6.80463E+01 -1.49172E+01 3.60754E+01 7.68879E+00 + 3.38669E-05 6.47600E-06 1.37859E+01 2.16920E+00 3.70100E+03 + 8.01462E+02 -9.05610E+01 -3.13206E+01 -1.65792E+02 -4.98861E+01 + 1.00000E+00 0.00000E+00 -4.80270E+00 -1.07266E+00 3.49096E+00 + 5.55774E-01 3.58038E-05 6.89999E-06 1.37311E+01 2.15710E+00 + 3.28299E+03 7.09179E+02 -8.82330E+01 -3.08067E+01 -1.58765E+02 + -4.83347E+01 1.00000E+00 0.00000E+00 -1.67899E+00 -3.83037E-01 + 1.88220E+00 2.00610E-01 3.78648E-05 7.35502E-06 1.36789E+01 + 2.14548E+00 2.90617E+03 6.25273E+02 -8.59188E+01 -3.02913E+01 + -1.52040E+02 -4.68374E+01 1.00000E+00 0.00000E+00 -8.27818E-01 + -1.93510E-01 1.44437E+00 1.03118E-01 4.00554E-05 7.84278E-06 + 1.36228E+01 2.13287E+00 2.56505E+03 5.48660E+02 -8.35381E+01 + -2.97566E+01 -1.45475E+02 -4.53629E+01 1.00000E+00 0.00000E+00 + -4.75735E-01 -1.14434E-01 1.26371E+00 6.25425E-02 4.23621E-05 + 8.36087E-06 1.35690E+01 2.12069E+00 2.25801E+03 4.79099E+02 + -8.12207E+01 -2.92316E+01 -1.39251E+02 -4.39528E+01 1.00000E+00 + 0.00000E+00 -2.96636E-01 -7.38577E-02 1.17221E+00 4.18120E-02 + 4.48076E-05 8.91492E-06 1.35139E+01 2.10810E+00 1.98094E+03 + 4.15773E+02 -7.88855E+01 -2.86980E+01 -1.33230E+02 -4.25766E+01 + 1.00000E+00 0.00000E+00 -1.92925E-01 -5.01544E-02 1.11957E+00 + 2.97815E-02 4.73932E-05 9.50586E-06 1.34572E+01 2.09502E+00 + 1.73181E+03 3.58329E+02 -7.65393E+01 -2.81569E+01 -1.27408E+02 + -4.12342E+01 1.00000E+00 0.00000E+00 -1.27385E-01 -3.50418E-02 + 1.08661E+00 2.21832E-02 5.01236E-05 1.01354E-05 1.34029E+01 + 2.08239E+00 1.50790E+03 3.06235E+02 -7.42349E+01 -2.76208E+01 + -1.21849E+02 -3.99410E+01 1.00000E+00 0.00000E+00 -8.31078E-02 + -2.47408E-02 1.06464E+00 1.70717E-02 5.30194E-05 1.08091E-05 + 1.33482E+01 2.06955E+00 1.30689E+03 2.59046E+02 -7.19446E+01 + -2.70832E+01 -1.16497E+02 -3.86844E+01 1.00000E+00 0.00000E+00 + -5.16170E-02 -1.73480E-02 1.04930E+00 1.34688E-02 5.60775E-05 + 1.15270E-05 1.32921E+01 2.05626E+00 1.12645E+03 2.16301E+02 + -6.96718E+01 -2.65448E+01 -1.11343E+02 -3.74634E+01 1.00000E+00 + 0.00000E+00 -2.82332E-02 -1.18084E-02 1.03815E+00 1.08296E-02 + 5.93090E-05 1.22926E-05 1.32377E+01 2.04324E+00 9.65164E+02 + 1.77739E+02 -6.74227E+01 -2.60070E+01 -1.06389E+02 -3.62789E+01 + 1.00000E+00 0.00000E+00 -1.02614E-02 -7.51167E-03 1.02984E+00 + 8.84121E-03 6.27297E-05 1.31105E-05 1.31836E+01 2.03019E+00 + 8.20988E+02 1.42948E+02 -6.52144E+01 -2.54741E+01 -1.01644E+02 + -3.51341E+01 1.00000E+00 0.00000E+00 4.00231E-03 -4.06971E-03 + 1.02344E+00 7.29801E-03 6.63457E-05 1.39830E-05 1.31385E+01 + 2.01920E+00 6.92275E+02 1.11597E+02 -6.30148E+01 -2.49383E+01 + -9.70569E+01 -3.40168E+01 1.00000E+00 0.00000E+00 1.56814E-02 + -1.22493E-03 1.01843E+00 6.07814E-03 7.01635E-05 1.49129E-05 + 1.30985E+01 2.00936E+00 5.77772E+02 8.34425E+01 -6.08591E+01 + -2.44083E+01 -9.26632E+01 -3.29364E+01 1.00000E+00 0.00000E+00 + 2.54630E-02 1.18018E-03 1.01446E+00 5.09933E-03 7.42059E-05 + 1.59069E-05 1.30381E+01 1.99438E+00 4.75954E+02 5.81679E+01 + -5.87355E+01 -2.38811E+01 -8.84405E+01 -3.18882E+01 1.00000E+00 + 0.00000E+00 3.37550E-02 3.23853E-03 1.01122E+00 4.29536E-03 + 7.84667E-05 1.69646E-05 1.29761E+01 1.97885E+00 3.85628E+02 + 3.55290E+01 -5.66634E+01 -2.33618E+01 -8.44037E+01 -3.08763E+01 + 1.00000E+00 0.00000E+00 4.09856E-02 5.05075E-03 1.00855E+00 + 3.62892E-03 8.29758E-05 1.80947E-05 1.29222E+01 1.96520E+00 + 3.05912E+02 1.53545E+01 -5.46043E+01 -2.28407E+01 -8.04961E+01 + -2.98876E+01 1.00000E+00 0.00000E+00 4.74257E-02 6.68064E-03 + 1.00635E+00 3.07075E-03 8.77409E-05 1.93007E-05 1.88874E+03 + 4.81374E+02 2.35547E+02 -2.62908E+00 -7.17020E+01 -2.72104E+01 + -7.67519E+01 -2.89307E+01 -7.78539E+01 -2.01529E+01 5.32157E-02 + 8.16039E-03 1.00450E+00 2.59761E-03 9.27696E-05 2.05858E-05 + 1.57928E+03 4.01496E+02 1.73752E+02 -1.85793E+01 -6.72794E+01 + -2.60688E+01 -7.31733E+01 -2.80069E+01 -8.42468E+00 -2.23213E+00 + 5.85313E-02 9.53240E-03 1.00292E+00 2.18942E-03 9.80937E-05 + 2.19601E-05 1.33024E+03 3.36571E+02 1.19641E+02 -3.26866E+01 + -6.33508E+01 -2.50446E+01 -6.97147E+01 -2.71052E+01 -3.10946E+00 + -8.46404E-01 6.34546E-02 1.08160E-02 1.00156E+00 1.83688E-03 + 1.03695E-04 2.34203E-05 1.12852E+03 2.83445E+02 7.23967E+01 + -4.51286E+01 -5.98460E+01 -2.41216E+01 -6.64195E+01 -2.62374E+01 + -1.56079E+00 -4.38552E-01 6.80874E-02 1.20360E-02 1.00040E+00 + 1.52919E-03 1.09634E-04 2.49845E-05 9.62953E+02 2.39395E+02 + 3.13938E+01 -5.60376E+01 -5.66457E+01 -2.32702E+01 -6.32386E+01 + -2.53911E+01 -9.02506E-01 -2.63410E-01 7.24842E-02 1.32058E-02 + 9.99394E-01 1.26170E-03 1.15879E-04 2.66460E-05 8.26362E+02 + 2.02677E+02 -3.97568E+00 -6.55453E+01 -5.37158E+01 -2.24825E+01 + -6.01979E+01 -2.45737E+01 -5.63228E-01 -1.72207E-01 7.66993E-02 + 1.43389E-02 9.98491E-01 1.02049E-03 1.22483E-04 2.84213E-05 + 7.12343E+02 1.71706E+02 -3.43071E+01 -7.37844E+01 -5.09878E+01 + -2.17416E+01 -5.72682E+01 -2.37779E+01 -3.65667E-01 -1.18543E-01 + 8.07349E-02 1.54352E-02 9.97718E-01 8.10207E-04 1.29448E-04 + 3.03130E-05 6.16761E+02 1.45469E+02 -6.02293E+01 -8.09003E+01 + -4.84576E+01 -2.10470E+01 -5.44777E+01 -2.30119E+01 -2.40716E-01 + -8.42439E-02 8.46892E-02 1.65206E-02 9.97025E-01 6.20029E-04 + 1.36809E-04 3.23337E-05 5.35843E+02 1.23019E+02 -8.19678E+01 + -8.69310E+01 -4.60556E+01 -2.03806E+01 -5.17767E+01 -2.22626E+01 + -1.56598E-01 -6.09063E-02 8.85101E-02 1.75807E-02 9.96407E-01 + 4.48397E-04 1.44553E-04 3.44823E-05 4.67143E+02 1.03752E+02 + -1.00280E+02 -9.20669E+01 -4.38071E+01 -1.97500E+01 -4.92086E+01 + -2.15424E+01 -9.72094E-02 -4.42513E-02 9.23130E-02 1.86471E-02 + 9.95869E-01 2.95324E-04 1.52737E-04 3.67775E-05 4.08111E+02 + 8.70169E+01 -1.15235E+02 -9.63062E+01 -4.16582E+01 -1.91408E+01 + -4.67303E+01 -2.08397E+01 -5.36229E-02 -3.18944E-02 9.59694E-02 + 1.96837E-02 9.95355E-01 1.51527E-04 1.61356E-04 3.92208E-05 + 3.57452E+02 7.24960E+01 -1.27424E+02 -9.98005E+01 -3.96226E+01 + -1.85573E+01 -4.43652E+01 -2.01618E+01 -2.05192E-02 -2.24057E-02 + 9.96586E-02 2.07412E-02 9.94922E-01 2.78316E-05 1.70449E-04 + 4.18274E-05 3.13444E+02 5.97407E+01 -1.37103E+02 -1.02606E+02 + -3.76817E+01 -1.79948E+01 -4.20995E+01 -1.95051E+01 5.34559E-03 + -1.49090E-02 1.03288E-01 2.17930E-02 9.94520E-01 -8.96794E-05 + 1.80030E-04 4.46042E-05 2.75311E+02 4.85634E+01 -1.44404E+02 + -1.04746E+02 -3.58130E+01 -1.74470E+01 -3.99153E+01 -1.88649E+01 + 2.59780E-02 -8.86145E-03 1.06879E-01 2.28456E-02 9.94155E-01 + -1.97913E-04 1.90115E-04 4.75604E-05 2.41981E+02 3.86823E+01 + -1.49869E+02 -1.06366E+02 -3.40351E+01 -1.69200E+01 -3.78353E+01 + -1.82483E+01 4.28560E-02 -3.85767E-03 1.10466E-01 2.39090E-02 + 9.93828E-01 -2.93777E-04 2.00756E-04 5.07151E-05 2.12814E+02 + 2.99352E+01 -1.53498E+02 -1.07454E+02 -3.23258E+01 -1.64074E+01 + -3.58381E+01 -1.76494E+01 5.69175E-02 3.59201E-04 1.14026E-01 + 2.49769E-02 9.93518E-01 -3.86549E-04 2.11947E-04 5.40709E-05 + 1.87210E+02 2.21674E+01 -1.55648E+02 -1.08106E+02 -3.06847E+01 + -1.59095E+01 -3.39243E+01 -1.70687E+01 6.88306E-02 3.97365E-03 + 1.17577E-01 2.60541E-02 9.93246E-01 -4.68501E-04 2.23734E-04 + 5.76472E-05 1.64674E+02 1.52490E+01 -1.56432E+02 -1.08347E+02 + -2.91117E+01 -1.54265E+01 -3.20950E+01 -1.65071E+01 7.91150E-02 + 7.13087E-03 1.21122E-01 2.71424E-02 9.92999E-01 -5.44261E-04 + 2.36153E-04 6.14596E-05 1.44814E+02 9.07887E+00 -1.56046E+02 + -1.08227E+02 -2.75964E+01 -1.49558E+01 -3.03391E+01 -1.59616E+01 + 8.80845E-02 9.91741E-03 1.24642E-01 2.82361E-02 9.92764E-01 + -6.18479E-04 2.49195E-04 6.55113E-05 1.27284E+02 3.56699E+00 + -1.54683E+02 -1.07799E+02 -2.61435E+01 -1.44990E+01 -2.86623E+01 + -1.54344E+01 9.60575E-02 1.24244E-02 1.28175E-01 2.93469E-02 + 9.92560E-01 -6.81873E-04 2.62932E-04 6.98309E-05 1.11867E+02 + -1.34007E+00 -1.52393E+02 -1.07070E+02 -2.47437E+01 -1.40534E+01 + -2.70534E+01 -1.49223E+01 1.03169E-01 1.46880E-02 1.31682E-01 + 3.04629E-02 9.92368E-01 -7.43721E-04 2.77364E-04 7.44244E-05 + 9.82362E+01 -5.73236E+00 -1.49399E+02 -1.06105E+02 -2.34011E+01 + -1.36208E+01 -2.55171E+01 -1.44272E+01 1.09631E-01 1.67702E-02 + 1.35189E-01 3.15932E-02 9.92195E-01 -7.99384E-04 2.92551E-04 + 7.93181E-05 8.62015E+01 -9.65935E+00 -1.45802E+02 -1.04932E+02 + -2.21098E+01 -1.31994E+01 -2.40460E+01 -1.39472E+01 1.15533E-01 + 1.86959E-02 1.38680E-01 3.27324E-02 9.92040E-01 -8.50409E-04 + 3.08504E-04 8.45237E-05 7.56377E+01 -1.31500E+01 -1.41620E+02 + -1.03549E+02 -2.08690E+01 -1.27894E+01 -2.26398E+01 -1.34825E+01 + 1.20983E-01 2.04972E-02 1.42164E-01 3.38835E-02 9.91892E-01 + -8.98341E-04 3.25264E-04 9.00619E-05 6.63255E+01 -1.62671E+01 + -1.37020E+02 -1.02010E+02 -1.96752E+01 -1.23899E+01 -2.12924E+01 + -1.30315E+01 1.26046E-01 2.21915E-02 1.45622E-01 3.50411E-02 + 9.91756E-01 -9.43180E-04 3.42863E-04 9.59526E-05 5.81612E+01 + -1.90357E+01 -1.32069E+02 -1.00331E+02 -1.85292E+01 -1.20012E+01 + -2.00054E+01 -1.25951E+01 1.30794E-01 2.38015E-02 1.49074E-01 + 3.62115E-02 9.91644E-01 -9.81835E-04 3.61332E-04 1.02215E-04 + 5.10379E+01 -2.14829E+01 -1.26813E+02 -9.85252E+01 -1.74280E+01 + -1.16229E+01 -1.87743E+01 -1.21722E+01 1.35234E-01 2.53270E-02 + 1.52484E-01 3.73833E-02 9.91533E-01 -1.01894E-03 3.80727E-04 + 1.08879E-04 4.48229E+01 -2.36470E+01 -1.21350E+02 -9.66226E+01 + -1.63718E+01 -1.12551E+01 -1.75989E+01 -1.17628E+01 1.39472E-01 + 2.68030E-02 1.55897E-01 3.85716E-02 9.91446E-01 -1.04987E-03 + 4.01071E-04 1.15963E-04 3.94489E+01 -2.55439E+01 -1.15721E+02 + -9.46362E+01 -1.53572E+01 -1.08970E+01 -1.64748E+01 -1.13661E+01 + 1.43469E-01 2.82133E-02 1.59264E-01 3.97599E-02 9.91354E-01 + -1.08234E-03 4.22386E-04 1.23486E-04 3.48114E+01 -2.72028E+01 + -1.09967E+02 -9.25775E+01 -1.43836E+01 -1.05487E+01 -1.54010E+01 + -1.09819E+01 1.47295E-01 2.95824E-02 1.62605E-01 4.09553E-02 + 9.91286E-01 -1.10553E-03 4.44752E-04 1.31488E-04 3.08452E+01 + -2.86420E+01 -1.04132E+02 -9.04602E+01 -1.34492E+01 -1.02097E+01 + -1.43744E+01 -1.06095E+01 1.50934E-01 3.09025E-02 1.65889E-01 + 4.21468E-02 9.91218E-01 -1.13182E-03 4.68173E-04 1.39985E-04 + 2.75028E+01 -2.98716E+01 -9.82631E+01 -8.83010E+01 -1.25534E+01 + -9.88005E+00 -1.33947E+01 -1.02490E+01 1.54468E-01 3.22028E-02 + 1.69178E-01 4.33570E-02 9.91174E-01 -1.14728E-03 4.92707E-04 + 1.49013E-04 2.46885E+01 -3.09222E+01 -9.23954E+01 -8.61109E+01 + -1.16940E+01 -9.55928E+00 -1.24581E+01 -9.89942E+00 1.57799E-01 + 3.34464E-02 1.72365E-01 4.45463E-02 9.91125E-01 -1.16583E-03 + 5.18381E-04 1.58595E-04 2.23745E+01 -3.17985E+01 -8.65514E+01 + -8.38978E+01 -1.08718E+01 -9.24792E+00 -1.15654E+01 -9.56134E+00 + 1.61032E-01 3.46706E-02 1.75525E-01 4.57433E-02 9.91088E-01 + -1.17975E-03 5.45270E-04 1.68778E-04 2.04809E+01 -3.25263E+01 + -8.07676E+01 -8.16752E+01 -1.00834E+01 -8.94494E+00 -1.07131E+01 + -9.23379E+00 1.64136E-01 3.58635E-02 1.78617E-01 4.69315E-02 + 9.91063E-01 -1.18903E-03 5.73373E-04 1.79578E-04 1.90028E+01 + -3.31030E+01 -7.50479E+01 -7.94440E+01 -9.32785E+00 -8.65022E+00 + -9.89863E+00 -8.91612E+00 1.67142E-01 3.70361E-02 1.81666E-01 + 4.81208E-02 9.91051E-01 -1.19521E-03 6.02772E-04 1.91046E-04 + 1.78783E+01 -3.35483E+01 -6.94121E+01 -7.72117E+01 -8.60502E+00 + -8.36394E+00 -9.12247E+00 -8.60871E+00 1.70030E-01 3.81799E-02 + 1.84637E-01 4.92973E-02 9.91032E-01 -1.20140E-03 6.33484E-04 + 2.03209E-04 1.70629E+01 -3.38760E+01 -6.38959E+01 -7.49933E+01 + -7.91336E+00 -8.08577E+00 -8.38207E+00 -8.31092E+00 1.72808E-01 + 3.92972E-02 1.87534E-01 5.04626E-02 9.91032E-01 -1.20140E-03 + 6.65556E-04 2.16108E-04 1.65368E+01 -3.40910E+01 -5.84897E+01 + -7.27850E+01 -7.25144E+00 -7.81537E+00 -7.67572E+00 -8.02239E+00 + 1.75491E-01 4.03932E-02 1.90359E-01 5.16163E-02 9.91032E-01 + -1.19985E-03 6.99053E-04 2.29792E-04 1.62526E+01 -3.42089E+01 + -5.32268E+01 -7.06006E+01 -6.61876E+00 -7.55279E+00 -7.00276E+00 + -7.74308E+00 1.78078E-01 4.14669E-02 1.93104E-01 5.27559E-02 + 9.91057E-01 -1.19212E-03 7.34007E-04 2.44299E-04 1.61997E+01 + -3.42313E+01 -4.80885E+01 -6.84331E+01 -6.01348E+00 -7.29748E+00 + -6.36067E+00 -7.47224E+00 1.80552E-01 4.25104E-02 1.95748E-01 + 5.38710E-02 9.91069E-01 -1.18594E-03 7.70453E-04 2.59672E-04 + 1.63389E+01 -3.41715E+01 -4.31051E+01 -6.62964E+01 -5.43529E+00 + -7.04957E+00 -5.74923E+00 -7.21008E+00 1.82948E-01 4.35376E-02 + 1.98317E-01 5.49725E-02 9.91100E-01 -1.17511E-03 8.08443E-04 + 2.75961E-04 1.66528E+01 -3.40347E+01 -3.82748E+01 -6.41906E+01 + -4.88331E+00 -6.80891E+00 -5.16677E+00 -6.95616E+00 1.85222E-01 + 4.45289E-02 2.00772E-01 5.60427E-02 9.91131E-01 -1.15965E-03 + 8.48038E-04 2.93223E-04 1.71171E+01 -3.38289E+01 -3.35962E+01 + -6.21161E+01 -4.35602E+00 -6.57510E+00 -4.61202E+00 -6.71015E+00 + 1.87440E-01 4.55125E-02 2.03156E-01 5.71001E-02 9.91168E-01 + -1.14109E-03 8.89267E-04 3.11506E-04 1.77148E+01 -3.35592E+01 + -2.90746E+01 -6.00762E+01 -3.85233E+00 -6.34787E+00 -4.08335E+00 + -6.47164E+00 1.89531E-01 4.64561E-02 2.05412E-01 5.81177E-02 + 9.91218E-01 -1.12099E-03 9.32160E-04 3.30856E-04 1.84226E+01 + -3.32342E+01 -2.47162E+01 -5.80751E+01 -3.37244E+00 -6.12754E+00 + -3.58070E+00 -6.24087E+00 1.91544E-01 4.73799E-02 2.07573E-01 + 5.91100E-02 9.91273E-01 -1.09625E-03 9.76800E-04 3.51351E-04 + 1.92249E+01 -3.28593E+01 -2.05224E+01 -5.61149E+01 -2.91437E+00 + -5.91345E+00 -3.10214E+00 -6.01718E+00 1.93434E-01 4.82634E-02 + 2.09600E-01 6.00576E-02 9.91323E-01 -1.07151E-03 1.02319E-03 + 3.73034E-04 2.01131E+01 -3.24364E+01 -1.64826E+01 -5.41920E+01 + -2.47787E+00 -5.70569E+00 -2.64709E+00 -5.80061E+00 1.95245E-01 + 4.91256E-02 2.11528E-01 6.09751E-02 9.91385E-01 -1.04059E-03 + 1.07141E-03 3.95985E-04 2.10675E+01 -3.19737E+01 -1.26035E+01 + -5.23112E+01 -2.06137E+00 -5.50375E+00 -2.21371E+00 -5.59046E+00 + 1.96962E-01 4.99582E-02 2.13340E-01 6.18537E-02 9.91459E-01 + -1.00657E-03 1.12146E-03 4.20251E-04 2.20760E+01 -3.14753E+01 + -8.88749E+00 -5.04752E+01 -1.66509E+00 -5.30793E+00 -1.80225E+00 + -5.38717E+00 1.98585E-01 5.07601E-02 2.15038E-01 6.26925E-02 + 9.91533E-01 -9.69466E-04 1.17342E-03 4.45928E-04 2.31309E+01 + -3.09441E+01 -5.32268E+00 -4.86795E+01 -1.28772E+00 -5.11786E+00 + -1.41102E+00 -5.19010E+00 2.00108E-01 5.15268E-02 2.16608E-01 + 6.34832E-02 9.91607E-01 -9.33903E-04 1.22730E-03 4.73067E-04 + 2.42164E+01 -3.03864E+01 -1.91660E+00 -4.69301E+01 -9.28367E-01 + -4.93327E+00 -1.03925E+00 -4.99915E+00 2.01520E-01 5.22525E-02 + 2.18046E-01 6.42221E-02 9.91691E-01 -8.90615E-04 1.28317E-03 + 5.01767E-04 2.53297E+01 -2.98030E+01 1.34185E+00 -4.52224E+01 + -5.86417E-01 -4.75407E+00 -6.86116E-01 -4.81409E+00 2.02853E-01 + 5.29511E-02 2.19375E-01 6.49186E-02 9.91777E-01 -8.44224E-04 + 1.34103E-03 5.32087E-04 2.64598E+01 -2.91987E+01 4.45186E+00 + -4.35591E+01 -2.61270E-01 -4.58016E+00 -3.50851E-01 -4.63476E+00 + 2.04078E-01 5.36061E-02 2.20570E-01 6.55580E-02 9.91867E-01 + -7.96292E-04 1.40095E-03 5.64131E-04 2.75970E+01 -2.85777E+01 + 7.41581E+00 -4.19402E+01 4.75981E-02 -4.41147E+00 -3.28536E-02 + -4.46110E+00 2.05213E-01 5.42261E-02 2.21649E-01 6.61465E-02 + 9.91960E-01 -7.46813E-04 1.46294E-03 5.97989E-04 2.87362E+01 + -2.79420E+01 1.02388E+01 -4.03656E+01 3.41252E-01 -4.24766E+00 + 2.69038E-01 -4.29269E+00 2.06239E-01 5.47984E-02 2.22590E-01 + 6.66718E-02 9.92056E-01 -6.92696E-04 1.52704E-03 6.33745E-04 + 2.98683E+01 -2.72966E+01 1.29210E+01 -3.88363E+01 6.20136E-01 + -4.08868E+00 5.55345E-01 -4.12946E+00 2.07091E-01 5.52841E-02 + 2.23332E-01 6.70947E-02 9.92151E-01 -6.38579E-04 1.59327E-03 + 6.71503E-04 3.10114E+01 -2.66304E+01 1.54879E+01 -3.73401E+01 + 8.84870E-01 -3.93435E+00 8.26659E-01 -3.97130E+00 2.08108E-01 + 5.58771E-02 2.24206E-01 6.76044E-02 9.92254E-01 -5.78278E-04 + 1.66169E-03 7.11386E-04 3.21261E+01 -2.59656E+01 1.79123E+01 + -3.58943E+01 1.13600E+00 -3.78460E+00 1.08380E+00 -3.81796E+00 + 2.08822E-01 5.63026E-02 2.24756E-01 6.79320E-02 9.92356E-01 + -5.17976E-04 1.73227E-03 7.53478E-04 3.32152E+01 -2.53008E+01 + 2.02022E+01 -3.44967E+01 1.37404E+00 -3.63932E+00 1.32718E+00 + -3.66942E+00 2.09366E-01 5.66349E-02 2.25114E-01 6.81508E-02 + 9.92458E-01 -4.56128E-04 1.80508E-03 7.97916E-04 3.42974E+01 + -2.46244E+01 2.23864E+01 -3.31315E+01 1.59976E+00 -3.49822E+00 + 1.55773E+00 -3.52532E+00 2.09975E-01 5.70154E-02 2.25507E-01 + 6.83964E-02 9.92563E-01 -3.89642E-04 1.88011E-03 8.44814E-04 + 3.53532E+01 -2.39481E+01 2.44498E+01 -3.18098E+01 1.81345E+00 + -3.36135E+00 1.77573E+00 -3.38568E+00 2.10449E-01 5.73191E-02 + 2.25746E-01 6.85495E-02 9.92665E-01 -3.24701E-04 1.95739E-03 + 8.94312E-04 3.63804E+01 -2.32736E+01 2.63996E+01 -3.05293E+01 + 2.01583E+00 -3.22844E+00 1.98194E+00 -3.25025E+00 2.10832E-01 + 5.75705E-02 2.25871E-01 6.86319E-02 9.92776E-01 -2.52032E-04 + 2.03692E-03 9.46541E-04 3.73817E+01 -2.25989E+01 2.82428E+01 + -2.92874E+01 2.20720E+00 -3.09951E+00 2.17675E+00 -3.11901E+00 + 2.11124E-01 5.77675E-02 2.25889E-01 6.86438E-02 9.92881E-01 + -1.80905E-04 2.11871E-03 1.00165E-03 3.83495E+01 -2.19296E+01 + 2.99787E+01 -2.80867E+01 2.38822E+00 -2.97429E+00 2.36086E+00 + -2.99166E+00 2.11320E-01 5.79028E-02 2.25792E-01 6.85769E-02 + 9.92983E-01 -1.09780E-04 2.20276E-03 1.05979E-03 3.92895E+01 + -2.12614E+01 3.16196E+01 -2.69206E+01 2.55912E+00 -2.85283E+00 + 2.53450E+00 -2.86823E+00 2.11476E-01 5.80137E-02 2.25640E-01 + 6.84683E-02 9.93092E-01 -3.24701E-05 2.28909E-03 1.12114E-03 + 4.01922E+01 -2.06018E+01 3.31598E+01 -2.57950E+01 2.72049E+00 + -2.73490E+00 2.69830E+00 -2.74854E+00 2.11515E-01 5.80419E-02 + 2.25357E-01 6.82619E-02 9.93194E-01 4.17473E-05 2.37766E-03 + 1.18586E-03 4.10622E+01 -1.99477E+01 3.46093E+01 -2.47051E+01 + 2.87276E+00 -2.62043E+00 2.85272E+00 -2.63244E+00 2.11482E-01 + 5.80176E-02 2.24991E-01 6.79871E-02 9.93296E-01 1.17511E-04 + 2.46846E-03 1.25414E-03 4.18974E+01 -1.93009E+01 3.59699E+01 + -2.36516E+01 3.01619E+00 -2.50935E+00 2.99810E+00 -2.51987E+00 + 2.11388E-01 5.79441E-02 2.24556E-01 6.76500E-02 9.93398E-01 + 1.97913E-04 2.56149E-03 1.32617E-03 4.26970E+01 -1.86628E+01 + 3.72469E+01 -2.26324E+01 3.15134E+00 -2.40149E+00 3.13496E+00 + -2.41063E+00 2.11211E-01 5.78034E-02 2.24029E-01 6.72294E-02 + 9.93494E-01 2.73677E-04 2.65671E-03 1.40217E-03 4.34606E+01 + -1.80341E+01 3.84417E+01 -2.16485E+01 3.27849E+00 -2.29677E+00 + 3.26360E+00 -2.30470E+00 2.10984E-01 5.76160E-02 2.23449E-01 + 6.67513E-02 9.93596E-01 3.57172E-04 2.75408E-03 1.48235E-03 + 4.41883E+01 -1.74151E+01 3.95591E+01 -2.06981E+01 3.39795E+00 + -2.19518E+00 3.38442E+00 -2.20196E+00 2.10680E-01 5.73577E-02 + 2.22788E-01 6.61900E-02 9.93685E-01 4.34481E-04 2.85357E-03 + 1.56697E-03 4.48799E+01 -1.68068E+01 4.06026E+01 -1.97806E+01 + 3.51011E+00 -2.09656E+00 3.49772E+00 -2.10232E+00 2.10326E-01 + 5.70465E-02 2.22078E-01 6.55650E-02 9.93775E-01 5.13341E-04 + 2.95511E-03 1.65626E-03 4.55353E+01 -1.62105E+01 4.15746E+01 + -1.88958E+01 3.61536E+00 -2.00077E+00 3.60403E+00 -2.00557E+00 + 2.09912E-01 5.66693E-02 2.21307E-01 6.48630E-02 9.93865E-01 + 5.95286E-04 3.05864E-03 1.75049E-03 4.61559E+01 -1.56249E+01 + 4.24805E+01 -1.80415E+01 3.71390E+00 -1.90781E+00 3.70348E+00 + -1.91176E+00 2.09458E-01 5.62418E-02 2.20500E-01 6.41026E-02 + 9.93951E-01 6.74142E-04 3.16411E-03 1.84997E-03 4.67416E+01 + -1.50517E+01 4.33212E+01 -1.72185E+01 3.80611E+00 -1.81755E+00 + 3.79647E+00 -1.82073E+00 2.08950E-01 5.57443E-02 2.19646E-01 + 6.32658E-02 9.94030E-01 7.54544E-04 3.27137E-03 1.95496E-03 + 4.72910E+01 -1.44927E+01 4.40998E+01 -1.64264E+01 3.89219E+00 + -1.72998E+00 3.88324E+00 -1.73245E+00 2.08394E-01 5.51788E-02 + 2.18747E-01 6.23520E-02 9.94109E-01 8.33400E-04 3.38038E-03 + 2.06586E-03 4.78077E+01 -1.39457E+01 4.48207E+01 -1.56632E+01 + 3.97249E+00 -1.64497E+00 3.96418E+00 -1.64677E+00 2.07810E-01 + 5.45599E-02 2.17831E-01 6.13813E-02 9.94182E-01 9.10710E-04 + 3.49097E-03 2.18294E-03 4.82914E+01 -1.34118E+01 4.54865E+01 + -1.49283E+01 4.04735E+00 -1.56234E+00 4.03952E+00 -1.56360E+00 + 2.07194E-01 5.38800E-02 2.16891E-01 6.03446E-02 9.94251E-01 + 9.88020E-04 3.60302E-03 2.30662E-03 4.87421E+01 -1.28923E+01 + 4.60994E+01 -1.42216E+01 4.11681E+00 -1.48226E+00 4.10947E+00 + -1.48296E+00 2.06548E-01 5.31351E-02 2.15933E-01 5.92395E-02 + 9.94316E-01 1.06378E-03 3.71638E-03 2.43731E-03 4.91601E+01 + -1.23879E+01 4.66610E+01 -1.35441E+01 4.18130E+00 -1.40444E+00 + 4.17434E+00 -1.40470E+00 2.05871E-01 5.23187E-02 2.14956E-01 + 5.80609E-02 9.94381E-01 1.13955E-03 3.83084E-03 2.57541E-03 + 4.95484E+01 -1.18967E+01 4.71762E+01 -1.28922E+01 4.24096E+00 + -1.32896E+00 4.23433E+00 -1.32879E+00 2.05181E-01 5.14451E-02 + 2.13979E-01 5.68247E-02 9.94437E-01 1.21222E-03 3.94622E-03 + 2.72141E-03 4.99063E+01 -1.14204E+01 4.76465E+01 -1.22666E+01 + 4.29603E+00 -1.25570E+00 4.28970E+00 -1.25513E+00 2.04478E-01 + 5.05104E-02 2.13003E-01 5.55267E-02 9.94491E-01 1.28334E-03 + 4.06224E-03 2.87574E-03 5.02360E+01 -1.09580E+01 4.80744E+01 + -1.16666E+01 4.34676E+00 -1.18458E+00 4.34067E+00 -1.18366E+00 + 2.03772E-01 4.95215E-02 2.12040E-01 5.41772E-02 9.94542E-01 + 1.35448E-03 4.17869E-03 3.03902E-03 5.05367E+01 -1.05125E+01 + 4.84613E+01 -1.10931E+01 4.39335E+00 -1.11551E+00 4.38745E+00 + -1.11433E+00 2.03048E-01 4.84474E-02 2.11073E-01 5.27423E-02 + 9.94585E-01 1.41941E-03 4.29521E-03 3.21172E-03 5.08110E+01 + -1.00813E+01 4.88106E+01 -1.05440E+01 4.43596E+00 -1.04853E+00 + 4.43025E+00 -1.04704E+00 2.02323E-01 4.73082E-02 2.10121E-01 + 5.12468E-02 9.94628E-01 1.48590E-03 4.41145E-03 3.39447E-03 + 5.10595E+01 -9.66543E+00 4.91242E+01 -1.00190E+01 4.47479E+00 + -9.83549E-01 4.46922E+00 -9.81829E-01 2.01616E-01 4.61247E-02 + 2.09203E-01 4.97107E-02 9.94666E-01 1.54773E-03 4.52710E-03 + 3.58801E-03 5.12837E+01 -9.26418E+00 4.94046E+01 -9.51751E+00 + 4.51007E+00 -9.20438E-01 4.50462E+00 -9.18496E-01 2.00913E-01 + 4.48671E-02 2.08306E-01 4.81060E-02 9.94698E-01 1.60806E-03 + 4.64163E-03 3.79290E-03 5.14838E+01 -8.87983E+00 4.96530E+01 + -9.04024E+00 4.54186E+00 -8.59345E-01 4.53652E+00 -8.57205E-01 + 2.00223E-01 4.35420E-02 2.07438E-01 4.64380E-02 9.94730E-01 + 1.66836E-03 4.75460E-03 4.00995E-03 5.16612E+01 -8.51170E+00 + 4.98716E+01 -8.58681E+00 4.57049E+00 -7.99936E-01 4.56522E+00 + -7.97647E-01 1.99544E-01 4.21321E-02 2.06597E-01 4.46926E-02 + 9.94755E-01 1.71936E-03 4.86545E-03 4.23997E-03 5.18182E+01 + -8.15754E+00 5.00632E+01 -8.15447E+00 4.59601E+00 -7.42390E-01 + 4.59080E+00 -7.39940E-01 1.98901E-01 4.06819E-02 2.05809E-01 + 4.29146E-02 9.94778E-01 1.77350E-03 4.97354E-03 4.48377E-03 + 5.19548E+01 -7.82020E+00 5.02290E+01 -7.74489E+00 4.61860E+00 + -6.86594E-01 4.61343E+00 -6.84033E-01 1.98279E-01 3.91468E-02 + 2.05057E-01 4.10579E-02 9.94797E-01 1.82141E-03 5.07820E-03 + 4.74232E-03 5.20726E+01 -7.49858E+00 5.03710E+01 -7.35727E+00 + 4.63842E+00 -6.32473E-01 4.63330E+00 -6.29789E-01 1.97696E-01 + 3.75539E-02 2.04359E-01 3.91523E-02 9.94814E-01 1.86627E-03 + 5.17864E-03 5.01654E-03 5.21726E+01 -7.19341E+00 5.04908E+01 + -6.99164E+00 4.65561E+00 -5.80006E-01 4.65052E+00 -5.77248E-01 + 1.97148E-01 3.58804E-02 2.03711E-01 3.71746E-02 9.94829E-01 + 1.91263E-03 5.27401E-03 5.30757E-03 5.22563E+01 -6.90375E+00 + 5.05906E+01 -6.64646E+00 4.67031E+00 -5.29174E-01 4.66525E+00 + -5.26316E-01 1.96645E-01 3.41433E-02 2.03123E-01 3.51410E-02 + 9.94839E-01 1.94667E-03 5.36334E-03 5.61648E-03 5.23247E+01 + -6.63075E+00 5.06715E+01 -6.32349E+00 4.68266E+00 -4.79900E-01 + 4.67761E+00 -4.76993E-01 1.96188E-01 3.23192E-02 2.02595E-01 + 3.30328E-02 9.94849E-01 1.98685E-03 5.44553E-03 5.94445E-03 + 5.23789E+01 -6.37562E+00 5.07354E+01 -6.02224E+00 4.69278E+00 + -4.32146E-01 4.68775E+00 -4.29190E-01 1.95782E-01 3.04042E-02 + 2.02130E-01 3.08410E-02 9.94856E-01 2.01780E-03 5.51941E-03 + 6.29286E-03 5.24212E+01 -6.13151E+00 5.07850E+01 -5.73658E+00 + 4.70080E+00 -3.85941E-01 4.69577E+00 -3.82947E-01 1.95449E-01 + 2.84831E-02 2.01751E-01 2.86551E-02 9.94861E-01 2.04715E-03 + 5.58365E-03 6.66307E-03 5.24516E+01 -5.90656E+00 5.08204E+01 + -5.47412E+00 4.70685E+00 -3.41167E-01 4.70182E+00 -3.38137E-01 + 1.95172E-01 2.64351E-02 2.01440E-01 2.63516E-02 9.94865E-01 + 2.07501E-03 5.63676E-03 7.05660E-03 5.24714E+01 -5.70053E+00 + 5.08436E+01 -5.23365E+00 4.71102E+00 -2.97812E-01 4.70600E+00 + -2.94781E-01 1.94965E-01 2.42789E-02 2.01208E-01 2.39484E-02 + 9.94867E-01 2.09511E-03 5.67710E-03 7.47497E-03 5.24826E+01 + -5.50789E+00 5.08565E+01 -5.01093E+00 4.71345E+00 -2.55913E-01 + 4.70843E+00 -2.52845E-01 1.94837E-01 2.20714E-02 2.01067E-01 + 2.15079E-02 9.94868E-01 2.11364E-03 5.70285E-03 7.91998E-03 + 5.24860E+01 -5.33201E+00 5.08604E+01 -4.80734E+00 4.71423E+00 + -2.15319E-01 4.70921E+00 -2.12263E-01 1.94792E-01 1.97748E-02 + 2.01018E-01 1.89863E-02 9.94868E-01 2.13222E-03 5.71200E-03 + 8.39345E-03 5.24803E+01 -5.03478E+00 5.08542E+01 -4.48558E+00 + 4.71348E+00 -1.76006E-01 4.70845E+00 -1.72938E-01 1.94798E-01 + 1.95110E-02 2.01026E-01 1.85573E-02 9.94868E-01 2.15848E-03 + 5.70230E-03 8.89736E-03 5.24710E+01 -4.87444E+00 5.08437E+01 + -4.30345E+00 4.71129E+00 -1.38082E-01 4.70626E+00 -1.35027E-01 + 1.94925E-01 1.73113E-02 2.01165E-01 1.61598E-02 9.94867E-01 + 2.16778E-03 5.67130E-03 9.43384E-03 5.24580E+01 -4.73920E+00 + 5.08289E+01 -4.14913E+00 4.70775E+00 -1.01343E-01 4.70273E+00 + -9.83252E-02 1.95159E-01 1.48809E-02 2.01417E-01 1.35380E-02 + 9.94866E-01 2.17394E-03 5.61627E-03 1.00052E-02 5.24421E+01 + -4.62120E+00 5.08107E+01 -4.01430E+00 4.70297E+00 -6.59303E-02 + 4.69795E+00 -6.29121E-02 1.95502E-01 1.23380E-02 2.01785E-01 + 1.08126E-02 9.94866E-01 2.18015E-03 5.53420E-03 1.06136E-02 + 5.24243E+01 -4.51902E+00 5.07904E+01 -3.89778E+00 4.69703E+00 + -3.16783E-02 4.69201E+00 -2.86849E-02 1.95961E-01 9.69266E-03 + 2.02274E-01 7.99650E-03 9.94866E-01 2.17703E-03 5.42173E-03 + 1.12621E-02 5.24065E+01 -4.43467E+00 5.07699E+01 -3.80085E+00 + 4.69023E+00 3.95829E-04 4.68522E+00 3.33981E-03 1.96545E-01 + 6.93628E-03 2.02892E-01 5.07658E-03 9.94866E-01 2.17706E-03 + 5.27523E-03 1.19532E-02 5.23887E+01 -4.36366E+00 5.07494E+01 + -3.71887E+00 4.68380E+00 2.60873E-02 4.67879E+00 2.90189E-02 + 1.97255E-01 4.10088E-03 2.03641E-01 2.08735E-03 9.94868E-01 + 2.17085E-03 5.09065E-03 1.26900E-02 5.23731E+01 -4.30979E+00 + 5.07314E+01 -3.65657E+00 4.67687E+00 5.00476E-02 4.67188E+00 + 5.29421E-02 1.98110E-01 1.14342E-03 2.04537E-01 -1.01199E-03 + 9.94870E-01 2.16160E-03 4.86352E-03 1.34757E-02 5.23624E+01 + -4.27733E+00 5.07185E+01 -3.61718E+00 4.66939E+00 7.28820E-02 + 4.66441E+00 7.57270E-02 1.99147E-01 -2.02012E-03 2.05618E-01 + -4.31121E-03 9.94874E-01 2.15077E-03 4.58895E-03 1.43138E-02 + 5.23563E+01 -4.26055E+00 5.07100E+01 -3.59418E+00 4.66143E+00 + 9.46267E-02 4.65646E+00 9.74469E-02 2.00345E-01 -5.29260E-03 + 2.06864E-01 -7.71393E-03 9.94879E-01 2.13683E-03 4.26155E-03 + 1.52079E-02 5.21422E+01 -3.73148E+00 5.04944E+01 -3.06124E+00 + 4.65296E+00 1.15545E-01 4.64802E+00 1.18303E-01 1.98327E-01 + -3.05762E-04 2.04824E-01 -2.67262E-03 9.94867E-01 2.16469E-03 + 3.87540E-03 1.61620E-02 5.18023E+01 -2.96472E+00 5.01532E+01 + -2.29172E+00 4.64412E+00 1.35496E-01 4.63919E+00 1.38229E-01 + 1.94356E-01 8.65131E-03 2.00793E-01 6.42054E-03 9.94847E-01 + 2.21105E-03 3.42390E-03 1.71805E-02 5.17826E+01 -2.92389E+00 + 5.01326E+01 -2.24890E+00 4.63510E+00 1.54212E-01 4.63020E+00 + 1.56872E-01 1.95511E-01 6.25441E-03 2.01994E-01 3.92930E-03 + 9.94856E-01 2.19098E-03 2.90001E-03 1.82679E-02 5.17706E+01 + -2.90070E+00 5.01202E+01 -2.22512E+00 4.62590E+00 1.71887E-01 + 4.62101E+00 1.74534E-01 1.96843E-01 3.69423E-03 2.03370E-01 + 1.28334E-03 9.94870E-01 2.16776E-03 2.29580E-03 1.94290E-02 + 5.17620E+01 -2.88540E+00 5.01121E+01 -2.21070E+00 4.61652E+00 + 1.88662E-01 4.61169E+00 1.91222E-01 1.98279E-01 1.12487E-03 + 2.04849E-01 -1.36221E-03 9.94880E-01 2.14768E-03 1.60273E-03 + 2.06690E-02 5.17621E+01 -2.88556E+00 5.01132E+01 -2.21246E+00 + 4.60708E+00 2.04468E-01 4.60225E+00 2.07004E-01 1.99888E-01 + -1.56822E-03 2.06501E-01 -4.12600E-03 9.94896E-01 2.12137E-03 + 8.11096E-04 2.19939E-02 5.17713E+01 -2.89994E+00 5.01237E+01 + -2.22891E+00 4.59762E+00 2.19339E-01 4.59284E+00 2.21800E-01 + 2.01678E-01 -4.38156E-03 2.08332E-01 -7.00586E-03 9.94915E-01 + 2.09202E-03 -8.91243E-05 2.34093E-02 5.17908E+01 -2.92900E+00 + 5.01456E+01 -2.26134E+00 4.58818E+00 2.33338E-01 4.58343E+00 + 2.35763E-01 2.03658E-01 -7.31656E-03 2.10351E-01 -9.99881E-03 + 9.94933E-01 2.06571E-03 -1.10966E-03 2.49222E-02 5.08811E+01 + -1.65328E+00 4.92385E+01 -9.89473E-01 4.57849E+00 2.46923E-01 + 4.57377E+00 2.49298E-01 1.90651E-01 1.09224E-02 1.97115E-01 + 8.56135E-03 9.94885E-01 2.13067E-03 -2.26275E-03 2.65392E-02 + 5.08188E+01 -1.57045E+00 4.91798E+01 -9.11297E-01 4.56923E+00 + 2.59243E-01 4.56456E+00 2.61556E-01 1.91294E-01 1.00670E-02 + 1.97776E-01 7.68233E-03 9.94907E-01 2.10439E-03 -3.56203E-03 + 2.82677E-02 5.08132E+01 -1.56321E+00 4.91782E+01 -9.09208E-01 + 4.56016E+00 2.70719E-01 4.55551E+00 2.73007E-01 1.92843E-01 + 8.10628E-03 1.99354E-01 5.68495E-03 9.94930E-01 2.07344E-03 + -5.02257E-03 3.01160E-02 5.08103E+01 -1.55976E+00 4.91805E+01 + -9.11990E-01 4.55132E+00 2.81397E-01 4.54673E+00 2.83599E-01 + 1.94446E-01 6.17246E-03 2.00981E-01 3.72172E-03 9.94959E-01 + 2.03945E-03 -6.66077E-03 3.20926E-02 5.08241E+01 -1.57578E+00 + 4.91997E+01 -9.34244E-01 4.54271E+00 2.91314E-01 4.53816E+00 + 2.93479E-01 1.96300E-01 4.03478E-03 2.02859E-01 1.55624E-03 + 9.94987E-01 2.00541E-03 -8.49432E-03 3.42067E-02 5.07017E+01 + -1.44062E+00 4.90836E+01 -8.06007E-01 4.53434E+00 3.00546E-01 + 4.52985E+00 3.02648E-01 1.95940E-01 4.43220E-03 2.02484E-01 + 1.97141E-03 9.95009E-01 1.98224E-03 -1.05430E-02 3.64683E-02 + 4.99704E+01 -6.66271E-01 4.83593E+01 -3.90876E-02 4.52612E+00 + 3.09250E-01 4.52170E+00 3.11291E-01 1.85687E-01 1.52883E-02 + 1.92059E-01 1.30092E-02 9.94998E-01 1.99458E-03 -1.28280E-02 + 3.88878E-02 4.99614E+01 -6.57175E-01 4.83575E+01 -3.73069E-02 + 4.51855E+00 3.16960E-01 4.51414E+00 3.18964E-01 1.87103E-01 + 1.38478E-02 1.93490E-01 1.15540E-02 9.95032E-01 1.95904E-03 + -1.53731E-02 4.14770E-02 4.99680E+01 -6.63599E-01 4.83729E+01 + -5.22488E-02 4.51132E+00 3.24031E-01 4.50698E+00 3.25986E-01 + 1.88762E-01 1.22238E-02 1.95157E-01 9.92150E-03 9.95071E-01 + 1.92191E-03 -1.82035E-02 4.42478E-02 4.99701E+01 -6.65586E-01 + 4.83837E+01 -6.24420E-02 4.50450E+00 3.30480E-01 4.50018E+00 + 3.32397E-01 1.90315E-01 1.07589E-02 1.96715E-01 8.45157E-03 + 9.95108E-01 1.88483E-03 -2.13472E-02 4.72135E-02 4.99701E+01 + -6.65586E-01 4.83837E+01 -6.24420E-02 4.50450E+00 3.30480E-01 + 4.50018E+00 3.32397E-01 1.90315E-01 1.07589E-02 1.96715E-01 + 8.45157E-03 9.95108E-01 1.88483E-03 -2.13472E-02 4.72135E-02 + ECHO READ:EBINDA(IM),$LGN(GE(IM)/0,1/) + 8.80040E-02 1.69413E+02 2.69387E+01 + ECHO READ:($LGN(GMFP,GBR1,GBR2(I,IM)/0,1/),I=1,NGE) + 1.89531E-03 2.84905E-04 0.00000E+00 0.00000E+00 2.47502E-02 + 3.98259E-03 1.89531E-03 2.84905E-04 0.00000E+00 0.00000E+00 + 2.47502E-02 3.98259E-03 2.04671E-03 3.09414E-04 0.00000E+00 + 0.00000E+00 9.83641E-03 1.56837E-03 2.21071E-03 3.36124E-04 + 0.00000E+00 0.00000E+00 -7.15298E-03 -1.19847E-03 2.38359E-03 + 3.64450E-04 0.00000E+00 0.00000E+00 1.36779E-03 1.97635E-04 + 2.57321E-03 3.95707E-04 0.00000E+00 0.00000E+00 -8.19494E-03 + -1.37878E-03 -1.79019E-02 -3.00039E-03 0.00000E+00 0.00000E+00 + -7.78431E-03 -1.31067E-03 -2.97044E-03 -5.08446E-04 0.00000E+00 + 0.00000E+00 -3.00131E-03 -5.12424E-04 8.90692E-04 1.39965E-04 + 0.00000E+00 0.00000E+00 3.27247E-03 5.41151E-04 9.66234E-04 + 1.52731E-04 0.00000E+00 0.00000E+00 -2.29681E-03 -3.99982E-04 + 1.04751E-03 1.66552E-04 0.00000E+00 0.00000E+00 2.03658E-03 + 3.36924E-04 1.15634E-03 1.85176E-04 0.00000E+00 0.00000E+00 + 6.30504E-04 9.62982E-05 -5.14798E-04 -1.02640E-04 0.00000E+00 + 0.00000E+00 6.24062E-04 9.51888E-05 1.21757E-03 1.97642E-04 + 0.00000E+00 0.00000E+00 7.14700E-04 1.10900E-04 1.32472E-03 + 2.16335E-04 0.00000E+00 0.00000E+00 3.96740E-03 6.78360E-04 + 1.44204E-03 2.36936E-04 0.00000E+00 0.00000E+00 -7.03520E-04 + -1.41832E-04 5.87458E-04 8.58907E-05 0.00000E+00 0.00000E+00 + 5.82213E-06 -1.64578E-05 1.58852E-03 2.63995E-04 0.00000E+00 + 0.00000E+00 2.64171E-03 4.52505E-04 9.21383E-04 1.44512E-04 + 0.00000E+00 0.00000E+00 2.01255E-03 3.39825E-04 1.81792E-03 + 3.06154E-04 0.00000E+00 0.00000E+00 -6.54385E-04 -1.41014E-04 + 1.99138E-03 3.37639E-04 0.00000E+00 0.00000E+00 3.05241E-03 + 5.31811E-04 2.16796E-03 3.69908E-04 0.00000E+00 0.00000E+00 + 2.31416E-03 3.96901E-04 2.35999E-03 4.05239E-04 0.00000E+00 + 0.00000E+00 2.48964E-03 4.29188E-04 2.56885E-03 4.43932E-04 + 0.00000E+00 0.00000E+00 2.67660E-03 4.63824E-04 2.79593E-03 + 4.86291E-04 0.00000E+00 0.00000E+00 4.03499E-03 7.17215E-04 + 3.05051E-03 5.34111E-04 0.00000E+00 0.00000E+00 1.95202E-03 + 3.25951E-04 3.33689E-03 5.88283E-04 0.00000E+00 0.00000E+00 + 2.06376E-03 3.47089E-04 3.63377E-03 6.44837E-04 0.00000E+00 + 0.00000E+00 3.50340E-03 6.21334E-04 3.95691E-03 7.06832E-04 + 0.00000E+00 0.00000E+00 4.46145E-03 8.05138E-04 4.30904E-03 + 7.74874E-04 0.00000E+00 0.00000E+00 4.07814E-03 7.31072E-04 + 4.74438E-03 8.59602E-04 0.00000E+00 0.00000E+00 4.42279E-03 + 7.98149E-04 5.25496E-03 9.59696E-04 0.00000E+00 0.00000E+00 + 4.82563E-03 8.77123E-04 5.73555E-03 1.05460E-03 0.00000E+00 + 0.00000E+00 6.88905E-03 1.28460E-03 6.26127E-03 1.15919E-03 + 0.00000E+00 0.00000E+00 5.67621E-03 1.04332E-03 6.83355E-03 + 1.27388E-03 0.00000E+00 0.00000E+00 7.03101E-03 1.31485E-03 + 7.45881E-03 1.40013E-03 0.00000E+00 0.00000E+00 6.58992E-03 + 1.22579E-03 8.13953E-03 1.53862E-03 0.00000E+00 0.00000E+00 + 8.10258E-03 1.53353E-03 8.88263E-03 1.69095E-03 0.00000E+00 + 0.00000E+00 8.72663E-03 1.66145E-03 9.76937E-03 1.87412E-03 + 0.00000E+00 0.00000E+00 8.89203E-03 1.69562E-03 1.07108E-02 + 2.07009E-03 0.00000E+00 0.00000E+00 1.08026E-02 2.09331E-03 + 1.17009E-02 2.27779E-03 0.00000E+00 0.00000E+00 1.03822E-02 + 2.00513E-03 1.27783E-02 2.50558E-03 0.00000E+00 0.00000E+00 + 1.38225E-02 2.73251E-03 1.39593E-02 2.75725E-03 0.00000E+00 + 0.00000E+00 1.21354E-02 2.37297E-03 1.52427E-02 3.03292E-03 + 0.00000E+00 0.00000E+00 1.52325E-02 3.03824E-03 1.65839E-02 + 3.32333E-03 0.00000E+00 0.00000E+00 1.63696E-02 3.28445E-03 + 1.80652E-02 3.64668E-03 0.00000E+00 0.00000E+00 1.76011E-02 + 3.55326E-03 1.97152E-02 4.00979E-03 0.00000E+00 0.00000E+00 + 1.80878E-02 3.66035E-03 2.15102E-02 4.40803E-03 0.00000E+00 + 0.00000E+00 2.12315E-02 4.35789E-03 2.34715E-02 4.84683E-03 + 0.00000E+00 0.00000E+00 2.14442E-02 4.40546E-03 2.56049E-02 + 5.32810E-03 0.00000E+00 0.00000E+00 2.45208E-02 5.09952E-03 + 2.79329E-02 5.85771E-03 0.00000E+00 0.00000E+00 2.58564E-02 + 5.40336E-03 -1.57516E-01 -3.66906E-02 0.00000E+00 0.00000E+00 + -1.51352E-01 -3.52543E-02 1.43979E-02 3.09114E-03 0.00000E+00 + 0.00000E+00 1.39461E-02 2.99650E-03 1.58583E-02 3.43201E-03 + 0.00000E+00 0.00000E+00 1.46888E-02 3.16985E-03 1.74638E-02 + 3.81003E-03 0.00000E+00 0.00000E+00 1.67260E-02 3.64951E-03 + -3.37306E-02 -8.35001E-03 0.00000E+00 0.00000E+00 -3.25943E-02 + -8.06536E-03 -5.38610E-03 -1.55755E-03 0.00000E+00 0.00000E+00 + -5.40403E-03 -1.54950E-03 1.30079E-02 2.88994E-03 0.00000E+00 + 0.00000E+00 1.21268E-02 2.68928E-03 1.42100E-02 3.18325E-03 + 0.00000E+00 0.00000E+00 1.32555E-02 2.96466E-03 1.55224E-02 + 3.50636E-03 0.00000E+00 0.00000E+00 1.43800E-02 3.24153E-03 + 1.69541E-02 3.86211E-03 0.00000E+00 0.00000E+00 1.55917E-02 + 3.54261E-03 1.85161E-02 4.25385E-03 0.00000E+00 0.00000E+00 + 1.71226E-02 3.92657E-03 2.02201E-02 4.68522E-03 0.00000E+00 + 0.00000E+00 1.84369E-02 4.25928E-03 2.22785E-02 5.21126E-03 + 0.00000E+00 0.00000E+00 2.04016E-02 4.76136E-03 2.43523E-02 + 5.74630E-03 0.00000E+00 0.00000E+00 2.21185E-02 5.20431E-03 + 2.66094E-02 6.33427E-03 0.00000E+00 0.00000E+00 2.40993E-02 + 5.72032E-03 2.90727E-02 6.98219E-03 0.00000E+00 0.00000E+00 + 2.63175E-02 6.30379E-03 3.17596E-02 7.69594E-03 0.00000E+00 + 0.00000E+00 2.87247E-02 6.94322E-03 3.46919E-02 8.48259E-03 + 0.00000E+00 0.00000E+00 3.10956E-02 7.57928E-03 3.78884E-02 + 9.34877E-03 0.00000E+00 0.00000E+00 3.38963E-02 8.33819E-03 + 4.13752E-02 1.03032E-02 0.00000E+00 0.00000E+00 3.67535E-02 + 9.12029E-03 4.51754E-02 1.13541E-02 0.00000E+00 0.00000E+00 + 4.00167E-02 1.00227E-02 4.93168E-02 1.25112E-02 0.00000E+00 + 0.00000E+00 4.35466E-02 1.10090E-02 5.38729E-02 1.37976E-02 + 0.00000E+00 0.00000E+00 4.71956E-02 1.20392E-02 5.96713E-02 + 1.54521E-02 0.00000E+00 0.00000E+00 5.23084E-02 1.34981E-02 + 6.52144E-02 1.70506E-02 0.00000E+00 0.00000E+00 5.67529E-02 + 1.47798E-02 7.12564E-02 1.88119E-02 0.00000E+00 0.00000E+00 + 6.17186E-02 1.62273E-02 7.78440E-02 2.07532E-02 0.00000E+00 + 0.00000E+00 6.70828E-02 1.78080E-02 8.50219E-02 2.28918E-02 + 0.00000E+00 0.00000E+00 7.28050E-02 1.95130E-02 9.28403E-02 + 2.52474E-02 0.00000E+00 0.00000E+00 7.91793E-02 2.14335E-02 + 1.01356E-01 2.78421E-02 0.00000E+00 0.00000E+00 8.58434E-02 + 2.34639E-02 1.11292E-01 3.09042E-02 0.00000E+00 0.00000E+00 + 9.38297E-02 2.59251E-02 1.23223E-01 3.46234E-02 0.00000E+00 + 0.00000E+00 1.03333E-01 2.88877E-02 1.34692E-01 3.82405E-02 + 0.00000E+00 0.00000E+00 1.12246E-01 3.16989E-02 1.47179E-01 + 4.22257E-02 0.00000E+00 0.00000E+00 1.22028E-01 3.48204E-02 + 1.60779E-01 4.66176E-02 0.00000E+00 0.00000E+00 1.32201E-01 + 3.81059E-02 1.75563E-01 5.14504E-02 0.00000E+00 0.00000E+00 + 1.43514E-01 4.18039E-02 1.91204E-01 5.66258E-02 0.00000E+00 + 0.00000E+00 1.55269E-01 4.56933E-02 2.07414E-01 6.20560E-02 + 0.00000E+00 0.00000E+00 1.67182E-01 4.96844E-02 2.26031E-01 + 6.83711E-02 0.00000E+00 0.00000E+00 1.80944E-01 5.43525E-02 + 2.46207E-01 7.53029E-02 0.00000E+00 0.00000E+00 1.95627E-01 + 5.93969E-02 2.68055E-01 8.29054E-02 0.00000E+00 0.00000E+00 + 2.11330E-01 6.48613E-02 2.93060E-01 9.17208E-02 0.00000E+00 + 0.00000E+00 2.29438E-01 7.12452E-02 3.21345E-01 1.01825E-01 + 0.00000E+00 0.00000E+00 2.49732E-01 7.84944E-02 3.49705E-01 + 1.12091E-01 0.00000E+00 0.00000E+00 2.69497E-01 8.56498E-02 + 3.80307E-01 1.23321E-01 0.00000E+00 0.00000E+00 2.90654E-01 + 9.34129E-02 4.13290E-01 1.35591E-01 0.00000E+00 0.00000E+00 + 3.13270E-01 1.01826E-01 4.48800E-01 1.48986E-01 0.00000E+00 + 0.00000E+00 3.37207E-01 1.10856E-01 4.86959E-01 1.63585E-01 + 0.00000E+00 0.00000E+00 3.62608E-01 1.20574E-01 5.27889E-01 + 1.79469E-01 0.00000E+00 0.00000E+00 3.89590E-01 1.31045E-01 + 5.64982E-01 1.94075E-01 0.00000E+00 0.00000E+00 4.12743E-01 + 1.40162E-01 6.06287E-01 2.10581E-01 0.00000E+00 0.00000E+00 + 4.38324E-01 1.50385E-01 -4.26952E+00 -1.76715E+00 0.00000E+00 + 0.00000E+00 -3.31990E+00 -1.37403E+00 1.56681E-01 5.56575E-02 + 0.00000E+00 0.00000E+00 1.10976E-01 3.88798E-02 1.69244E-01 + 6.09114E-02 0.00000E+00 0.00000E+00 1.18682E-01 4.21028E-02 + 1.82742E-01 6.66457E-02 0.00000E+00 0.00000E+00 1.26847E-01 + 4.55711E-02 2.01262E-01 7.46390E-02 0.00000E+00 0.00000E+00 + 1.38519E-01 5.06088E-02 2.20276E-01 8.29796E-02 0.00000E+00 + 0.00000E+00 1.50155E-01 5.57129E-02 2.38287E-01 9.10108E-02 + 0.00000E+00 0.00000E+00 1.60742E-01 6.04341E-02 2.57636E-01 + 9.97837E-02 0.00000E+00 0.00000E+00 1.71904E-01 6.54948E-02 + 2.78404E-01 1.09362E-01 0.00000E+00 0.00000E+00 1.83735E-01 + 7.09512E-02 3.00665E-01 1.19807E-01 0.00000E+00 0.00000E+00 + 1.96212E-01 7.68054E-02 3.24510E-01 1.31193E-01 0.00000E+00 + 0.00000E+00 2.09369E-01 8.30878E-02 3.50012E-01 1.43590E-01 + 0.00000E+00 0.00000E+00 2.23229E-01 8.98260E-02 3.77255E-01 + 1.57078E-01 0.00000E+00 0.00000E+00 2.37809E-01 9.70439E-02 + 4.06323E-01 1.71738E-01 0.00000E+00 0.00000E+00 2.53070E-01 + 1.04741E-01 4.37289E-01 1.87654E-01 0.00000E+00 0.00000E+00 + 2.69092E-01 1.12976E-01 4.76213E-01 2.08048E-01 0.00000E+00 + 0.00000E+00 2.89816E-01 1.23834E-01 5.15099E-01 2.28827E-01 + 0.00000E+00 0.00000E+00 3.09774E-01 1.34499E-01 5.53851E-01 + 2.49954E-01 0.00000E+00 0.00000E+00 3.28887E-01 1.44919E-01 + 5.94905E-01 2.72798E-01 0.00000E+00 0.00000E+00 3.48773E-01 + 1.55984E-01 6.38317E-01 2.97463E-01 0.00000E+00 0.00000E+00 + 3.69372E-01 1.67687E-01 6.84117E-01 3.24045E-01 0.00000E+00 + 0.00000E+00 3.90695E-01 1.80064E-01 7.32314E-01 3.52635E-01 + 0.00000E+00 0.00000E+00 4.12684E-01 1.93108E-01 7.82918E-01 + 3.83329E-01 0.00000E+00 0.00000E+00 4.35257E-01 2.06799E-01 + 8.26601E-01 4.10435E-01 0.00000E+00 0.00000E+00 4.52717E-01 + 2.17633E-01 8.79827E-01 4.44241E-01 0.00000E+00 0.00000E+00 + 4.75189E-01 2.31906E-01 9.35713E-01 4.80594E-01 0.00000E+00 + 0.00000E+00 4.98308E-01 2.46944E-01 9.93655E-01 5.19217E-01 + 0.00000E+00 0.00000E+00 5.21702E-01 2.62539E-01 1.05352E+00 + 5.60133E-01 0.00000E+00 0.00000E+00 5.45223E-01 2.78615E-01 + 1.11516E+00 6.03360E-01 0.00000E+00 0.00000E+00 5.68797E-01 + 2.95147E-01 1.17839E+00 6.48887E-01 0.00000E+00 0.00000E+00 + 5.92265E-01 3.12045E-01 1.24297E+00 6.96664E-01 0.00000E+00 + 0.00000E+00 6.15503E-01 3.29236E-01 1.30868E+00 7.46651E-01 + 0.00000E+00 0.00000E+00 6.38386E-01 3.46643E-01 1.37523E+00 + 7.98748E-01 0.00000E+00 0.00000E+00 6.60751E-01 3.64151E-01 + 1.44165E+00 8.52292E-01 0.00000E+00 0.00000E+00 6.82097E-01 + 3.81359E-01 1.47546E+00 8.80391E-01 0.00000E+00 0.00000E+00 + 6.85292E-01 3.84016E-01 1.53883E+00 9.34734E-01 0.00000E+00 + 0.00000E+00 7.03738E-01 3.99834E-01 1.60177E+00 9.90490E-01 + 0.00000E+00 0.00000E+00 7.21195E-01 4.15297E-01 1.66394E+00 + 1.04742E+00 0.00000E+00 0.00000E+00 7.37548E-01 4.30274E-01 + 1.72503E+00 1.10535E+00 0.00000E+00 0.00000E+00 7.52679E-01 + 4.44620E-01 1.78471E+00 1.16399E+00 0.00000E+00 0.00000E+00 + 7.66521E-01 4.58221E-01 1.84264E+00 1.22308E+00 0.00000E+00 + 0.00000E+00 7.78990E-01 4.70939E-01 1.88412E+00 1.26705E+00 + 0.00000E+00 0.00000E+00 7.83118E-01 4.75314E-01 1.89789E+00 + 1.28224E+00 0.00000E+00 0.00000E+00 7.74098E-01 4.65361E-01 + 1.94550E+00 1.33702E+00 0.00000E+00 0.00000E+00 7.81311E-01 + 4.73661E-01 1.99075E+00 1.39140E+00 0.00000E+00 0.00000E+00 + 7.87281E-01 4.80835E-01 2.03349E+00 1.44517E+00 0.00000E+00 + 0.00000E+00 7.92023E-01 4.86801E-01 2.07361E+00 1.49811E+00 + 0.00000E+00 0.00000E+00 7.95596E-01 4.91516E-01 2.10090E+00 + 1.53598E+00 0.00000E+00 0.00000E+00 7.93611E-01 4.88762E-01 + 2.10714E+00 1.54511E+00 0.00000E+00 0.00000E+00 7.82920E-01 + 4.73122E-01 2.13776E+00 1.59248E+00 0.00000E+00 0.00000E+00 + 7.83319E-01 4.73738E-01 2.16592E+00 1.63869E+00 0.00000E+00 + 0.00000E+00 7.82981E-01 4.73182E-01 2.19166E+00 1.68369E+00 + 0.00000E+00 0.00000E+00 7.81989E-01 4.71450E-01 2.20187E+00 + 1.70277E+00 0.00000E+00 0.00000E+00 7.75142E-01 4.58654E-01 + 2.19957E+00 1.69814E+00 0.00000E+00 0.00000E+00 7.64030E-01 + 4.36335E-01 2.21800E+00 1.73816E+00 0.00000E+00 0.00000E+00 + 7.61957E-01 4.31838E-01 2.23455E+00 1.77721E+00 0.00000E+00 + 0.00000E+00 7.59691E-01 4.26489E-01 2.24928E+00 1.81532E+00 + 0.00000E+00 0.00000E+00 7.57317E-01 4.20347E-01 2.26230E+00 + 1.85259E+00 0.00000E+00 0.00000E+00 7.54907E-01 4.13449E-01 + 2.27367E+00 1.88897E+00 0.00000E+00 0.00000E+00 7.52537E-01 + 4.05863E-01 2.28348E+00 1.92461E+00 0.00000E+00 0.00000E+00 + 7.50274E-01 3.97640E-01 2.27982E+00 1.90926E+00 0.00000E+00 + 0.00000E+00 7.43955E-01 3.71093E-01 2.28004E+00 1.91037E+00 + 0.00000E+00 0.00000E+00 7.39892E-01 3.50878E-01 2.28577E+00 + 1.94533E+00 0.00000E+00 0.00000E+00 7.38521E-01 3.42512E-01 + 2.29020E+00 1.98025E+00 0.00000E+00 0.00000E+00 7.37424E-01 + 3.33850E-01 2.29333E+00 2.01525E+00 0.00000E+00 0.00000E+00 + 7.36626E-01 3.24946E-01 2.29518E+00 2.05042E+00 0.00000E+00 + 0.00000E+00 7.36148E-01 3.15837E-01 2.29490E+00 2.03249E+00 + 0.00000E+00 0.00000E+00 7.35746E-01 2.89634E-01 2.29837E+00 + 1.87315E+00 -1.43318E-03 6.58142E-02 7.35828E-01 2.85885E-01 + 2.29727E+00 1.89180E+00 -1.65762E-03 6.96249E-02 7.36312E-01 + 2.77663E-01 2.29553E+00 1.90991E+00 -2.03126E-03 7.35161E-02 + 7.37106E-01 2.69390E-01 2.29318E+00 1.92756E+00 -2.55645E-03 + 7.74607E-02 7.38211E-01 2.61092E-01 2.29025E+00 1.94478E+00 + -3.23680E-03 8.14566E-02 7.39624E-01 2.52792E-01 2.28676E+00 + 1.96161E+00 -4.07582E-03 8.55024E-02 7.41341E-01 2.44515E-01 + 2.28273E+00 1.97809E+00 -5.07702E-03 8.95972E-02 7.43351E-01 + 2.36292E-01 2.27819E+00 1.99422E+00 -6.24347E-03 9.37391E-02 + 7.45648E-01 2.28136E-01 2.27315E+00 2.01005E+00 -7.57845E-03 + 9.79272E-02 7.48218E-01 2.20072E-01 2.26763E+00 2.02554E+00 + -9.08484E-03 1.02160E-01 7.51049E-01 2.12117E-01 2.39736E+00 + 1.69543E+00 -4.65120E-02 1.97397E-01 7.53268E-01 2.06473E-01 + 2.47845E+00 1.50690E+00 -6.94747E-02 2.50785E-01 7.56000E-01 + 2.00121E-01 2.48811E+00 1.48624E+00 -7.31190E-02 2.58585E-01 + 7.59414E-01 1.92815E-01 2.49918E+00 1.46428E+00 -7.69550E-02 + 2.66190E-01 7.63040E-01 1.85624E-01 2.51175E+00 1.44107E+00 + -8.09609E-02 2.73589E-01 7.66868E-01 1.78554E-01 2.52588E+00 + 1.41663E+00 -8.51136E-02 2.80766E-01 7.70880E-01 1.71621E-01 + 2.54168E+00 1.39099E+00 -8.93898E-02 2.87711E-01 7.75061E-01 + 1.64829E-01 2.55918E+00 1.36418E+00 -9.37634E-02 2.94410E-01 + 7.79401E-01 1.58183E-01 2.84822E+00 9.45253E-01 -1.54397E-01 + 3.82290E-01 7.86468E-01 1.47940E-01 2.90447E+00 8.67885E-01 + -1.63839E-01 3.95276E-01 7.90988E-01 1.41723E-01 2.93644E+00 + 8.26061E-01 -1.67518E-01 4.00090E-01 7.95355E-01 1.36008E-01 + 2.97039E+00 7.83690E-01 -1.70970E-01 4.04399E-01 7.99829E-01 + 1.30426E-01 3.00629E+00 7.40864E-01 -1.74159E-01 4.08202E-01 + 8.04389E-01 1.24987E-01 3.04412E+00 6.97669E-01 -1.77047E-01 + 4.11500E-01 8.09032E-01 1.19684E-01 3.08381E+00 6.54183E-01 + -1.79591E-01 4.14288E-01 8.13740E-01 1.14526E-01 3.12530E+00 + 6.10496E-01 -1.81757E-01 4.16568E-01 8.18498E-01 1.09515E-01 + 3.16853E+00 5.66693E-01 -1.83509E-01 4.18343E-01 8.23301E-01 + 1.04651E-01 3.21344E+00 5.22839E-01 -1.84811E-01 4.19614E-01 + 8.28130E-01 9.99338E-02 3.25991E+00 4.79049E-01 -1.85637E-01 + 4.20393E-01 8.32973E-01 9.53689E-02 3.60592E+00 1.64010E-01 + -2.29716E-01 4.60526E-01 8.44963E-01 8.44520E-02 3.60592E+00 + 1.64010E-01 -2.29716E-01 4.60526E-01 8.44963E-01 8.44520E-02 + DATA FOR MEDIUM # 1, WHICH IS: MEDIUM=WATER-3MEV-10KEV-02KEV ,STERNCID=WATER-3MEV-10KEV-02KEV + COMP,RHO= 1.000 ,NE= 2,COMPOSITION IS : + ASYM=H ,Z= 1.,A= 1.008,PZ= 1.00000E+00,RHOZ= 1.00797E+00 + ASYM=O ,Z= 8.,A= 15.999,PZ= 2.00000E+00,RHOZ= 3.19988E+01 + ECHO READ:$LGN(RLC,AE,AP,UE,UP(IM)) + 3.47257E+01 5.21000E-01 2.00000E-03 3.51100E+00 3.00200E+00 + ECHO READ:$LGN(MSGE,MGE,MSEKE,MEKE,MLEKE,MCMFP,MRANGE(IM)),IRAYL + 0 200 0 150 0 0 0 0 + ECHO READ:($LGN(DL(I,IM)/1,2,3,4,5,6/),I=1,6) + 9.99982E-01 -2.11119E-01 4.84469E-02 9.95866E-01 -2.26609E-01 + 9.52000E-01 1.00022E+00 -1.78818E-01 3.44729E-02 1.01418E+00 + -2.30775E-01 9.52000E-01 1.00034E+00 -1.62218E-01 2.48977E-02 + 1.02358E+00 -2.32916E-01 9.52000E-01 9.99982E-01 -2.11303E-01 + 4.84892E-02 9.95862E-01 -2.26807E-01 9.52000E-01 1.00022E+00 + -1.78977E-01 3.45035E-02 1.01419E+00 -2.30980E-01 9.52000E-01 + 1.00034E+00 -1.62363E-01 2.49200E-02 1.02361E+00 -2.33125E-01 + 9.52000E-01 + ECHO READ:DELCM(IM),($LGN(ALPHI,BPAR, DELPOS(I,IM)),I=1,2) + 3.50958E+01 9.41188E-01 8.53748E-01 2.28129E+00 9.41203E-01 + 8.53745E-01 2.27242E+00 + ECHO READ:$LGN(XR0,TEFF0,BLCC,XCC(IM)) + 9.14548E-02 7.71165E-05 2.59397E+05 4.90938E+00 + ECHO READ:$LGN(EKE(IM)/0,1/) + 1.21501E+02 2.59409E+01 + ECHO READ:($LGN(ESIG,PSIG,EDEDX,PDEDX,EBR1,PBR1,PBR2, TMXS(I,IM)/0,1/),I=1,NEKE) + 9.58348E+00 1.50056E+00 2.94082E+04 6.38129E+03 -1.71251E+03 + -5.22019E+02 -2.28131E+03 -6.61411E+02 1.00000E+00 0.00000E+00 + -1.83302E+01 -4.01496E+00 9.58001E+01 2.07640E+01 2.60014E-05 + 5.04571E-06 9.58348E+00 1.50056E+00 2.94082E+04 6.38129E+03 + -1.71251E+03 -5.22019E+02 -2.28131E+03 -6.61411E+02 1.00000E+00 + 0.00000E+00 -1.83302E+01 -4.01496E+00 9.58001E+01 2.07640E+01 + 2.60014E-05 5.04571E-06 9.53936E+00 1.49091E+00 2.61682E+04 + 5.67202E+03 -1.64546E+03 -5.07342E+02 -2.17918E+03 -6.39053E+02 + 1.00000E+00 0.00000E+00 -5.50955E-01 -1.22926E-01 3.86523E+00 + 6.38718E-01 2.76260E-05 5.40134E-06 9.49420E+00 1.48094E+00 + 2.32030E+04 5.01740E+03 -1.58010E+03 -4.92913E+02 -2.08048E+03 + -6.17264E+02 1.00000E+00 0.00000E+00 -1.82581E-01 -4.16000E-02 + 1.96110E+00 2.18337E-01 2.93619E-05 5.78457E-06 9.45084E+00 + 1.47128E+00 2.05300E+04 4.42221E+03 -1.51732E+03 -4.78933E+02 + -1.98641E+03 -5.96317E+02 1.00000E+00 0.00000E+00 -8.82227E-02 + -2.05895E-02 1.47390E+00 1.09855E-01 3.12133E-05 6.19683E-06 + 9.40401E+00 1.46076E+00 1.81103E+04 3.87876E+03 -1.45563E+03 + -4.65077E+02 -1.89503E+03 -5.75793E+02 1.00000E+00 0.00000E+00 + -5.01954E-02 -1.20487E-02 1.27798E+00 6.58529E-02 3.31711E-05 + 6.63654E-06 9.35659E+00 1.45002E+00 1.59324E+04 3.38534E+03 + -1.39697E+03 -4.51789E+02 -1.80868E+03 -5.56229E+02 1.00000E+00 + 0.00000E+00 -3.11381E-02 -7.73120E-03 1.18020E+00 4.36984E-02 + 3.52536E-05 7.10834E-06 9.31430E+00 1.44035E+00 1.39671E+04 + 2.93615E+03 -1.34000E+03 -4.38767E+02 -1.72554E+03 -5.37229E+02 + 1.00000E+00 0.00000E+00 -2.02055E-02 -5.23253E-03 1.12449E+00 + 3.09659E-02 3.74640E-05 7.61354E-06 9.26795E+00 1.42966E+00 + 1.22000E+04 2.52871E+03 -1.28462E+03 -4.25997E+02 -1.64550E+03 + -5.18772E+02 1.00000E+00 0.00000E+00 -1.33467E-02 -3.65100E-03 + 1.08983E+00 2.29717E-02 3.98065E-05 8.15369E-06 9.22210E+00 + 1.41900E+00 1.06118E+04 2.15920E+03 -1.23154E+03 -4.13649E+02 + -1.56934E+03 -5.01055E+02 1.00000E+00 0.00000E+00 -8.73870E-03 + -2.57895E-03 1.06683E+00 1.76252E-02 4.22996E-05 8.73371E-06 + 9.17693E+00 1.40840E+00 9.18609E+03 1.82451E+03 -1.18023E+03 + -4.01605E+02 -1.49630E+03 -4.83908E+02 1.00000E+00 0.00000E+00 + -5.47486E-03 -1.81274E-03 1.05083E+00 1.38678E-02 4.49417E-05 + 9.35396E-06 9.13276E+00 1.39793E+00 7.90638E+03 1.52134E+03 + -1.13067E+03 -3.89863E+02 -1.42631E+03 -4.67325E+02 1.00000E+00 + 0.00000E+00 -3.05859E-03 -1.24032E-03 1.03925E+00 1.11250E-02 + 4.77436E-05 1.00177E-05 9.08791E+00 1.38721E+00 6.76249E+03 + 1.24786E+03 -1.08279E+03 -3.78414E+02 -1.35923E+03 -4.51288E+02 + 1.00000E+00 0.00000E+00 -1.20822E-03 -7.97921E-04 1.03060E+00 + 9.05768E-03 5.07196E-05 1.07292E-05 9.04129E+00 1.37596E+00 + 5.73990E+03 1.00110E+03 -1.03680E+03 -3.67316E+02 -1.29524E+03 + -4.35847E+02 1.00000E+00 0.00000E+00 2.55628E-04 -4.44686E-04 + 1.02400E+00 7.46499E-03 5.38762E-05 1.14909E-05 8.99630E+00 + 1.36500E+00 4.82710E+03 7.78760E+02 -9.92134E+02 -3.56438E+02 + -1.23364E+03 -4.20844E+02 1.00000E+00 0.00000E+00 1.44853E-03 + -1.54125E-04 1.01881E+00 6.20029E-03 5.72200E-05 1.23054E-05 + 8.95282E+00 1.35431E+00 4.01497E+03 5.79075E+02 -9.49215E+02 + -3.45885E+02 -1.17486E+03 -4.06389E+02 1.00000E+00 0.00000E+00 + 2.44411E-03 9.06694E-05 1.01469E+00 5.18746E-03 6.07720E-05 + 1.31788E-05 8.90890E+00 1.34341E+00 3.29304E+03 3.99863E+02 + -9.07823E+02 -3.35610E+02 -1.11856E+03 -3.92415E+02 1.00000E+00 + 0.00000E+00 3.29417E-03 3.01686E-04 1.01136E+00 4.36030E-03 + 6.45288E-05 1.41114E-05 8.86335E+00 1.33199E+00 2.65263E+03 + 2.39355E+02 -8.68098E+02 -3.25654E+02 -1.06490E+03 -3.78966E+02 + 1.00000E+00 0.00000E+00 4.03442E-03 4.87221E-04 1.00862E+00 + 3.67222E-03 6.85169E-05 1.51109E-05 8.81951E+00 1.32090E+00 + 2.08741E+03 9.63062E+01 -8.29529E+02 -3.15893E+02 -1.01322E+03 + -3.65887E+02 1.00000E+00 0.00000E+00 4.69124E-03 6.53444E-04 + 1.00633E+00 3.09394E-03 7.27452E-05 1.61810E-05 1.33171E+04 + 3.40255E+03 1.58861E+03 -3.11690E+01 -9.28023E+02 -3.41065E+02 + -9.63850E+02 -3.53268E+02 -9.77141E+01 -2.52286E+01 5.28553E-03 + 8.05333E-04 1.00442E+00 2.60534E-03 7.72213E-05 1.73250E-05 + 1.11220E+04 2.83596E+03 1.15057E+03 -1.44236E+02 -8.75008E+02 + -3.27380E+02 -9.16823E+02 -3.41130E+02 -1.18758E+00 -3.13621E-01 + 5.82916E-03 9.45651E-04 1.00278E+00 2.18168E-03 8.19748E-05 + 1.85519E-05 9.35563E+03 2.37545E+03 7.67093E+02 -2.44212E+02 + -8.26381E+02 -3.14703E+02 -8.71534E+02 -3.29323E+02 -3.86996E-01 + -1.04900E-01 6.33359E-03 1.07716E-03 1.00140E+00 1.82142E-03 + 8.69918E-05 1.98599E-05 7.92489E+03 1.99866E+03 4.32306E+02 + -3.32380E+02 -7.81884E+02 -3.02985E+02 -8.28519E+02 -3.17994E+02 + -1.85121E-01 -5.17348E-02 6.81136E-03 1.20298E-03 1.00018E+00 + 1.49981E-03 9.23272E-05 2.12650E-05 6.75065E+03 1.68624E+03 + 1.41763E+02 -4.09684E+02 -7.40398E+02 -2.91947E+02 -7.87169E+02 + -3.06993E+02 -1.04477E-01 -3.02788E-02 7.26012E-03 1.32237E-03 + 9.99122E-01 1.21995E-03 9.79552E-05 2.27624E-05 5.78198E+03 + 1.42585E+03 -1.08792E+02 -4.77034E+02 -7.01772E+02 -2.81563E+02 + -7.47757E+02 -2.96399E+02 -6.43436E-02 -1.94905E-02 7.69443E-03 + 1.43912E-03 9.98213E-01 9.74104E-04 1.03924E-04 2.43669E-05 + 4.97347E+03 1.20624E+03 -3.23577E+02 -5.35376E+02 -6.65362E+02 + -2.71674E+02 -7.09916E+02 -2.86120E+02 -4.14998E-02 -1.32854E-02 + 8.11333E-03 1.55291E-03 9.97390E-01 7.51452E-04 1.10237E-04 + 2.60817E-05 4.29573E+03 1.02020E+03 -5.07117E+02 -5.85760E+02 + -6.31281E+02 -2.62317E+02 -6.73986E+02 -2.76257E+02 -2.72909E-02 + -9.38504E-03 8.52180E-03 1.66504E-03 9.96660E-01 5.51996E-04 + 1.16930E-04 2.79188E-05 3.72206E+03 8.61035E+02 -6.60935E+02 + -6.28433E+02 -5.98749E+02 -2.53293E+02 -6.39342E+02 -2.66645E+02 + -1.78360E-02 -6.76186E-03 8.91919E-03 1.77529E-03 9.96011E-01 + 3.71087E-04 1.23991E-04 2.98781E-05 3.23500E+03 7.24445E+02 + -7.90564E+02 -6.64786E+02 -5.68169E+02 -2.44717E+02 -6.06489E+02 + -2.57431E+02 -1.12267E-02 -4.90837E-03 9.31359E-03 1.88590E-03 + 9.95442E-01 2.11829E-04 1.31475E-04 3.19767E-05 2.81664E+03 + 6.05839E+02 -8.96189E+02 -6.94733E+02 -5.38913E+02 -2.36422E+02 + -5.74898E+02 -2.48475E+02 -6.39686E-03 -3.53908E-03 9.70055E-03 + 1.99560E-03 9.94910E-01 6.03017E-05 1.39379E-04 3.42175E-05 + 2.45758E+03 5.02918E+02 -9.82334E+02 -7.19426E+02 -5.11193E+02 + -2.28477E+02 -5.44838E+02 -2.39859E+02 -2.76170E-03 -2.49711E-03 + 1.00855E-02 2.10595E-03 9.94434E-01 -7.57637E-05 1.47741E-04 + 3.66146E-05 2.14574E+03 4.12534E+02 -1.05064E+03 -7.39223E+02 + -4.84791E+02 -2.20824E+02 -5.16134E+02 -2.31540E+02 7.34444E-05 + -1.67538E-03 1.04694E-02 2.21722E-03 9.94013E-01 -1.97913E-04 + 1.56577E-04 3.91754E-05 1.87555E+03 3.33337E+02 -1.10207E+03 + -7.54297E+02 -4.59455E+02 -2.13398E+02 -4.88562E+02 -2.23458E+02 + 2.32630E-03 -1.01503E-03 1.08498E-02 2.32872E-03 9.93611E-01 + -3.15424E-04 1.65904E-04 4.19093E-05 1.63946E+03 2.63348E+02 + -1.14050E+03 -7.65690E+02 -4.35403E+02 -2.06268E+02 -4.62362E+02 + -2.15691E+02 4.16719E-03 -4.69271E-04 1.12325E-02 2.44218E-03 + 9.93259E-01 -4.20566E-04 1.75772E-04 4.48348E-05 1.43288E+03 + 2.01393E+02 -1.16591E+03 -7.73309E+02 -4.12377E+02 -1.99362E+02 + -4.37295E+02 -2.08173E+02 5.69735E-03 -1.03885E-05 1.16131E-02 + 2.55629E-03 9.92918E-01 -5.21068E-04 1.86177E-04 4.79551E-05 + 1.25162E+03 1.46400E+02 -1.18076E+03 -7.77820E+02 -3.90373E+02 + -1.92686E+02 -4.13353E+02 -2.00910E+02 6.99601E-03 3.83616E-04 + 1.19959E-02 2.67244E-03 9.92615E-01 -6.13844E-04 1.97168E-04 + 5.12899E-05 1.09205E+03 9.74129E+01 -1.18601E+03 -7.79427E+02 + -3.69362E+02 -1.86236E+02 -3.90526E+02 -1.93902E+02 8.11831E-03 + 7.28162E-04 1.23801E-02 2.79039E-03 9.92337E-01 -6.98881E-04 + 2.08778E-04 5.48539E-05 9.51497E+02 5.37501E+01 -1.18292E+03 + -7.78470E+02 -3.49231E+02 -1.79982E+02 -3.68690E+02 -1.87118E+02 + 9.09729E-03 1.03228E-03 1.27631E-02 2.90938E-03 9.92071E-01 + -7.80830E-04 2.21003E-04 5.86520E-05 8.27461E+02 1.47501E+01 + -1.17293E+03 -7.75326E+02 -3.30018E+02 -1.73941E+02 -3.47884E+02 + -1.80576E+02 9.97031E-03 1.30679E-03 1.31499E-02 3.03100E-03 + 9.91842E-01 -8.53501E-04 2.33914E-04 6.27115E-05 7.18422E+02 + -1.99560E+01 -1.15635E+03 -7.70050E+02 -3.11609E+02 -1.68081E+02 + -3.27995E+02 -1.74245E+02 1.07536E-02 1.55609E-03 1.35376E-02 + 3.15439E-03 9.91620E-01 -9.24626E-04 2.47515E-04 6.70404E-05 + 6.22037E+02 -5.10157E+01 -1.13480E+03 -7.63103E+02 -2.94033E+02 + -1.62418E+02 -3.09044E+02 -1.68139E+02 1.14668E-02 1.78594E-03 + 1.39269E-02 3.27984E-03 9.91422E-01 -9.89566E-04 2.61862E-04 + 7.16638E-05 5.36981E+02 -7.87711E+01 -1.10895E+03 -7.54670E+02 + -2.77226E+02 -1.56933E+02 -2.90961E+02 -1.62238E+02 1.21218E-02 + 1.99966E-03 1.43172E-02 3.40721E-03 9.91218E-01 -1.05451E-03 + 2.76973E-04 7.65944E-05 4.62357E+02 -1.03429E+02 -1.07897E+03 + -7.44762E+02 -2.61158E+02 -1.51624E+02 -2.73720E+02 -1.56541E+02 + 1.27360E-02 2.20261E-03 1.47134E-02 3.53815E-03 9.91051E-01 + -1.11017E-03 2.92888E-04 8.18535E-05 3.96580E+02 -1.25447E+02 + -1.04605E+03 -7.33745E+02 -2.45772E+02 -1.46474E+02 -2.57244E+02 + -1.51026E+02 1.32988E-02 2.39099E-03 1.51022E-02 3.66827E-03 + 9.90890E-01 -1.16429E-03 3.09644E-04 8.74621E-05 3.38954E+02 + -1.44985E+02 -1.01060E+03 -7.21725E+02 -2.31082E+02 -1.41493E+02 + -2.41554E+02 -1.45706E+02 1.38389E-02 2.57414E-03 1.54991E-02 + 3.80286E-03 9.90735E-01 -1.21686E-03 3.27274E-04 9.34402E-05 + 2.88732E+02 -1.62243E+02 -9.73037E+02 -7.08818E+02 -2.17036E+02 + -1.36667E+02 -2.26587E+02 -1.40563E+02 1.43474E-02 2.74885E-03 + 1.58945E-02 3.93870E-03 9.90599E-01 -1.26170E-03 3.45829E-04 + 9.98155E-05 2.44901E+02 -1.77504E+02 -9.33985E+02 -6.95221E+02 + -2.03626E+02 -1.31998E+02 -2.12334E+02 -1.35600E+02 1.48342E-02 + 2.91833E-03 1.62914E-02 4.07689E-03 9.90469E-01 -1.30964E-03 + 3.65345E-04 1.06611E-04 2.07046E+02 -1.90864E+02 -8.93795E+02 + -6.81035E+02 -1.90811E+02 -1.27474E+02 -1.98738E+02 -1.30802E+02 + 1.52991E-02 3.08244E-03 1.66882E-02 4.21695E-03 9.90358E-01 + -1.34674E-03 3.85843E-04 1.13845E-04 1.74420E+02 -2.02538E+02 + -8.52705E+02 -6.66331E+02 -1.78572E+02 -1.23096E+02 -1.85789E+02 + -1.26169E+02 1.57491E-02 3.24345E-03 1.70863E-02 4.35938E-03 + 9.90247E-01 -1.38694E-03 4.07401E-04 1.21559E-04 1.46554E+02 + -2.12647E+02 -8.11045E+02 -6.51219E+02 -1.66877E+02 -1.18853E+02 + -1.73442E+02 -1.21689E+02 1.61806E-02 3.39997E-03 1.74813E-02 + 4.50271E-03 9.90154E-01 -1.42096E-03 4.30035E-04 1.29770E-04 + 1.23051E+02 -2.21296E+02 -7.69176E+02 -6.35815E+02 -1.55720E+02 + -1.14747E+02 -1.61688E+02 -1.17365E+02 1.66011E-02 3.55473E-03 + 1.78785E-02 4.64885E-03 9.90061E-01 -1.45343E-03 4.53799E-04 + 1.38515E-04 1.03365E+02 -2.28644E+02 -7.27288E+02 -6.20178E+02 + -1.45063E+02 -1.10769E+02 -1.50482E+02 -1.13182E+02 1.70081E-02 + 3.70662E-03 1.82729E-02 4.79606E-03 9.89993E-01 -1.48126E-03 + 4.78732E-04 1.47820E-04 8.71642E+01 -2.34779E+02 -6.85597E+02 + -6.04391E+02 -1.34910E+02 -1.06925E+02 -1.39831E+02 -1.09149E+02 + 1.74033E-02 3.85629E-03 1.86654E-02 4.94467E-03 9.89919E-01 + -1.50754E-03 5.04901E-04 1.57731E-04 7.39657E+01 -2.39850E+02 + -6.44336E+02 -5.88534E+02 -1.25219E+02 -1.03201E+02 -1.29686E+02 + -1.05250E+02 1.77904E-02 4.00505E-03 1.90567E-02 5.09505E-03 + 9.89857E-01 -1.53074E-03 5.32321E-04 1.68268E-04 6.36901E+01 + -2.43859E+02 -6.03544E+02 -5.72622E+02 -1.15967E+02 -9.95915E+01 + -1.20017E+02 -1.01478E+02 1.81682E-02 4.15241E-03 1.94460E-02 + 5.24695E-03 9.89814E-01 -1.54929E-03 5.61073E-04 1.79483E-04 + 5.59192E+01 -2.46936E+02 -5.63353E+02 -5.56705E+02 -1.07157E+02 + -9.61027E+01 -1.10827E+02 -9.78388E+01 1.85349E-02 4.29766E-03 + 1.98302E-02 5.39908E-03 9.89764E-01 -1.56784E-03 5.91179E-04 + 1.91407E-04 5.03396E+01 -2.49181E+02 -5.24020E+02 -5.40886E+02 + -9.87555E+01 -9.27235E+01 -1.02082E+02 -9.43215E+01 1.88965E-02 + 4.44305E-03 2.02134E-02 5.55318E-03 9.89733E-01 -1.58176E-03 + 6.22691E-04 2.04080E-04 4.67835E+01 -2.50633E+02 -4.85483E+02 + -5.25143E+02 -9.07511E+01 -8.94534E+01 -9.37602E+01 -9.09217E+01 + 1.92457E-02 4.58573E-03 2.05888E-02 5.70657E-03 9.89715E-01 + -1.59104E-03 6.55684E-04 2.17558E-04 4.49627E+01 -2.51389E+02 + -4.47955E+02 -5.09568E+02 -8.31275E+01 -8.62894E+01 -8.58524E+01 + -8.76395E+01 1.95923E-02 4.72958E-03 2.09639E-02 5.86224E-03 + 9.89696E-01 -1.59877E-03 6.90187E-04 2.31879E-04 4.47572E+01 + -2.51475E+02 -4.11323E+02 -4.94116E+02 -7.58622E+01 -8.32250E+01 + -7.83251E+01 -8.44650E+01 1.99280E-02 4.87118E-03 2.13306E-02 + 6.01691E-03 9.89678E-01 -1.60496E-03 7.26247E-04 2.47088E-04 + 4.59064E+01 -2.50982E+02 -3.75817E+02 -4.78891E+02 -6.89498E+01 + -8.02613E+01 -7.11759E+01 -8.13997E+01 2.02566E-02 5.01209E-03 + 2.16922E-02 6.17196E-03 9.89678E-01 -1.60495E-03 7.63920E-04 + 2.63242E-04 4.82972E+01 -2.49941E+02 -3.41380E+02 -4.63879E+02 + -6.23712E+01 -7.73932E+01 -6.43828E+01 -7.84378E+01 2.05781E-02 + 5.15227E-03 2.20480E-02 6.32705E-03 9.89690E-01 -1.60031E-03 + 8.03276E-04 2.80400E-04 5.17203E+01 -2.48422E+02 -3.08058E+02 + -4.49102E+02 -5.61128E+01 -7.46179E+01 -5.79296E+01 -7.55761E+01 + 2.08915E-02 5.29121E-03 2.23959E-02 6.48133E-03 9.89684E-01 + -1.60031E-03 8.44349E-04 2.98613E-04 5.61092E+01 -2.46443E+02 + -2.75840E+02 -4.34567E+02 -5.01532E+01 -7.19294E+01 -5.17919E+01 + -7.28073E+01 2.11983E-02 5.42959E-03 2.27372E-02 6.63534E-03 + 9.89715E-01 -1.58794E-03 8.87174E-04 3.17932E-04 6.12581E+01 + -2.44079E+02 -2.44789E+02 -4.20311E+02 -4.44964E+01 -6.93322E+01 + -4.59737E+01 -7.01361E+01 2.14965E-02 5.56655E-03 2.30700E-02 + 6.78810E-03 9.89746E-01 -1.57403E-03 9.31844E-04 3.38441E-04 + 6.70783E+01 -2.41359E+02 -2.14905E+02 -4.06344E+02 -3.91132E+01 + -6.68161E+01 -4.04452E+01 -6.75521E+01 2.17879E-02 5.70269E-03 + 2.33949E-02 6.93996E-03 9.89777E-01 -1.56011E-03 9.78371E-04 + 3.60188E-04 7.34907E+01 -2.38307E+02 -1.86133E+02 -3.92649E+02 + -3.40019E+01 -6.43832E+01 -3.52020E+01 -6.50565E+01 2.20655E-02 + 5.83487E-03 2.37055E-02 7.08779E-03 9.89814E-01 -1.54156E-03 + 1.02684E-03 3.83256E-04 8.03749E+01 -2.34967E+02 -1.58508E+02 + -3.79254E+02 -2.91419E+01 -6.20270E+01 -3.02229E+01 -6.26423E+01 + 2.23381E-02 5.96704E-03 2.40093E-02 7.23512E-03 9.89863E-01 + -1.51837E-03 1.07725E-03 4.07700E-04 8.76328E+01 -2.31383E+02 + -1.32035E+02 -3.66174E+02 -2.45306E+01 -5.97484E+01 -2.55035E+01 + -6.03105E+01 2.26209E-02 6.10678E-03 2.43200E-02 7.38862E-03 + 9.89913E-01 -1.49208E-03 1.12971E-03 4.33622E-04 9.52010E+01 + -2.27570E+02 -1.06655E+02 -3.53391E+02 -2.01535E+01 -5.75435E+01 + -2.10279E+01 -5.80561E+01 2.28714E-02 6.23291E-03 2.45981E-02 + 7.52870E-03 9.89974E-01 -1.46270E-03 1.18423E-03 4.61082E-04 + 1.02998E+02 -2.23564E+02 -8.24084E+01 -3.40935E+02 -1.60010E+01 + -5.54105E+01 -1.67879E+01 -5.58780E+01 2.31149E-02 6.35803E-03 + 2.48674E-02 7.66701E-03 9.90046E-01 -1.42560E-03 1.24087E-03 + 4.90179E-04 1.10972E+02 -2.19386E+02 -5.92061E+01 -3.28777E+02 + -1.20604E+01 -5.33455E+01 -1.27678E+01 -5.37712E+01 2.33592E-02 + 6.48606E-03 2.51337E-02 7.80656E-03 9.90111E-01 -1.39158E-03 + 1.29967E-03 5.20989E-04 1.19061E+02 -2.15059E+02 -3.70731E+01 + -3.16938E+02 -8.32423E+00 -5.13474E+01 -8.96032E+00 -5.17349E+01 + 2.35891E-02 6.60903E-03 2.53835E-02 7.94018E-03 9.90194E-01 + -1.34828E-03 1.36068E-03 5.53619E-04 1.27203E+02 -2.10614E+02 + -1.59661E+01 -3.05412E+02 -4.78673E+00 -4.94154E+01 -5.35712E+00 + -4.97673E+01 2.38134E-02 6.73149E-03 2.56244E-02 8.07175E-03 + 9.90274E-01 -1.30344E-03 1.42394E-03 5.88170E-04 1.35360E+02 + -2.06063E+02 4.12768E+00 -2.94202E+02 -1.43368E+00 -4.75449E+01 + -1.94588E+00 -4.78643E+01 2.40248E-02 6.84944E-03 2.58501E-02 + 8.19762E-03 9.90358E-01 -1.25706E-03 1.48949E-03 6.24735E-04 + 1.43500E+02 -2.01423E+02 2.32683E+01 -2.83290E+02 1.74124E+00 + -4.57346E+01 1.28169E+00 -4.60241E+01 2.42284E-02 6.96547E-03 + 2.60642E-02 8.31973E-03 9.90448E-01 -1.20449E-03 1.55735E-03 + 6.63427E-04 1.51560E+02 -1.96723E+02 4.14304E+01 -2.72703E+02 + 4.74596E+00 -4.39833E+01 4.33311E+00 -4.42453E+01 2.44230E-02 + 7.07893E-03 2.62668E-02 8.43784E-03 9.90543E-01 -1.14882E-03 + 1.62762E-03 7.04383E-04 1.59543E+02 -1.91963E+02 5.87058E+01 + -2.62401E+02 7.58879E+00 -4.22881E+01 7.21908E+00 -4.25246E+01 + 2.46071E-02 7.18873E-03 2.64555E-02 8.55033E-03 9.90649E-01 + -1.08698E-03 1.70025E-03 7.47695E-04 1.67387E+02 -1.87175E+02 + 7.50709E+01 -2.52413E+02 1.02755E+01 -4.06482E+01 9.94296E+00 + -4.08620E+01 2.47815E-02 7.29518E-03 2.66313E-02 8.65767E-03 + 9.90748E-01 -1.02667E-03 1.77532E-03 7.93517E-04 1.75090E+02 + -1.82361E+02 9.05825E+01 -2.42716E+02 1.28143E+01 -3.90611E+01 + 1.25164E+01 -3.92533E+01 2.49466E-02 7.39836E-03 2.67948E-02 + 8.75984E-03 9.90856E-01 -9.60189E-04 1.85285E-03 8.41977E-04 + 1.82625E+02 -1.77535E+02 1.05269E+02 -2.33310E+02 1.52134E+01 + -3.75246E+01 1.49460E+01 -3.76971E+01 2.51010E-02 7.49727E-03 + 2.69445E-02 8.85570E-03 9.90967E-01 -8.87517E-04 1.93285E-03 + 8.93220E-04 1.89960E+02 -1.72718E+02 1.19152E+02 -2.24193E+02 + 1.74766E+01 -3.60382E+01 1.72364E+01 -3.61930E+01 2.52461E-02 + 7.59258E-03 2.70816E-02 8.94580E-03 9.91075E-01 -8.17943E-04 + 2.01536E-03 9.47403E-04 1.97111E+02 -1.67899E+02 1.32277E+02 + -2.15350E+02 1.96124E+01 -3.45994E+01 1.93963E+01 -3.47377E+01 + 2.53816E-02 7.68383E-03 2.72064E-02 9.02986E-03 9.91187E-01 + -7.40629E-04 2.10037E-03 1.00468E-03 2.04030E+02 -1.63114E+02 + 1.44643E+02 -2.06795E+02 2.16260E+01 -3.32065E+01 2.14316E+01 + -3.33298E+01 2.55062E-02 7.77000E-03 2.73176E-02 9.10674E-03 + 9.91304E-01 -6.60226E-04 2.18792E-03 1.06524E-03 2.10732E+02 + -1.58350E+02 1.56321E+02 -1.98496E+02 2.35224E+01 -3.18587E+01 + 2.33480E+01 -3.19679E+01 2.56213E-02 7.85178E-03 2.74162E-02 + 9.17683E-03 9.91419E-01 -5.79824E-04 2.27799E-03 1.12926E-03 + 2.17195E+02 -1.53628E+02 1.67302E+02 -1.90472E+02 2.53074E+01 + -3.05544E+01 2.51498E+01 -3.06512E+01 2.57284E-02 7.93008E-03 + 2.75047E-02 9.24152E-03 9.91533E-01 -4.93237E-04 2.37060E-03 + 1.19692E-03 2.23420E+02 -1.48947E+02 1.77637E+02 -1.82701E+02 + 2.69875E+01 -2.92912E+01 2.68454E+01 -2.93765E+01 2.58218E-02 + 8.00031E-03 2.75770E-02 9.29591E-03 9.91654E-01 -4.05104E-04 + 2.46572E-03 1.26844E-03 2.29394E+02 -1.44321E+02 1.87345E+02 + -1.75185E+02 2.85660E+01 -2.80688E+01 2.84378E+01 -2.81434E+01 + 2.59092E-02 8.06803E-03 2.76412E-02 9.34558E-03 9.91768E-01 + -3.16970E-04 2.56335E-03 1.34405E-03 2.35126E+02 -1.39747E+02 + 1.96459E+02 -1.67910E+02 3.00482E+01 -2.68858E+01 2.99320E+01 + -2.69506E+01 2.59859E-02 8.12918E-03 2.76923E-02 9.38643E-03 + 9.91886E-01 -2.22652E-04 2.66346E-03 1.42395E-03 2.40600E+02 + -1.35239E+02 2.04995E+02 -1.60881E+02 3.14399E+01 -2.57399E+01 + 3.13340E+01 -2.57961E+01 2.60539E-02 8.18518E-03 2.77333E-02 + 9.42016E-03 9.92000E-01 -1.29881E-04 2.76603E-03 1.50841E-03 + 2.45827E+02 -1.30793E+02 2.12987E+02 -1.54083E+02 3.27430E+01 + -2.46315E+01 3.26468E+01 -2.46797E+01 2.61132E-02 8.23563E-03 + 2.77641E-02 9.44635E-03 9.92114E-01 -3.09239E-05 2.87103E-03 + 1.59772E-03 2.50801E+02 -1.26420E+02 2.20454E+02 -1.47518E+02 + 3.39639E+01 -2.35580E+01 3.38760E+01 -2.35988E+01 2.61630E-02 + 8.27941E-03 2.77840E-02 9.46380E-03 9.92220E-01 6.33945E-05 + 2.97839E-03 1.69211E-03 2.55523E+02 -1.22121E+02 2.27428E+02 + -1.41171E+02 3.51055E+01 -2.25189E+01 3.50249E+01 -2.25530E+01 + 2.62046E-02 8.31729E-03 2.77945E-02 9.47345E-03 9.92334E-01 + 1.65443E-04 3.08803E-03 1.79191E-03 2.60000E+02 -1.17899E+02 + 2.33924E+02 -1.35043E+02 3.61719E+01 -2.15130E+01 3.60982E+01 + -2.15408E+01 2.62388E-02 8.34951E-03 2.77969E-02 9.47563E-03 + 9.92439E-01 2.64400E-04 3.19992E-03 1.89745E-03 2.64233E+02 + -1.13755E+02 2.39966E+02 -1.29128E+02 3.71668E+01 -2.05391E+01 + 3.70983E+01 -2.05617E+01 2.62643E-02 8.37449E-03 2.77900E-02 + 9.46891E-03 9.92544E-01 3.67995E-04 3.31392E-03 2.00904E-03 + 2.68224E+02 -1.09695E+02 2.45585E+02 -1.23413E+02 3.80939E+01 + -1.95960E+01 3.80303E+01 -1.96136E+01 2.62831E-02 8.39368E-03 + 2.77758E-02 9.45442E-03 9.92640E-01 4.65405E-04 3.42996E-03 + 2.12709E-03 2.71980E+02 -1.05718E+02 2.50787E+02 -1.17904E+02 + 3.89554E+01 -1.86839E+01 3.88965E+01 -1.86966E+01 2.62943E-02 + 8.40556E-03 2.77542E-02 9.43156E-03 9.92741E-01 5.72093E-04 + 3.54789E-03 2.25195E-03 2.75505E+02 -1.01828E+02 2.55606E+02 + -1.12586E+02 3.97564E+01 -1.77996E+01 3.97009E+01 -1.78086E+01 + 2.62995E-02 8.41122E-03 2.77262E-02 9.40064E-03 9.92832E-01 + 6.72596E-04 3.66758E-03 2.38406E-03 2.78804E+02 -9.80248E+01 + 2.60056E+02 -1.07456E+02 4.04979E+01 -1.69449E+01 4.04457E+01 + -1.69500E+01 2.62976E-02 8.40909E-03 2.76916E-02 9.36073E-03 + 9.92920E-01 7.74645E-04 3.78884E-03 2.52385E-03 2.81882E+02 + -9.43112E+01 2.64152E+02 -1.02514E+02 4.11845E+01 -1.61166E+01 + 4.11351E+01 -1.61184E+01 2.62908E-02 8.40083E-03 2.76525E-02 + 9.31362E-03 9.93007E-01 8.78240E-04 3.91150E-03 2.67185E-03 + 2.84746E+02 -9.06854E+01 2.67918E+02 -9.77478E+01 4.18175E+01 + -1.53154E+01 4.17705E+01 -1.53141E+01 2.62784E-02 8.38517E-03 + 2.76085E-02 9.25800E-03 9.93085E-01 9.78743E-04 4.03534E-03 + 2.82854E-03 2.87404E+02 -8.71507E+01 2.71372E+02 -9.31538E+01 + 4.24009E+01 -1.45395E+01 4.23558E+01 -1.45356E+01 2.62614E-02 + 8.36256E-03 2.75606E-02 9.19427E-03 9.93160E-01 1.07615E-03 + 4.16004E-03 2.99443E-03 2.89861E+02 -8.37059E+01 2.74526E+02 + -8.87314E+01 4.29361E+01 -1.37891E+01 4.28929E+01 -1.37827E+01 + 2.62395E-02 8.33188E-03 2.75090E-02 9.12190E-03 9.93231E-01 + 1.17821E-03 4.28541E-03 3.17020E-03 2.92124E+02 -8.03512E+01 + 2.77400E+02 -8.44702E+01 4.34267E+01 -1.30619E+01 4.33850E+01 + -1.30533E+01 2.62142E-02 8.29438E-03 2.74551E-02 9.04192E-03 + 9.93297E-01 1.27407E-03 4.41103E-03 3.35641E-03 2.94201E+02 + -7.70864E+01 2.80009E+02 -8.03702E+01 4.38739E+01 -1.23589E+01 + 4.38333E+01 -1.23483E+01 2.61855E-02 8.24916E-03 2.73990E-02 + 8.95379E-03 9.93359E-01 1.37148E-03 4.53655E-03 3.55373E-03 + 2.96095E+02 -7.39170E+01 2.82366E+02 -7.64257E+01 4.42804E+01 + -1.16785E+01 4.42409E+01 -1.16663E+01 2.61542E-02 8.19682E-03 + 2.73418E-02 8.85801E-03 9.93413E-01 1.46269E-03 4.66159E-03 + 3.76299E-03 2.97820E+02 -7.08304E+01 2.84489E+02 -7.26283E+01 + 4.46485E+01 -1.10200E+01 4.46099E+01 -1.10061E+01 2.61204E-02 + 8.13638E-03 2.72836E-02 8.75395E-03 9.93464E-01 1.55548E-03 + 4.78562E-03 3.98486E-03 2.99375E+02 -6.78427E+01 2.86387E+02 + -6.89795E+01 4.49799E+01 -1.03833E+01 4.49419E+01 -1.03682E+01 + 2.60853E-02 8.06898E-03 2.72256E-02 8.64257E-03 9.93512E-01 + 1.64516E-03 4.90811E-03 4.22022E-03 3.00775E+02 -6.49381E+01 + 2.88078E+02 -6.54717E+01 4.52768E+01 -9.76726E+00 4.52394E+01 + -9.75083E+00 2.60488E-02 7.99321E-03 2.71680E-02 8.52297E-03 + 9.93551E-01 1.72864E-03 5.02847E-03 4.46999E-03 3.02022E+02 + -6.21246E+01 2.89571E+02 -6.21040E+01 4.55411E+01 -9.17106E+00 + 4.55042E+01 -9.15384E+00 2.60117E-02 7.90957E-03 2.71116E-02 + 8.39581E-03 9.93589E-01 1.81370E-03 5.14600E-03 4.73508E-03 + 3.03126E+02 -5.93989E+01 2.90883E+02 -5.88630E+01 4.57725E+01 + -8.59938E+00 4.57359E+01 -8.58117E+00 2.59750E-02 7.81878E-03 + 2.70571E-02 8.26109E-03 9.93620E-01 1.89253E-03 5.25996E-03 + 5.01658E-03 3.04092E+02 -5.67603E+01 2.92020E+02 -5.57588E+01 + 4.59573E+01 -8.09490E+00 4.59211E+01 -8.07580E+00 2.59388E-02 + 7.71992E-03 2.70051E-02 8.11918E-03 9.93650E-01 1.97141E-03 + 5.36945E-03 5.31552E-03 3.04928E+02 -5.42113E+01 2.92997E+02 + -5.27784E+01 4.61127E+01 -7.62080E+00 4.60765E+01 -7.60131E+00 + 2.59037E-02 7.61295E-03 2.69560E-02 7.96920E-03 9.93674E-01 + 2.04406E-03 5.47356E-03 5.63323E-03 3.05641E+02 -5.17443E+01 + 2.93823E+02 -4.99212E+01 4.62447E+01 -7.16401E+00 4.62089E+01 + -7.14372E+00 2.58707E-02 7.49886E-03 2.69108E-02 7.81293E-03 + 9.93693E-01 2.11057E-03 5.57119E-03 5.97084E-03 3.06238E+02 + -4.93632E+01 2.94510E+02 -4.71814E+01 4.63550E+01 -6.72416E+00 + 4.63192E+01 -6.70358E+00 2.58393E-02 7.37348E-03 2.68689E-02 + 7.64575E-03 9.93711E-01 2.18012E-03 5.66115E-03 6.32979E-03 + 3.06725E+02 -4.70649E+01 2.95065E+02 -4.45593E+01 4.64448E+01 + -6.30071E+00 4.64090E+01 -6.27973E+00 2.58119E-02 7.24417E-03 + 2.68328E-02 7.47567E-03 9.93723E-01 2.24045E-03 5.74210E-03 + 6.71154E-03 3.07110E+02 -4.48484E+01 2.95502E+02 -4.20460E+01 + 4.65155E+01 -5.89342E+00 4.64798E+01 -5.87215E+00 2.57875E-02 + 7.10377E-03 2.68014E-02 7.29487E-03 9.93733E-01 2.29763E-03 + 5.81257E-03 7.11768E-03 3.07398E+02 -4.27131E+01 2.95826E+02 + -3.96446E+01 4.65683E+01 -5.50192E+00 4.65326E+01 -5.48045E+00 + 2.57676E-02 6.95638E-03 2.67763E-02 7.10840E-03 9.93740E-01 + 2.35178E-03 5.87091E-03 7.54993E-03 3.07596E+02 -4.06588E+01 + 2.96047E+02 -3.73473E+01 4.66046E+01 -5.12569E+00 4.65689E+01 + -5.10412E+00 2.57526E-02 6.80036E-03 2.67575E-02 6.91386E-03 + 9.93745E-01 2.40281E-03 5.91527E-03 8.00999E-03 3.07710E+02 + -3.86807E+01 2.96174E+02 -3.51555E+01 4.66255E+01 -4.76483E+00 + 4.65898E+01 -4.74316E+00 2.57431E-02 6.63643E-03 2.67459E-02 + 6.71292E-03 9.93748E-01 2.44916E-03 5.94362E-03 8.49996E-03 + 3.07747E+02 -3.67812E+01 2.96215E+02 -3.30581E+01 4.66321E+01 + -4.41854E+00 4.65965E+01 -4.39677E+00 2.57397E-02 6.46097E-03 + 2.67418E-02 6.50088E-03 9.93749E-01 2.49094E-03 5.95370E-03 + 9.02184E-03 3.07716E+02 -3.51658E+01 2.96180E+02 -3.12685E+01 + 4.66258E+01 -4.08698E+00 4.65901E+01 -4.06531E+00 2.57560E-02 + 5.61435E-03 2.67592E-02 5.59416E-03 9.93748E-01 2.52183E-03 + 5.94300E-03 9.57793E-03 3.07616E+02 -3.34404E+01 2.96072E+02 + -2.93911E+01 4.66074E+01 -3.76898E+00 4.65717E+01 -3.74731E+00 + 2.57723E-02 5.33234E-03 2.67776E-02 5.27546E-03 9.93746E-01 + 2.55433E-03 5.90876E-03 1.01706E-02 3.07456E+02 -3.17768E+01 + 2.95898E+02 -2.75913E+01 4.65781E+01 -3.46524E+00 4.65425E+01 + -3.44377E+00 2.57964E-02 5.08188E-03 2.68050E-02 4.99095E-03 + 9.93743E-01 2.58368E-03 5.84789E-03 1.08025E-02 3.07241E+02 + -3.01843E+01 2.95667E+02 -2.58777E+01 4.65390E+01 -3.17494E+00 + 4.65034E+01 -3.15357E+00 2.58316E-02 4.82131E-03 2.68446E-02 + 4.69747E-03 9.93740E-01 2.60690E-03 5.75701E-03 1.14763E-02 + 3.06977E+02 -2.86585E+01 2.95385E+02 -2.42521E+01 4.64908E+01 + -2.89743E+00 4.64553E+01 -2.87626E+00 2.58788E-02 4.54874E-03 + 2.68973E-02 4.39378E-03 9.93736E-01 2.63007E-03 5.63235E-03 + 1.21950E-02 3.06669E+02 -2.72069E+01 2.95058E+02 -2.27095E+01 + 4.64348E+01 -2.63315E+00 4.63993E+01 -2.61217E+00 2.59393E-02 + 4.26333E-03 2.69643E-02 4.07793E-03 9.93733E-01 2.64401E-03 + 5.46977E-03 1.29620E-02 3.06321E+02 -2.58188E+01 2.94691E+02 + -2.12439E+01 4.63717E+01 -2.38108E+00 4.63362E+01 -2.36020E+00 + 2.60139E-02 3.96554E-03 2.70462E-02 3.75100E-03 9.93731E-01 + 2.65635E-03 5.26472E-03 1.37805E-02 3.05940E+02 -2.44986E+01 + 2.94292E+02 -1.98643E+01 4.63023E+01 -2.14124E+00 4.62670E+01 + -2.12085E+00 2.61036E-02 3.65518E-03 2.71437E-02 3.41344E-03 + 9.93726E-01 2.66875E-03 5.01214E-03 1.46542E-02 3.05527E+02 + -2.32399E+01 2.93864E+02 -1.85565E+01 4.62276E+01 -1.91314E+00 + 4.61923E+01 -1.89285E+00 2.62103E-02 3.32971E-03 2.72589E-02 + 3.06183E-03 9.93726E-01 2.67030E-03 4.70646E-03 1.55873E-02 + 3.05089E+02 -2.20442E+01 2.93410E+02 -1.73173E+01 4.61484E+01 + -1.69690E+00 4.61132E+01 -1.67691E+00 2.63318E-02 2.99791E-03 + 2.73897E-02 2.70486E-03 9.93725E-01 2.67336E-03 4.34160E-03 + 1.65837E-02 3.04536E+02 -2.06757E+01 2.92845E+02 -1.59210E+01 + 4.60653E+01 -1.49168E+00 4.60303E+01 -1.47199E+00 2.61618E-02 + 3.41784E-03 2.72190E-02 3.12653E-03 9.93724E-01 2.67494E-03 + 3.91076E-03 1.76482E-02 3.03900E+02 -1.92434E+01 2.92201E+02 + -1.44674E+01 4.59791E+01 -1.29702E+00 4.59442E+01 -1.27782E+00 + 2.58020E-02 4.22960E-03 2.68528E-02 3.95264E-03 9.93723E-01 + 2.67645E-03 3.40659E-03 1.87856E-02 3.03394E+02 -1.81923E+01 + 2.91688E+02 -1.34044E+01 4.58906E+01 -1.11347E+00 4.58559E+01 + -1.09457E+00 2.59220E-02 3.98052E-03 2.69821E-02 3.68432E-03 + 9.93728E-01 2.66721E-03 2.82087E-03 2.00012E-02 3.02876E+02 + -1.71962E+01 2.91168E+02 -1.24035E+01 4.58004E+01 -9.40082E-01 + 4.57658E+01 -9.21379E-01 2.60637E-02 3.70814E-03 2.71334E-02 + 3.39345E-03 9.93733E-01 2.65635E-03 2.14468E-03 2.13006E-02 + 3.02350E+02 -1.62559E+01 2.90645E+02 -1.14687E+01 4.57091E+01 + -7.76715E-01 4.56747E+01 -7.58408E-01 2.62277E-02 3.41475E-03 + 2.73071E-02 3.08270E-03 9.93743E-01 2.64092E-03 1.36827E-03 + 2.26897E-02 3.01818E+02 -1.53651E+01 2.90120E+02 -1.05907E+01 + 4.56171E+01 -6.22730E-01 4.55830E+01 -6.04819E-01 2.64018E-02 + 3.12340E-03 2.74906E-02 2.77555E-03 9.93753E-01 2.62388E-03 + 4.80550E-04 2.41755E-02 3.01284E+02 -1.45253E+01 2.89595E+02 + -9.76431E+00 4.55253E+01 -4.78458E-01 4.54914E+01 -4.60943E-01 + 2.65979E-02 2.81506E-03 2.76966E-02 2.45175E-03 9.93766E-01 + 2.60227E-03 -5.29987E-04 2.57644E-02 3.00751E+02 -1.37351E+01 + 2.89079E+02 -8.99866E+00 4.54341E+01 -3.43080E-01 4.54003E+01 + -3.25862E-01 2.68129E-02 2.49642E-03 2.79208E-02 2.11934E-03 + 9.93778E-01 2.58368E-03 -1.67667E-03 2.74643E-02 2.99959E+02 + -1.26246E+01 2.88306E+02 -7.91579E+00 4.53438E+01 -2.16518E-01 + 4.53103E+01 -1.99696E-01 2.61776E-02 3.38716E-03 2.72706E-02 + 3.03115E-03 9.93795E-01 2.56206E-03 -2.97362E-03 2.92830E-02 + 2.99404E+02 -1.18867E+01 2.87775E+02 -7.20963E+00 4.52546E+01 + -9.79677E-02 4.52215E+01 -8.15408E-02 2.63357E-02 3.17692E-03 + 2.74360E-02 2.81110E-03 9.93814E-01 2.53578E-03 -4.43655E-03 + 3.12292E-02 2.98873E+02 -1.12145E+01 2.87274E+02 -6.57543E+00 + 4.51678E+01 1.18747E-02 4.51349E+01 2.80046E-02 2.65618E-02 + 2.89074E-03 2.76705E-02 2.51439E-03 9.93837E-01 2.50792E-03 + -6.08261E-03 3.33122E-02 2.98344E+02 -1.05758E+01 2.86781E+02 + -5.98018E+00 4.50831E+01 1.13999E-01 4.50506E+01 1.29733E-01 + 2.67956E-02 2.60869E-03 2.79117E-02 2.22320E-03 9.93863E-01 + 2.47702E-03 -7.93059E-03 3.55421E-02 2.97829E+02 -9.98268E+00 + 2.86305E+02 -5.43150E+00 4.50008E+01 2.08896E-01 4.49687E+01 + 2.24234E-01 2.70573E-02 2.30691E-03 2.81811E-02 1.91268E-03 + 9.93889E-01 2.44452E-03 -1.00012E-02 3.79294E-02 2.97212E+02 + -9.30198E+00 2.85732E+02 -4.79824E+00 4.49217E+01 2.96278E-01 + 4.48901E+01 3.11023E-01 2.69540E-02 2.42097E-03 2.80753E-02 + 2.02944E-03 9.93917E-01 2.41363E-03 -1.23168E-02 4.04857E-02 + 2.96084E+02 -8.10727E+00 2.84655E+02 -3.65900E+00 4.48458E+01 + 3.76726E-01 4.48139E+01 3.91569E-01 2.51117E-02 4.37170E-03 + 2.61874E-02 4.02845E-03 9.93942E-01 2.38731E-03 -1.49020E-02 + 4.32230E-02 2.95575E+02 -7.58962E+00 2.84196E+02 -3.19196E+00 + 4.47732E+01 4.50651E-01 4.47420E+01 4.64703E-01 2.53067E-02 + 4.17331E-03 2.63887E-02 3.82367E-03 9.93974E-01 2.35333E-03 + -1.77844E-02 4.61554E-02 2.95071E+02 -7.09554E+00 2.83751E+02 + -2.75652E+00 4.47041E+01 5.18233E-01 4.46732E+01 5.32185E-01 + 2.54921E-02 3.99182E-03 2.65789E-02 3.63755E-03 9.94019E-01 + 2.31155E-03 -2.09930E-02 4.92965E-02 2.94582E+02 -6.63488E+00 + 2.83328E+02 -2.35677E+00 4.46394E+01 5.79296E-01 4.46090E+01 + 5.92655E-01 2.57008E-02 3.79497E-03 2.67919E-02 3.43664E-03 + 9.94056E-01 2.27756E-03 -2.45599E-02 5.26612E-02 2.94582E+02 + -6.63488E+00 2.83328E+02 -2.35677E+00 4.46394E+01 5.79296E-01 + 4.46090E+01 5.92655E-01 2.57008E-02 3.79497E-03 2.67919E-02 + 3.43664E-03 9.94056E-01 2.27756E-03 -2.45599E-02 5.26612E-02 + ECHO READ:EBINDA(IM),$LGN(GE(IM)/0,1/) + 5.32984E-04 1.69413E+02 2.69387E+01 + ECHO READ:($LGN(GMFP,GBR1,GBR2(I,IM)/0,1/),I=1,NGE) + 8.51133E-04 1.30019E-04 0.00000E+00 0.00000E+00 6.22213E-02 + 1.00121E-02 8.51133E-04 1.30019E-04 0.00000E+00 0.00000E+00 + 6.22213E-02 1.00121E-02 9.42922E-04 1.44877E-04 0.00000E+00 + 0.00000E+00 2.68960E-02 4.29371E-03 1.04530E-03 1.61550E-04 + 0.00000E+00 0.00000E+00 -1.66913E-02 -2.80478E-03 1.15470E-03 + 1.79475E-04 0.00000E+00 0.00000E+00 5.46514E-03 8.25496E-04 + 1.27892E-03 1.99952E-04 0.00000E+00 0.00000E+00 -2.08805E-02 + -3.51759E-03 1.41017E-03 2.21722E-04 0.00000E+00 0.00000E+00 + 3.25850E-02 5.35047E-03 1.56148E-03 2.46975E-04 0.00000E+00 + 0.00000E+00 6.61464E-03 1.01621E-03 1.72333E-03 2.74155E-04 + 0.00000E+00 0.00000E+00 3.93070E-02 6.50634E-03 1.91232E-03 + 3.06091E-04 0.00000E+00 0.00000E+00 -2.43453E-02 -4.25003E-03 + 2.10851E-03 3.39454E-04 0.00000E+00 0.00000E+00 2.62992E-02 + 4.36222E-03 2.33824E-03 3.78768E-04 0.00000E+00 0.00000E+00 + 9.97717E-03 1.56897E-03 2.64799E-03 4.32117E-04 0.00000E+00 + 0.00000E+00 3.18350E-02 5.33349E-03 2.93885E-03 4.82533E-04 + 0.00000E+00 0.00000E+00 1.34617E-02 2.14874E-03 3.24902E-03 + 5.36644E-04 0.00000E+00 0.00000E+00 6.18206E-02 1.05854E-02 + 3.61565E-03 6.01024E-04 0.00000E+00 0.00000E+00 -6.12684E-03 + -1.34590E-03 4.00312E-03 6.69507E-04 0.00000E+00 0.00000E+00 + 1.84619E-02 3.00009E-03 4.42962E-03 7.45389E-04 0.00000E+00 + 0.00000E+00 4.85873E-02 8.35986E-03 4.90768E-03 8.31008E-04 + 0.00000E+00 0.00000E+00 5.42018E-02 9.36538E-03 5.50002E-03 + 9.37803E-04 0.00000E+00 0.00000E+00 -5.12840E-03 -1.33162E-03 + 6.17161E-03 1.05970E-03 0.00000E+00 0.00000E+00 6.28243E-02 + 1.10026E-02 6.86057E-03 1.18561E-03 0.00000E+00 0.00000E+00 + 5.13323E-02 8.90247E-03 7.61917E-03 1.32518E-03 0.00000E+00 + 0.00000E+00 5.65088E-02 9.85490E-03 8.46056E-03 1.48106E-03 + 0.00000E+00 0.00000E+00 6.21511E-02 1.09002E-02 9.38035E-03 + 1.65263E-03 0.00000E+00 0.00000E+00 9.13296E-02 1.63431E-02 + 1.04613E-02 1.85567E-03 0.00000E+00 0.00000E+00 5.24186E-02 + 9.03408E-03 1.16651E-02 2.08339E-03 0.00000E+00 0.00000E+00 + 5.64207E-02 9.79110E-03 1.29379E-02 2.32584E-03 0.00000E+00 + 0.00000E+00 8.86081E-02 1.59227E-02 1.43528E-02 2.59730E-03 + 0.00000E+00 0.00000E+00 1.12268E-01 2.04620E-02 1.59481E-02 + 2.90555E-03 0.00000E+00 0.00000E+00 1.07793E-01 1.95972E-02 + 1.79923E-02 3.30340E-03 0.00000E+00 0.00000E+00 1.20030E-01 + 2.19789E-02 2.04786E-02 3.79084E-03 0.00000E+00 0.00000E+00 + 1.34852E-01 2.48844E-02 2.27673E-02 4.24280E-03 0.00000E+00 + 0.00000E+00 1.87896E-01 3.53596E-02 2.54219E-02 4.77089E-03 + 0.00000E+00 0.00000E+00 1.66112E-01 3.10260E-02 2.82778E-02 + 5.34327E-03 0.00000E+00 0.00000E+00 2.04984E-01 3.88165E-02 + 3.15305E-02 6.00005E-03 0.00000E+00 0.00000E+00 2.01524E-01 + 3.81179E-02 3.50429E-02 6.71463E-03 0.00000E+00 0.00000E+00 + 2.46834E-01 4.73359E-02 3.89831E-02 7.52233E-03 0.00000E+00 + 0.00000E+00 2.71639E-01 5.24207E-02 4.37840E-02 8.51402E-03 + 0.00000E+00 0.00000E+00 2.86258E-01 5.54406E-02 4.88506E-02 + 9.56869E-03 0.00000E+00 0.00000E+00 3.47653E-01 6.82205E-02 + 5.44656E-02 1.07466E-02 0.00000E+00 0.00000E+00 3.48351E-01 + 6.83669E-02 6.02752E-02 1.19749E-02 0.00000E+00 0.00000E+00 + 4.55207E-01 9.09591E-02 6.72886E-02 1.34695E-02 0.00000E+00 + 0.00000E+00 4.23097E-01 8.41166E-02 7.44207E-02 1.50014E-02 + 0.00000E+00 0.00000E+00 5.25241E-01 1.06057E-01 8.18971E-02 + 1.66203E-02 0.00000E+00 0.00000E+00 5.72250E-01 1.16236E-01 + 9.02757E-02 1.84492E-02 0.00000E+00 0.00000E+00 6.23919E-01 + 1.27514E-01 9.99855E-02 2.05859E-02 0.00000E+00 0.00000E+00 + 6.56166E-01 1.34610E-01 1.10012E-01 2.28105E-02 0.00000E+00 + 0.00000E+00 7.68448E-01 1.59523E-01 1.21699E-01 2.54251E-02 + 0.00000E+00 0.00000E+00 7.94963E-01 1.65455E-01 1.33634E-01 + 2.81177E-02 0.00000E+00 0.00000E+00 9.08676E-01 1.91108E-01 + 1.47145E-01 3.11914E-02 0.00000E+00 0.00000E+00 9.70876E-01 + 2.05258E-01 1.61167E-01 3.44084E-02 0.00000E+00 0.00000E+00 + 1.08529E+00 2.31507E-01 1.76080E-01 3.78593E-02 0.00000E+00 + 0.00000E+00 1.20977E+00 2.60314E-01 1.93121E-01 4.18368E-02 + 0.00000E+00 0.00000E+00 1.26957E+00 2.74271E-01 2.09735E-01 + 4.57487E-02 0.00000E+00 0.00000E+00 1.42509E+00 3.10888E-01 + 2.30543E-01 5.06912E-02 0.00000E+00 0.00000E+00 1.52368E+00 + 3.34306E-01 2.50827E-01 5.55519E-02 0.00000E+00 0.00000E+00 + 1.65931E+00 3.66810E-01 2.71313E-01 6.05053E-02 0.00000E+00 + 0.00000E+00 1.79585E+00 3.99824E-01 2.92481E-01 6.56699E-02 + 0.00000E+00 0.00000E+00 1.93640E+00 4.34114E-01 3.14570E-01 + 7.11085E-02 0.00000E+00 0.00000E+00 2.06779E+00 4.66464E-01 + 3.37158E-01 7.67211E-02 0.00000E+00 0.00000E+00 2.19906E+00 + 4.99083E-01 3.58949E-01 8.21861E-02 0.00000E+00 0.00000E+00 + 2.35335E+00 5.37778E-01 3.82210E-01 8.80747E-02 0.00000E+00 + 0.00000E+00 2.46816E+00 5.66842E-01 4.07476E-01 9.45317E-02 + 0.00000E+00 0.00000E+00 2.64207E+00 6.11284E-01 4.29785E-01 + 1.00287E-01 0.00000E+00 0.00000E+00 2.76035E+00 6.41800E-01 + 4.50239E-01 1.05616E-01 0.00000E+00 0.00000E+00 2.88068E+00 + 6.73146E-01 4.68720E-01 1.10477E-01 0.00000E+00 0.00000E+00 + 2.99552E+00 7.03353E-01 4.85238E-01 1.14864E-01 0.00000E+00 + 0.00000E+00 3.09617E+00 7.30090E-01 5.01396E-01 1.19199E-01 + 0.00000E+00 0.00000E+00 3.16188E+00 7.47718E-01 5.13540E-01 + 1.22490E-01 0.00000E+00 0.00000E+00 3.22850E+00 7.65770E-01 + 5.24526E-01 1.25497E-01 0.00000E+00 0.00000E+00 3.26420E+00 + 7.75543E-01 5.31460E-01 1.27415E-01 0.00000E+00 0.00000E+00 + 3.29219E+00 7.83283E-01 5.35548E-01 1.28557E-01 0.00000E+00 + 0.00000E+00 3.30003E+00 7.85473E-01 5.39005E-01 1.29533E-01 + 0.00000E+00 0.00000E+00 3.27938E+00 7.79642E-01 5.40661E-01 + 1.30005E-01 0.00000E+00 0.00000E+00 3.28985E+00 7.82631E-01 + 5.38211E-01 1.29299E-01 0.00000E+00 0.00000E+00 3.23302E+00 + 7.66241E-01 5.32011E-01 1.27492E-01 0.00000E+00 0.00000E+00 + 3.16733E+00 7.47094E-01 5.23792E-01 1.25070E-01 0.00000E+00 + 0.00000E+00 3.08744E+00 7.23550E-01 5.14518E-01 1.22306E-01 + 0.00000E+00 0.00000E+00 2.99398E+00 6.95704E-01 5.02099E-01 + 1.18565E-01 0.00000E+00 0.00000E+00 2.89656E+00 6.66355E-01 + 4.90559E-01 1.15049E-01 0.00000E+00 0.00000E+00 2.78711E+00 + 6.33004E-01 4.76812E-01 1.10812E-01 0.00000E+00 0.00000E+00 + 2.67974E+00 5.99916E-01 4.63725E-01 1.06732E-01 0.00000E+00 + 0.00000E+00 2.57041E+00 5.65834E-01 4.49851E-01 1.02356E-01 + 0.00000E+00 0.00000E+00 2.45575E+00 5.29671E-01 4.34867E-01 + 9.75747E-02 0.00000E+00 0.00000E+00 2.34440E+00 4.94136E-01 + 4.23096E-01 9.37731E-02 0.00000E+00 0.00000E+00 2.23189E+00 + 4.57800E-01 4.09274E-01 8.92553E-02 0.00000E+00 0.00000E+00 + 2.12709E+00 4.23543E-01 3.96111E-01 8.48999E-02 0.00000E+00 + 0.00000E+00 2.02355E+00 3.89284E-01 3.83676E-01 8.07340E-02 + 0.00000E+00 0.00000E+00 1.92197E+00 3.55253E-01 3.72791E-01 + 7.70414E-02 0.00000E+00 0.00000E+00 1.83435E+00 3.25529E-01 + 3.63250E-01 7.37638E-02 0.00000E+00 0.00000E+00 1.75237E+00 + 2.97367E-01 3.54737E-01 7.08013E-02 0.00000E+00 0.00000E+00 + 1.67648E+00 2.70959E-01 3.47024E-01 6.80821E-02 0.00000E+00 + 0.00000E+00 1.61159E+00 2.48083E-01 3.40889E-01 6.58908E-02 + 0.00000E+00 0.00000E+00 1.55359E+00 2.27365E-01 3.34737E-01 + 6.36637E-02 0.00000E+00 0.00000E+00 1.49338E+00 2.05568E-01 + 3.29090E-01 6.15916E-02 0.00000E+00 0.00000E+00 1.43899E+00 + 1.85608E-01 3.23925E-01 5.96704E-02 0.00000E+00 0.00000E+00 + 1.38999E+00 1.67382E-01 3.19932E-01 5.81635E-02 0.00000E+00 + 0.00000E+00 1.34575E+00 1.50694E-01 3.16607E-01 5.68918E-02 + 0.00000E+00 0.00000E+00 1.30609E+00 1.35519E-01 3.13630E-01 + 5.57361E-02 0.00000E+00 0.00000E+00 1.27068E+00 1.21776E-01 + 3.11679E-01 5.49682E-02 0.00000E+00 0.00000E+00 1.24002E+00 + 1.09701E-01 3.10515E-01 5.45029E-02 0.00000E+00 0.00000E+00 + 1.21206E+00 9.85321E-02 3.09070E-01 5.39169E-02 0.00000E+00 + 0.00000E+00 1.18680E+00 8.82825E-02 3.08311E-01 5.36042E-02 + 0.00000E+00 0.00000E+00 1.16432E+00 7.90280E-02 3.08126E-01 + 5.35271E-02 0.00000E+00 0.00000E+00 1.14442E+00 7.07058E-02 + 3.08341E-01 5.36182E-02 0.00000E+00 0.00000E+00 1.12682E+00 + 6.32298E-02 3.08497E-01 5.36857E-02 0.00000E+00 0.00000E+00 + 1.11057E+00 5.62146E-02 3.09403E-01 5.40831E-02 0.00000E+00 + 0.00000E+00 1.09659E+00 5.00841E-02 3.10266E-01 5.44676E-02 + 0.00000E+00 0.00000E+00 1.08475E+00 4.48047E-02 3.11705E-01 + 5.51203E-02 0.00000E+00 0.00000E+00 1.07429E+00 4.00631E-02 + 3.13175E-01 5.57983E-02 0.00000E+00 0.00000E+00 1.06510E+00 + 3.58209E-02 3.14907E-01 5.66109E-02 0.00000E+00 0.00000E+00 + 1.05700E+00 3.20215E-02 3.16818E-01 5.75236E-02 0.00000E+00 + 0.00000E+00 1.04988E+00 2.86227E-02 3.18814E-01 5.84938E-02 + 0.00000E+00 0.00000E+00 1.04364E+00 2.55848E-02 3.20896E-01 + 5.95247E-02 0.00000E+00 0.00000E+00 1.03815E+00 2.28712E-02 + 3.23262E-01 6.07177E-02 0.00000E+00 0.00000E+00 1.03333E+00 + 2.04402E-02 3.25566E-01 6.19019E-02 0.00000E+00 0.00000E+00 + 1.02911E+00 1.82709E-02 3.27899E-01 6.31246E-02 0.00000E+00 + 0.00000E+00 1.02466E+00 1.59395E-02 3.30375E-01 6.44477E-02 + 0.00000E+00 0.00000E+00 1.02128E+00 1.41315E-02 3.33029E-01 + 6.58948E-02 0.00000E+00 0.00000E+00 1.01862E+00 1.26832E-02 + 3.35681E-01 6.73700E-02 0.00000E+00 0.00000E+00 1.01628E+00 + 1.13842E-02 3.38449E-01 6.89432E-02 0.00000E+00 0.00000E+00 + 1.01423E+00 1.02185E-02 3.41190E-01 7.05336E-02 0.00000E+00 + 0.00000E+00 1.01243E+00 9.17321E-03 3.43940E-01 7.21648E-02 + 0.00000E+00 0.00000E+00 1.01086E+00 8.24021E-03 3.46774E-01 + 7.38834E-02 0.00000E+00 0.00000E+00 1.00947E+00 7.39573E-03 + 3.49428E-01 7.55308E-02 0.00000E+00 0.00000E+00 1.00759E+00 + 6.22680E-03 3.52279E-01 7.73412E-02 0.00000E+00 0.00000E+00 + 1.00661E+00 5.60701E-03 3.55170E-01 7.92219E-02 0.00000E+00 + 0.00000E+00 1.00579E+00 5.07232E-03 3.58018E-01 8.11206E-02 + 0.00000E+00 0.00000E+00 1.00507E+00 4.59383E-03 3.60897E-01 + 8.30883E-02 0.00000E+00 0.00000E+00 1.00443E+00 4.15709E-03 + 3.63736E-01 8.50794E-02 0.00000E+00 0.00000E+00 1.00387E+00 + 3.76048E-03 3.66598E-01 8.71390E-02 0.00000E+00 0.00000E+00 + 1.00338E+00 3.40884E-03 3.69432E-01 8.92361E-02 0.00000E+00 + 0.00000E+00 1.00294E+00 3.08289E-03 3.72253E-01 9.13820E-02 + 0.00000E+00 0.00000E+00 1.00256E+00 2.79708E-03 3.75060E-01 + 9.35798E-02 0.00000E+00 0.00000E+00 1.00222E+00 2.53051E-03 + 3.77847E-01 9.58265E-02 0.00000E+00 0.00000E+00 1.00193E+00 + 2.29290E-03 3.80617E-01 9.81291E-02 0.00000E+00 0.00000E+00 + 1.00171E+00 2.11146E-03 3.83363E-01 1.00484E-01 0.00000E+00 + 0.00000E+00 1.00147E+00 1.90433E-03 3.86086E-01 1.02896E-01 + 0.00000E+00 0.00000E+00 1.00127E+00 1.72770E-03 3.88765E-01 + 1.05349E-01 0.00000E+00 0.00000E+00 1.00109E+00 1.56393E-03 + 3.91436E-01 1.07882E-01 0.00000E+00 0.00000E+00 1.00093E+00 + 1.41620E-03 3.94067E-01 1.10466E-01 0.00000E+00 0.00000E+00 + 1.00079E+00 1.28133E-03 3.96657E-01 1.13109E-01 0.00000E+00 + 0.00000E+00 1.00067E+00 1.15930E-03 4.02064E-01 1.18841E-01 + 0.00000E+00 0.00000E+00 1.01022E+00 1.12815E-02 4.01636E-01 + 1.18367E-01 0.00000E+00 0.00000E+00 1.00000E+00 0.00000E+00 + 4.04142E-01 1.21251E-01 0.00000E+00 0.00000E+00 1.00000E+00 + 0.00000E+00 4.06592E-01 1.24196E-01 0.00000E+00 0.00000E+00 + 1.00000E+00 0.00000E+00 4.09001E-01 1.27226E-01 0.00000E+00 + 0.00000E+00 9.99998E-01 -1.60567E-06 4.11349E-01 1.30324E-01 + 0.00000E+00 0.00000E+00 1.00000E+00 1.60567E-06 4.13655E-01 + 1.33525E-01 0.00000E+00 0.00000E+00 9.99998E-01 -1.60567E-06 + 4.15889E-01 1.36794E-01 0.00000E+00 0.00000E+00 1.00000E+00 + 0.00000E+00 4.18069E-01 1.40165E-01 0.00000E+00 0.00000E+00 + 1.00000E+00 1.60567E-06 4.20177E-01 1.43626E-01 0.00000E+00 + 0.00000E+00 1.00000E+00 0.00000E+00 4.22219E-01 1.47194E-01 + 0.00000E+00 0.00000E+00 1.00000E+00 0.00000E+00 4.24179E-01 + 1.50858E-01 0.00000E+00 0.00000E+00 1.00000E+00 0.00000E+00 + 4.26061E-01 1.54637E-01 0.00000E+00 0.00000E+00 1.00000E+00 + 0.00000E+00 4.27852E-01 1.58523E-01 0.00000E+00 0.00000E+00 + 1.00000E+00 0.00000E+00 4.29550E-01 1.62530E-01 0.00000E+00 + 0.00000E+00 1.00000E+00 0.00000E+00 4.31143E-01 1.66652E-01 + 0.00000E+00 0.00000E+00 1.00000E+00 0.00000E+00 4.32632E-01 + 1.70914E-01 0.00000E+00 0.00000E+00 1.00000E+00 0.00000E+00 + 4.34000E-01 1.75295E-01 0.00000E+00 0.00000E+00 1.00000E+00 + 0.00000E+00 4.35245E-01 1.79819E-01 0.00000E+00 0.00000E+00 + 9.99999E-01 -1.60567E-06 4.36357E-01 1.84487E-01 0.00000E+00 + 0.00000E+00 1.00000E+00 1.60567E-06 4.37323E-01 1.89298E-01 + 0.00000E+00 0.00000E+00 1.00000E+00 0.00000E+00 4.38137E-01 + 1.94265E-01 0.00000E+00 0.00000E+00 1.00000E+00 0.00000E+00 + 4.38787E-01 1.99393E-01 0.00000E+00 0.00000E+00 1.00000E+00 + 0.00000E+00 4.39260E-01 2.04678E-01 0.00000E+00 0.00000E+00 + 1.00000E+00 0.00000E+00 4.39547E-01 2.10140E-01 0.00000E+00 + 0.00000E+00 1.00000E+00 0.00000E+00 4.39633E-01 2.15778E-01 + 0.00000E+00 0.00000E+00 1.00000E+00 0.00000E+00 4.39549E-01 + 2.19647E-01 -9.38290E-05 4.30879E-03 1.00000E+00 0.00000E+00 + 4.39204E-01 2.25508E-01 -1.03116E-04 4.46646E-03 1.00000E+00 + 0.00000E+00 4.38623E-01 2.31556E-01 -1.19034E-04 4.63224E-03 + 1.00000E+00 0.00000E+00 4.37793E-01 2.37794E-01 -1.41996E-04 + 4.80471E-03 1.00000E+00 0.00000E+00 4.36698E-01 2.44223E-01 + -1.72541E-04 4.98411E-03 1.00000E+00 0.00000E+00 4.35320E-01 + 2.50866E-01 -2.11255E-04 5.17079E-03 1.00000E+00 0.00000E+00 + 4.33647E-01 2.57712E-01 -2.58741E-04 5.36500E-03 1.00000E+00 + 0.00000E+00 4.31659E-01 2.64771E-01 -3.15650E-04 5.56708E-03 + 1.00000E+00 0.00000E+00 4.29335E-01 2.72060E-01 -3.82680E-04 + 5.77737E-03 1.00000E+00 0.00000E+00 4.26663E-01 2.79570E-01 + -4.60547E-04 5.99618E-03 1.00000E+00 0.00000E+00 4.25834E-01 + 2.81677E-01 -4.59346E-03 1.65128E-02 1.00000E+00 0.00000E+00 + 4.23869E-01 2.86248E-01 -7.14820E-03 2.24525E-02 1.00000E+00 + 0.00000E+00 4.20356E-01 2.93765E-01 -7.55061E-03 2.33138E-02 + 1.00000E+00 -1.60565E-06 4.16457E-01 3.01497E-01 -8.00200E-03 + 2.42087E-02 9.99998E-01 1.60567E-06 4.12162E-01 3.09428E-01 + -8.50534E-03 2.51383E-02 1.00000E+00 -1.60567E-06 4.07449E-01 + 3.17573E-01 -9.06405E-03 2.61039E-02 9.99998E-01 1.60567E-06 + 4.02300E-01 3.25937E-01 -9.68149E-03 2.71068E-02 1.00000E+00 + 0.00000E+00 3.96696E-01 3.34521E-01 -1.03613E-02 2.81481E-02 + 1.00000E+00 0.00000E+00 3.98420E-01 3.32021E-01 -2.31638E-02 + 4.67034E-02 1.00000E+00 0.00000E+00 3.93320E-01 3.39036E-01 + -2.56651E-02 5.01437E-02 1.00000E+00 0.00000E+00 3.87057E-01 + 3.47232E-01 -2.71124E-02 5.20375E-02 1.00000E+00 0.00000E+00 + 3.80365E-01 3.55584E-01 -2.86827E-02 5.39971E-02 1.00000E+00 + 0.00000E+00 3.73231E-01 3.64091E-01 -3.03822E-02 5.60241E-02 + 1.00000E+00 -1.60567E-06 3.65639E-01 3.72762E-01 -3.22179E-02 + 5.81207E-02 9.99998E-01 1.60565E-06 3.57593E-01 3.81578E-01 + -3.41956E-02 6.02876E-02 1.00000E+00 0.00000E+00 3.49073E-01 + 3.90548E-01 -3.63223E-02 6.25267E-02 1.00000E+00 0.00000E+00 + 3.40070E-01 3.99670E-01 -3.86047E-02 6.48393E-02 1.00000E+00 + 0.00000E+00 3.30592E-01 4.08926E-01 -4.10493E-02 6.72265E-02 + 1.00000E+00 0.00000E+00 3.20619E-01 4.18324E-01 -4.36634E-02 + 6.96898E-02 1.00000E+00 0.00000E+00 3.28567E-01 4.11087E-01 + -6.87622E-02 9.25423E-02 1.00000E+00 -1.60567E-06 3.28567E-01 + 4.11087E-01 -6.87622E-02 9.25423E-02 1.00000E+00 -1.60567E-06 diff --git a/code/input-output/hbook.out b/code/input-output/hbook.out new file mode 100644 index 0000000000000000000000000000000000000000..6d7905eb7af364b952be5c3385dfa84438e9e1e8 GIT binary patch literal 212992 zcmeI5349Fa`@l!yEKOWN(ArY8+9>MWd5?*sjymHAaa54X5o+d7tNbpZ9s+_q(&Zb3HSH01FTR z0zd!=00AHX1b_e#00KY&2mk>fkn0Kbbd6mVj#l8+t&}@Liv< z@~~Nc$jXn+@?BQ`VwV47Wq-5$4=azD)3n%IgQ3Wj>bUW_dp=gUoU?D^Hl^C{_+K%R5-fW3k0`EBhBLi-PO-tUPI! zH?qwdJ~y_wu49`%k!E=nlX)z*xGrNpUzp`ZOrDh_!+#(E1b{#;Ai(w#<$cNb^A#70 zyCev;%=&xzjtj-zy@hwp`a3Q&nJx+MnDrX2vzh;MPC#y7!_{3VE=&@toAqk0Cz(G^ zuCL}=kJT>{s+j95yFQTPEA1=0c4YO-1cSN0l2C%xHx}5QsvQ4y*C1BEN~mboE3lr5 zyEhXmnDz3m6Xg1GX1%O{<@&N_y^QNua(!vDUP@s7vG%3RdP&z!a(!{L?#_Ozc)hz> zcXQn**Rwrsc|1jha8}<`C~DSUaXruKR||#BdLdyBtN%bKWY!D1{>SRq@Lg@Wy(^P> zy)u7SwvWx*C+&kT6Wq&RWv@WNy+V=NE7&Nc!hGNS<&sp-e{1u#Xwt4WD|tPC1t;y! zbCUVmGd)Webg=Rby#qkCw(Un%zPpS*+cp8ssEP6TZRTwUK|s%{mqbe+nsBLhv(eQr zPvORI&PU(=d4X0D4@j^6SWw(JBNT0`dVu$ZW)^$}y?te`c%!gxCy-r=VR}E5PLNh+$+^&FZL-E0$Q|e%HQXF=2v<1`^@zv?A0&YAPC;< zB`p9!TyHY2BX10#N=-X!L@7k9mKSzreUEWraob#O@o623<1FbA^ll(-++E$ zq5g)Tu;BiK4MEin{=k?TtHvGR42A9LdC zBX3vG>}JRg>!f)sPyhiS00e*l5C8%|00;m9AOHk_01yBIKmZ5;0U!VbtP&7+v@M5v zAQKwsk$fDKAH%lVAeU8$<4{SoaLI{WHmy|C5iiZ<*A3*k1&Jrv*)&*yK<*?U`qe3h zF0NdhJ7)*80s^)Pkn;yx&|e?RzisH=`DM1fb5<>OE5F{_h)I0tdt~#Lw{XLMZ=-`B zvMWs3H3Q#AC0}b`tzAyAi|#?@YZ@(&7M&jXLa7l)SN{NcylK9!QnBXl5(OR#K|hS~ z$PKd;Nes)!(YK%#k8bPp1TP=}1hNx>^jt%ioyHAg0Rlh(2mk>f00e*l5C8%|00;m9 zAOHk_01yBIKmZ5;fxJz?>ovhcDwaPV%boYLO2WNBX~8}J&7^$j(p#9{VU`cgbBtMr zz5J@kbMM*0^NlGhQS=>_56xaQoSReW!ppp9Z~b}E!2v;rAtwLe!G@7uqYMTXm*+Sy zPs-KyJiv4It>o*oT<-Esn6Hg|eRltZEMAPoUL9);+9RO?FRmJ|EO=qO)_RR-id`kH zj`-PlF0Q`uV(E9qZ^oP#SBlZ-Y{X;H_x*Z&$Y^_!G2*%Dhef~IKZ{*^x`|ype-%5H zJS28P#f_)8Ju=2DpKpv63vgd?#f@3w+0B#1&Xt}TPj3BFT>Qb0s8Bxn{yXUG(FM4e zp|E&v^a*4f)!lez&v|ic-+#pT_~)o*G|zJv#O^cNpjZDsjedM>xVT;zf-4>R#Q5)? zi(<`(twiCI35|Y@{gL}+#_}>>-}4v2-ILv^f#>V9dpEFqCTa5ZE2#4Im$LeP(FR-j z`gK(K`Yc`Fkj{jC)`0cFKMO2ppM7rJ9-iQN`>AvG`DZHszs%Q0&VJUfmu!5n00AHX z1b_e#00KY&2mk>f00e*l5C8%|00;m9Adm(DRA5}7_-_?<7u}@A_#l|w`lYjV>GSEU%fHCNFl{l%O0} zPitP{+TFTyiPDOdmG#EOh5KY>|5?$u@#IIJWwc-8Z@0E(v~5=|$?p?;<79Swu`iYPwKLMM*e&i+M%rbEHe#m=B{DJ&v0K-J8EKc@+K7F+ zHx;`k#_AcD*fq@hHJ^F{WFsH^O7E6p^|#(P##~w~7VxSmt}Ro^c)7+*a`%D@vDvZQ=%&#J6E zU^!=>=W}~5XTCOa_FX!&daDa*ir0}+;&$$U7LJG^K|XaA=XxEwT;MY4cH#u;R`xR- z(IiZ%Q0v)#g+XIp*6#cVz(a4@T$^3;8U+A;+ps8I{G>a_f>r?qN<92lx&)H9v zug^bEZVxvwUmN-Qw%1A478W1?1b_e#00KY&2mpaxNI4!(llYA#QbmW^Z z@_qx~FEG~U+ssxMG_Hue-+^yklJ~L1L4$6gqtgdiZJ?>ZT}EV^>ripsgVAW;pKHbG z4bqj*Cmx#gwPsp5Mn!HePck}Kbp16s4+uRk(LJLvvbr5A(eqwL#~}KCyb+ZUuZfAB zf6HhLN3=ur)_20g_N5@^JVNahm;`9my)pn4x7XgHyE#f1>6@KaE%#op% zKfmhC_z*j70?2QO)$ao|pOOXtUkwSD2j6}oX7F0^(T zp4sZYxOm8HS$CZ@3ys+~3CAz&PX6!BU(x4}O5v(I`TcNn#ey~%eMB#puF7Oc|Kod- z+gb3%zaG-NaF$ejdx+B>Q(x>V8Pb{bjHsGQzD(DyGA(B`g3^!jHPKkPbB-Y-+e zLrk;76#!-eaem>N@{%N zq3Aie#OpkItH+O4FX^w=@p>b>JA2YXs;!srNq`>TDWcev5*K-vqMc&TP9<($u&8=G zxPR>!(!F1RV#7UZoWT#ilb=bB$2A&2-r9LrsY7?0-%w=I?$d^fj7F^aOOdUW*sb4g zYjw`DqxA)o^VG@ks}}EBPg)hauJlhL2S|9qGkF^Yt)6|3+exFugLvAkLAZDw*$o}L z5GUI^>3cS}a!y@t?BIXs@VlwjL2Vbfak(GWHeBJm9XJB7BvX%WRqXgk&xOgd|FRdP zEQDRaPwemSJbN{L2FY5w-%nql86{#jX)YJCmFRDeHULSAtHcig!^JgY?FpN^MG zvNFBRU%SbikfVxjsU(BX@M}x5Y{C1w@3Pc6TDK(mcL^67nQDC`rrYLo%YqD8utA(xXe^%F zHF-b9(mY829xE-`u`#-sC3{xd(45v+)cvDV&$=i&F+HqJ22@SnXThJhN2!HTX79Z>m-+QaicKj=L-Lm* z^AgVzL)Cg_%k@k6Rm2f@bZq!5gS$upX{{VU2)-zUg z;Yw$8zW9H7e6zC;+H$TV{@h#W}o0Uu^K@rFOw@SCqF;wf3BXg65RPc_^~(!MSMa#7=Za%ikD}QX9Nz3j!(~=lT`>3!4)!HXF1Ly|!(MK}5-T?aD% zSXt^4V<3OrN^vcLOcMSHFZ1-vO%vS9^-WqY**zHqAyAX2@2SeuU(D+JMH_78>DOiJ zV>j>ihT0a>^oN8D{&;Y3KU1i`He=twqRKh?lKeSwJJW%cvr^~iv(J#5eB8!Hj(*mz zk8B>W00AHX1b_e#00KY&2mk>f00e*l5C8%|00;m9AOHlMPJr&Z(bMUjWKjqBaG=G! zpDY@VL%SuP*GbNIb7){E1fvVy7x0#1{G3&ag_@R!q%i1E=;Fr~^M`aa&CxDo$h`mM z=m|J!@FZeJqB=CKD#>}jbem@{wLP!MWYkx6GkdP=JnCzi-Dh6ykFlp~eHf^r_73$}a~lI1uv^Xn zP|q>9%j`XTLzyG_?T5aK3}x;jKqGJaIATU@eKF9m)((kf&vf00e*l5O5v=eL4DPItgxPyyRSH{w#1oII79fub|4&C#+tZldsjy zIr=YiqID+e_X`LzjPf6%e@p9>Jy#I>bAd!i!q{?(S-C**ib%x6Kh~f00e-50|~I>&MER6$${o?*%O{d?tIUl_F2^y zCj{A*TS|xDP4Rp}wBc?XWY=Je77&7xt$zFajK-qF&+_woxoXjV$61>k9Z=6; ztu8y-anC=t+cPdwb5`_l_{Rz}XMR5@edsOOSghV>KyM82(@%g#2Ij~- zZ}R2RsrqM`(v$YMN7#B+Eu}ScHP*kpy-5}??8;<|*9OtF@^) z*=M67Sy=h=v}VNol0PeH`DTZDHeQ3CanQgm4{iC;;k7r}s)srt00e*l5C8%|00;m9 zAOHk_01yBIKmZ5;0U!VbfB+D1J^_7s`iDvjZU_HM%F}1h0~dr}9h0a3GABCYdHOs@ zyKFO_t8Y0^U#{Q7#%v=`f6h4PleXT2XbkA?z58)Ioe)!9dL{e__oN?RUZie8N|&BV zyjHFuEvJ8=w&zt@m8aj5z4uj;^7Pp~83bW~eR=xz&3XFo+mWZwJ}Yk9V|cE9>O6g3 zckm_~r;R-QtX&`T>N*AU1_D3;2mk>f00e*l5C8%|00;m9AOHk_01yBIK)^8s@VYJ7 zF_9ojb|*k$5)A1bBbhUjpR>u51>Yr&ZFP+MY?q&jZp$a5b)=6^rsw$Mrk~}hJkyN6 zH{Q$AIyQU8MTehTXHR=)wf00e*l5C8%|00;m9AOHk_KxPrpm#4oymgOC9 zOv=+|&jS~PV~)wwf0+|)N1i^*(Jr%!=jvO@(`WT%`FT7WdHU13XBKZu{UDe5uXt~6 z-kolWo+TBWf1Hlq*@c}SO4l_8mg44S+si!tGV9p;KQSp!pWTx|5C+DH?VQq$kWf-^)WZEH!wFK00e*l5C8%|00;m9AOHk_01yBI zKmZ5;0U!VbQWC(kLv-aOlYLSMiiIbDl;ctA*P$YU)?8IsIwAa&??iy0Yk{|J@^PwfXvu$+lGC5a@ z7N1qe*?n1xPliOx&n&f6r?uglV@H$QCv|@&wCyeF_*{u6mh8FJMnk8(&At~6xpjUp zue?iuM%IkZyR*-JGpFTNO;nFcoZVrmTDK*WD)EzS)n~Ul)M3SXOJky8&-^UewYJ>& zot)FRzqRjCdUP69;xHXi&)-rT8dbfPC3|kM(FZ5KNzYv9j2{=Wb>+?Uv;kirkX;Fo zQ1=|2$L=Vu_`KIXN5z6TKmZ5;0U!VbfB+Bx0zd!=00AHX1b_e#00KY&2mk>fU>^Z} zdHU<-u)O0TNqPG0dEkO@+%b9jFLRn6gy@v1!iB7(ceHFKVR6*i(E`||V~vON8d!}5y?j_QG4JHN;ZOWWIdkwbQvGrc^*;`67n!`NU9d5Zvj zUU5)%nK9j#(8}Ji&=+rJ+h-NhrE0hx;_{NdIFhK=v%5?m$GT?sG3Ms+(L^aCE9OTZ z&$har)8`+y$ciy3ebT4jhbXe6OKHf>Z1r1B6JL9zcaAh_@><20ChT__Bc+erP|{o5 z4`=2)X#9WAEcHj1H`}ka)3b$5YTc1Cz1=a?k@0d$EE?CgrmgQaXU{_t>Ra5daCW~m z4n5S<2Yv4Os~$gleCUfG3fj{?y=`e+x%TO8lOBJXc=OBj_-BSMy;mSSt$yh9P>c6b zN~@jTdV1&M&ANS`)#TT2=w9ffUy^_4bT`W7j*@@t6!&vePnSNJ*Ho?N7MWiA4Q19` z=*pqhGOMrj^h2Lk+MOPMJ-+l=(L;Ltv_5$Dnxk4(S|*9qVaa#~jIB&-|?U(7RJ^(90(WSgQxSbprIU(dzG@wN~^@ z{@<xmXh9?@ySJ)fL3Rr+7xO+DkKUpJ_&$1gki&}SF2^x1^j zi3N-W2-r)2ZZ0_8-Wbr{c?9T#F$vC#o;@Ser&XMGOg?+g7)F&-2++r#mmED-di~f& z8rLky(QzSO-XlOS75g_cW=)@dG(&Gc^x=M8=f(8K%ZYy8KQ+3*$4zezokcG{3rLc~ zQe0z604h}Gwf(;|RMi~q&63=N~n3R|8VdDAumUHuY-nIvS?`-Af zvuap!5`mYw`5uGV``a!lH=o^yK@bLMa`Qce{PN85vH$bgt6#K%t&i*_EfrEMN$X)F zw$Awv8#Xwkk7-aqaHz@9&)+b_KO{83&@aGb=sP$l$TY}5ba04?MUZpqk^FgZn_iBU zol@uGv(Jj#{P&qJU;pYPwUOH?=lppbSbzW!00KY&2mk>f00e*l5C8%|00;m9AOHk_ z01yBIKmZ5;0U!VbfB+Bx0zd!=00AHX1b_e#00KY&2mk>f00e*l5C8%|00;m9AOHk_ z01yBIKmZ5;0U!VbfB+E4#su``;!m$2xPA6KDHoqT^IH&NG`aX?Rk`>^Rf00e*l5C8%| z00;m9AOHk_01yBIKmZ5;0U!VbfB+Bx0zd!=00AHX1b_e#00KY&2mk>f00e*l5C8%| z00;m9AOHk_01yBIIgx+intRQd^f_l7$rY$>m@@d()vtP@0ZJQaq+ct04 zx}BkEvnJk6TefM|wo^Mp`*s~$cJgW0wxxl!V>$3H@$!1;Hu4`<{*^iho~@&9pX_G7 znjCnSJ{_W${{zzK#?__8 zt!~nX=M6M|bR8b2@x<#D)$we}sHpKiu2jE^;)4xUd*C&7IxD)mlxCe;M)y}btk~$T z8$HQ^=5OHxQ~Pimb%}XRsnb)U*MI!ck{>FywyWBXFV0zk{;yD3$$didXN*Ql@*0d2 zg7|lZ?gf48F`hf4deb*qYb1VG+Lza1V{|dK4}E&@tfJGfPkv$ZHIO+Y_ekXrzD8?) z<)PH2x5OU5Z&0^EGe@WB7nSIFPfYxxnl$&ox46&th1{3;)cKH_mWPlZs`aLGm(7+w zy#59`-gBQi4(aws6`H?V9XhiXA*c5@r#@{O()`2sl6#ArkWC-mCOb`!NZe>28b-fV zw^L+PHLjK-^OBPIB8pDF_#sx2X@Sk3;BzIOD0+HJEbeGQx&@Tx?U3J)PZ55$$WjbC zyKq&yWXU4N{Y_eH)e5@JvlsWHV{eV%?}eo{dTh9|p$hr9TAHq??&jWf=7njBBU!m1 zfR5`uo$EA|PDt^YPoatb_b0ETKkk*+ z7kYkhKQiM$155tgCKVgk0ChwQ(S>*AxoQ2-!S!3Y4Q-m*f%w(?DpmbXkI88BT=}~I z7n~AJql%r9ibb|ZKC?gKzBJOWlU%az9r{y)E86z--GS#&%)Zsw^GQANb&ns>ibuB< zA0(bAmn`RBHV;3WZ&GwiB`)-Eqtve1L^}8ECdRqE+J}Z-eOs-oWwf%k{CP#y;v&)H zW*2xJiofv}b}PSLbPswc>&wpfBKaeCs@th$JaXt(EUY*pef`5dI(*GWxy_|!GE-vw z!N|swJQrTa^c24*vi~%(B>HoM+Zp||Z7u0i`%B zX@=@ix3Zt98%j;uRl)OD?Vvv-mg080x$R7Ky`yFP${Q(uhol;@zI1r)U7P_a_oP1E z{B?7sPjaZL3mrCNilV2tB;)>mPu+$z#p~2Mm&vjqi`y9Z;e(S}U#?3+{hF5STAMWH z>z`!*``vr0>!eS9b&>6(TJYc3*J;B35Sp-dfE0CND1H8+yv?on)2Ej&(q|XCOTlHj zG~RWyhQ58ikv9dmk-sGE^RatN2`-VEeZDd;_xW7-ccuKj=6#2~_u+ESrK1gk;LTpj zzK>>m-^a_(@UEeKvyR@KybT>Y8=AFk=F_~Tx53NHU|_BI_P)C)kHO8fiIxAP-ri^9 za~pY>`D(WJ-3u}sU*zq5?gb?9Zju7jkp4dA!6{ zdvyJ^^SmBCFVWqWpFMT-@`w-YX`fbGeoQ_sA8YlZ@5dWa3Gtek*!eeWKF+pN!{ghi z-umQyR=xdc&k5*lr}aa}U8Z4ApEct23d(-8)+N{I^m)ZW>6r`-Yc2mKq^FHuUw-aT zuP^sg&c)ygzw}bt(HC#7R%Az&sO8VEN~U&m?TyEeL)jC9pLeyVy}B)ZHrD2RXhL;e z$LG{BEy>DxUrTlAvGH?n*8I_oquZ?2rDaF|olSLa12?+oPOBE2kXBnq*3+kv4S76E z$5xedANcLUpWsCaRmH_aQfT{$Av`AY6X6Q=yE_GR0=mDPG?$f!eeS9L#V%!h%BE_T|KfC}Y{RCHd7 z<4{SoaLEa^jmsn`Jk{}dt&cUGABUySwF1OKY=Nm+F7Z z&U)xyjF-M%hF#~$`(;WiVwxSU$V$ntM^a>MX*{#%Jf7BSsn%{y=j*w(I<1{Po^5qK z*PdI_wzZ_AFNf9Uc6_;E@;XgS`K#ktn!JyNVm8#(wk2i94<$8HUb{n|`R>sA+0vzg z|0`~*UR@^*xmic8TazUe_n);oYj(WOqqpc+rx?1paxwQotCz^f6BK{E-k7`&k!tJZ z?IbB*_ywh&mtv2E16OS?^Kf41Vz4damPfjRugncHUL$(B0-Y6q&U9RNf{qo-Q{Rjac)SVz*Y} zQYCNE_hM2U1G47J?ex}me(un&1+6bQRtZ3cU!5dI?f62`m6Eh7be+rmT0;^!K*9^2 z$=fJs_3U%9eaGaV@U&TjaPg$ok6vgZ+exP}7SAawag~yk61S807KSLgt&-mE7;39t zT_+V9n;#u{TuW`UB;&^AeiYZxWDdB(cRO$dUP-1N-KzNGBRva->H?-^mddi5VP*~?8^r`5@CKj^yFg~qk5Y3qAU9hbgXyh^QGlhNqqVa5v` z4)A(@%@8jM{%<6n;V+Myth;uC4D`KD>)seBm5JC)zIrr-3>jUT`=Rfnj^abV9OAOF z7|)m{ppjCVzkRq~-~{@YLFc>?KR2J|B44{YB0PCNGOY&2%XM#)YJCl4VB`CWFYf=2 ze2#*6R*A$v9WTZ0==8`Jq|INu$()d*G_h8B|CKDY%WID`eeI z9bUHuTk}!d@qrC&+k4guk;FVu+lgt-3pR-J3XP>tjuQ3te7sJ*kicFa zC^~&sbFd<7uj%D>8dWpJ=Yc-@CHZ$wX_wxT4nL=Re*x|KqqXt;n4?M`=+v_=icCxo zYm)(00~H;A-s*`Wla8B-BJ+|=eJ}a=A+mp|JL&P2Srzx^ufHQq~-Jv z$kK~n&=(_CtLwOo*may*8`p6}TQAXXYCM@#C|apUKb(~|%4(pd|AT4}cLHr{exzSeY!U#g^tPVwi1KhZ6N<n!i-dDux_0?WUOIE3cXq6&aUCskcXYq#SPgld#jbP- zc3svT_bw8P8y_rArcBz4PcA5nCYl;Jx=(BI=-nwd=;f0GtktXSY@5L9F+1hje6@dW zk@@w_>bamcZ_Go>*Vd&^O6$5-TiuqP$?uf!D?I;LS@OrNAJu)?Bh%-r4N6Xh5=Roo z$;a-+c<)aYo3#?7z_GaGccZM;JIYRPhwA=a*4rn2b&Mz4vo*zjH7Yi3yx#Vi;YW8p zOZU2$*0|{MKOUm%x6i8mkbySiI?p#$+q1h&{|mgSXN>gg2J*2&OTK9E)gViDo`Yz~ zZq0_J{^8c@^w`mvf4?D>w(eJa`L*?m?C6r`k|{Y=j*gv|h>>ntXf$o!$MXwk@6z>8sfAD@}@XS=@W)3a-^?fnv8-l0v@8`^jkLp&q0` z3;DPSb}QUdoN_y#wRUQ|=-%pq^iJ&#YMUcvx@$-o{6$xJpGk>JBddkef6urpImEQ= zF?k!7>zhV|C4?Vl<%{KTj9n9uigjOShYO0O1D?H6~M-N56K zD)E!>d9Qt3rZc{cVD>k;tX^nj&1glJ3WqGCtxsH~@2oN^HYigQpby3*q_*a63w>He z_i@MEO#)_@-3ZXfo|hawCVKtYMjF@5;`WxK`+|76n*hC3%&Esg6?*jPM>7;Tt&%?6 zuj{;6T5)o6z4uRzF7WZwn?q;O%g+LmWIqTbc{&P0C_m#Ex0_;El2mk>f00e*l5C8%h zCqTp5HnOHUxA7NWB=d{QijB+aMGAkZY1=AoB<7;Xinmr*>`(#$M-YJZ%MlbI1`x2H zKwkYUO7^3J2tWV`00AHX1acJtDOb%VcTL6_?~OBJ<^<|_b=x;5yf@AmBdq7npz4^I z&iE`kBc@|!`!Y&S7~{*)f@CiNXT0Ceh-vRUwe6iTMrXv-jvI6!kkth8>Uy46=bhE- z8}y&K1oG;7o)hLRW$vnwZFqjtX}lkC3ORkfdCKy0zd!=00AHX1ab`lefiIRVS<~VPg4Ffd(N_u?+Z=- zbJ>^Ae|G2h%hBdLYjth@b62l!hW4G?c4}#8+N_Cp)0S&Bo~F$L~?3$$@s+!)$ypu$O$#G_K^PMUqm^#@aT1N-xK0 z>h3eUZ|yA9{j0~N^>d_djXxhtW{ts-^GR)ZS;{22$YbDrLJl3ACIHZYyN6!w~X9{G6rS=#1*xzR7c z0tA47Qwiw%E`a@ir@jde2%gp3AChVg%J;p>l&PY+fAzSWIS6a~`B*Y*49=WiTCw>& z)!Y?XDbs52h^-VdMQOee?Kg*%|$5V@g_s zS|%pqex) z1RDZ^A}GEfiinCRNbzq)#e(q8Y;Kdyad){~N$!&0d_J2g&oj?F&v*7N*Y;UOK>+~} z009sH0T2KI5C8!X009sH0T3wg1RgAUQp6Z)IJA&eOHq_k#X8RTNa;A^2Qg0R;!m0q zaN>4NDeKQ;qA89dWio~WDp^E#iMoLpuVp!ePSH7vQcQ|#h@*%&$}6T{W+-JB&sOeK z`zRInO%h`P8M1)irLJ86=FOWo#Gw_1-@Ga0C?Eg=AOHd&00MqTpy+?X<%A5ki~cR@ z4=n9JL_O5f{#DdREbSjfJrrBTM^JQ4hDY zkBj=4r7h);SlZH;k1g#(q8?#s?-%v|S=w)ldZeZOx~QcsQHt&of0DGOQgpkhPgvS7 zi#plT-Yn`*EbaB89%X5-7PWjmCQ8xe;$@IzA=`_^OQp9g?FFJO*A}Jde4#VX(w-yQ zqK^UsAOHehB9N+NycZlD5Ui9f^PhYs)!rK>Dq%|52IrK4mi|LUyNLcb$^(}E{Y82R z{p->zP5=IYV@g?Ns?x*K@2>n$=zp6j@2+$f@|8+Qi@Zb8L?J()Deq9^u#m4-qAc>r zBKIm~D^AFiM+R&X@-+&x$V~zDg?v(`+@$Ok@nIDd$?GVMG1-t*u{Fw2ktj;yt#UpYa}itP&jZ zvp5zj!IjE4U8@8u=2G&#c~;4g|0D(iV%l|WDrza0M~95J^NOk{FZL0Aw~I-w8Qr!c z`-a}!(wOQN`pfjHp)Ss7Fah0ZgS&t8Hcb@mF_9)$xI)V52Z7(mLdWbK5 zc#CQL^fmm=iq#@F|G6WoQ<;@f2L=pjSN)}DqLLH-ik$FPO&<2$9_oAXYaZY5WBR%1 ztGva7chTWK@6hw(S44ChuJ9r&j`L!FAEboU_Yj|XgWA3IEN#4FJN5nfIr_H2U8Z$y zK43Tccd-|wsn!GgjIz)Wu|tDO1qimw#gV22S8XMZ7D6W>CN^4f46qzkEgWV4adDXT znH9w>j!0F>R@4E>NpWgZHA0OE@2aM-@F62bJ34W6YD(hZv~h{aNeOB~QbNkG#5i?G zLVQ|koH`;cX;`v4qP`k8dUS$1PL&qL-igO+aPTQ5=wdZdUk|7&&n82Wvf$v)#E9H; z@kr43yuk*Gmg=cJx7b4k0w4eaAOHd&00JNY0w4eaAOHd&00JNY0w4eaAOHd&00JNY z0w4eaAOHd&00JNY0w4eaAOHd&00JNY0w4eaAOHd&00JNY0w4eaAOHd&00JNY0w4ea zAOHd&00JQ3VFISp_g<1FsmF&~+m;X7=V9|OmrDZdgPPfMd|2jFzYjmkr75VpNq{{w zu!+%xF?S4Yx*lhg>23DZlU#43_8tKibFPX#>okAM*Y;!>_YMIza*xO%oxQNRA1pGC zP{QeU?AuM+km<@7roZ=%Hh@1KC%}5e?3PAZ#P4Ty<2>kq%yE@jSaPp! znH@`m=3lO7J%+w#2vEH`cj%U=!vrla9*yhE=-Bt#|9@8d-ed~f_8A4WOk@Am+H4B$ zugKhK`W)2WCqN4ae8rl-)0qa(U8b)_tM?qE^Y3hDMuuDYAwU<)-ST|I?tgU#ZGLN|`O=+tMJ@XFder5{$Ia&#^q}dNqvWcze|in$ z>MZQ&aMt;V#^z6Y?Ph=W9!)*g z*?iCGsr6yUJ^|BqzMrPgo5_nGem_!ac*1-$GMWC_RhlL?(sHR&?~h~-QWP%|VC51n zP{e~@(2_T{7?+_2|LkRdcUi*fzIM(uBe^U!oK;(w({r^Ko0eSJruR|j9Rcc9@+_eas@KTF8AUf7Cj@*XNEc|?E>9oe0>Oe!juV~2LfYIXA6+UJ)h zHg2WS4eB^^p|Ov)_Xxt!3zYmjHY9vA5`nGe23c!nUpv zcNakc0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009sH z0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009v2H3FeE zln`^7VgX7iWq@7Zf-crng1-M#39i~o2`>AP(EA`JHac9?B`rsFF<+JOAUP>cZK_77G2va+6xJlH#gLJr7o9jdH6?Ly+PK8zqy#k~DIsN8 zVw^f8AwDfNPEAS}p^i$7OG;M9gpO5JVOOlDj8lR`(!^0q9E+Q-Re}|BDLI~1GUTaZ zARwk)SDC|4%H`2PDJK3ZimE6T$7wA${;;#^n%Gy-YS-_DFtmKVldVU7FtNSN;>j_qC zdugFUMFJjY;T2voE$Gq7ng}aWy?JK7jv2LoAWKdSYd!Syp8#zv`H1v_nic;&|Gg;~ z9?-fqzneP5ta3LRdLg)c+xUn@?%Kip>;zc9)F#w?P=e9-5wiuH6#0~uR99=JW7C4?my*GgN4i(4gRG*m8v$@Q(^OJ z7anE9J12U|USYLE@4j=*)3MW2v8tzS!k+sC*rvYt?9zRVnHC~yZBNhaxY1x=?wR4n zbWF7nV3TH_^8^f;=iU=`U?YnF+ws=FtkvPNa)h;9GM}}-`|~Vm&MgWL-jlNyG~6M; z{{6AKY5D!DbsMbMu6Vtz*Hoo$Wxb8s`vlmv-g`_7H};b&(Zr_@wLNv4LhER_zOw1L zd$lGNJJ^!uG>DQN?H(N$<}B59P}*$o>emBG6!=&OuMd4MJ?s>=%8d|1yn`% zsOX~@2!w_xLCT;~0fOy|;+UGT{~>8YWtiB1$uYokRJCxF{l~>&+GiGfFOEo6$yPG< zy_wkRxD>Io86}5(ACZWF~4!dGL_WP4>E zbGhg8TorS)c^fQhD)!t$0u=~=00@8p2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?x zfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=9 z00@8p2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=900@8p z2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?x zfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?xfB*=900@8p2!H?xc#uG7 z4JE`}rdWVdN*Q3+Y|w&-l%NHzl;EnZl%Vhb6naNvVxz-FUD9$?74u~&q9_f%5_SB3 zRZ$|vVP2)kV%=BN0m(^mYBM!LjZ8_5Q==0{r=}zhP8*k)oRpv@BqgK_6Qe^C;?q*& z)TD$F>ZruHq-1qW=vY-%g*~y360ZbToGOkeacpS1RtZ+jrQ~>4$&gPG0|7DZx;7QH zl*=PrDJK3ZimE8BYH2Mu|L{3!#km^#8E-v0n(wOLoJKzQJpb+TU|uu5I(57_hnHJ) zlRtfP9G|`KBE4RxAI%svmsfq9(LIOvGt-gY`tm&dkA-^M**Y{t2~q}?3J@GRh(p!( zDY)WwG5=w)PcrTR%TdJ=x9krVM}vLhk69d%s`z6l8T->*>`(v5Zfa9OT@~%@+cYXA zd1!J{e4^MQs~yw#sN#6-ya`G_E9(0L>Iy%NMV?bZ3tEaHxj*uJl6xZ#v8NeD_0;}Y z?4c?o1Ssj7DupD}T!+avuKqmNlM2xUD*jliJK?gy{Y~!7*XPoZ_(;877;1K-b0uGi zlWJT|(|uu|bxP3NsIv%|2BrRz)yuhMnlMJqSu6J%yvXqyxu1m@AOHfsNPyaJ4fI97 z3W`q@UNP72F$+rUz7Pl7-5`RMe1C3EzEIfJdyG1!deJ`{`-Qd5E>_rHSYvl4Zg%kD z$DPqDY&z7wZ_&aQGvc*RK)i3LYF`S8D+H+O%9GafShE3Z1#8uM9DNWdC=R(&HTu|>dR{{P54`Mot63Il*(H4DnuP-`5GW7?jK4+k<$&m( z&M&&_ANzLgGIwqG%6w|xw7jqQ0zW_i1VA7!2+)2uH80lj<{Ip-0eJ8305JXX*#!q; zh;l)|bVs>dXy?5uHQ&7??^pHx6=_g-3?kDE`JN|QX%vFV=hfBgp*j+ zS8B3PE3Efq=&bpg(w?+Or9K$rNqevb0)>?TTioFJ!Wui`RupQk&HxAd1WeC$cl$F% z`_>DGgwCecVvF|7cQ#sfV&Qmab)g3Wo+LmKUp(VUd--LHZa>m7uhwR>`y#=)mK_E=2c(y%@x07UIKj{b&TGsw^83p zfUQ5gPL7&NeR!)7BZZ0pO};N96G|RMORTSc8xU}g0Bsn0 z!#T~utwr?MlX*cvT%S}uFV?{tAOHfl5@=J-eCr@aKmY_3=W=wWf2#zgznPJvbMGAj z+lN*;I;&;n=&U$S$UE#SF?6U|9Ffy{%WIRY`<5J?SverHXMeOx`IwcYGhU?Y92+-E z&jG3B=@dCBD|{_;b=t|(DdZJp9!>{&IvaNta<_}Q#^~O(yzdZR@`-c2$=GG=$=+Ym zpCb?P$yIvtO4AO~)YpsA*7hdaQlUD%`ByYmTHKY6ei}$o7c1~`H@k4tpF8NGaciX` zbo6qru7S$R(^=tL!Q(AUo=*Au)kOF5bhfbM=?r%xPp3F{f<_Dx=g`?(^K?pC`WwPe z2YEU@vpnE{v{**++< zKyvWlYSI-Tl8{^BGlxIvHr?|4LplHeg3+6F8a+5RLj$R zNiMpHX&_MG36OgBg90C6KQvFwHNfuw{20@(V}v$w}%w zewJLC(rPE=lMDI2EDikWfBEhN9LN&_)O~EjJXy%aMcD1?Oc$qOS|KMuQ*L}!$PxHO z`1I`7Hu>QwRWANWeyoc%T_ZrT6HKnn^zB*VJNKHt?IFAa0skgIp&?JO^LG{MYguXN zWw-7-ogZg_{QY!(tcx{4z!wP6FJl+^f*-!>18W$4#aDfUUm#$MfOx%M+txV7yib7c zD0jQ}SMkpkOyAsm$Ui-Y*RBwtJ1+g{$~=7zOF6enZ+p6Kld5{!q+9k_-6^fyGT_0< zl(0GTKGSU%sp%dx?X#xXk+TK%J9(dl?xthZYkjUhUuVnL{p046m|lnpuxrs>{3U#< zegW4YoC-g%nlF`K{M>X7-Z9p17HyN(G^jgO8v*6@F+ zmP;k!jz4516ka00^i(M=kBa|C=Gh3S>A?`sT7)&7fN5T_biG}WYPK|Lc0q~osY)c2yy)p={4PAMBf!cPZzIz6*LuCm3v{3SqaUbX!7!1q>X zm;ZOt8L!y1SI#)22OSUq0T6JO0In}`mQ;owdosl7-g_vg?E89~;_GeqrjInK&2HVkcpZ<=R&*Gs zogzRlUhv50G zt;ekUrb8D!_L&m}&bnZ2-(UK)yl0BP_?lmSQn%$#8`q}4+r4S*qg^-&(E5F^aMACVS`DYtkO;_u4K`+AV0d=*sM21udq+6c2m)oJ!v& z{#}@2_T^ad8M!a-j`w^s)fm6ed)BjCX1}!lIQs~CAmIN5sM0K_a|}}XSKIl2#Ga3U zs+iq+pTTBz@~dmkY3}i#DC~2mt}pUDZU3>zM%VKC{hn#g*fDg^J-z*hig!Jwxo<^z zcZDqL;&o@9f3}>pI$9u5I0?|s?#LNlfVQ57_WG>=h2vBx^z0Xz`LuJIzz&{#HzyrW z%Bjxk1D>?!iY->-zqvWh6aRl}=sIoRoTku}`S0uf!dMfPD_t1l_P3a6PEDsD`?g}E zr-QuqTL4?@VGIQFg8;2K@APL=`Qe|VHQDf5zdDlpqKqCbmFA0ndBdl+2gYk=sq^>% zZ`gO$CY7#U;B#iX$_Dd5AioLFi*LM;-#%b{5U?iTT%OLpS45u0cQf*Irpw=C9`j6| z&a51eZsh3{IVmetlDRtV=jqCYE$;k|mtEN9Q=gmUH;?>UpOxDA zL|&{-;gpmY>tK!iB|z)%aqG8?`RhGa_eBD%;dsBwujh*l;Zxxyz&3w6t*}IInp~%A zVTl!SfQ{*$7uc^g))Z*1*MH=_suYlS^+mh+z<$<;&6mnabt#OR` zHv!}IUildbMIKJ1L0xv`rw>>g1PUqvc5T|=f*PAI#>L)m9psCC<<_UR*S_8-f2W(< zdC&&|5C8!X@I30w4eaAOHd& z00M=O01Y1KSNBSCc8h31lt=Hy>g>9n)}!0z*Y~td*vo$c^it`b`R|4Qd*EE2&UQ&6 z&tk`nJe?!Bi27sC>y8Po7+9HlYFJ}Jl`|p zKHe~D0-y8xc3x%rG(NmW1@`g3(|N7UCwQNAalCauGj%#Ynm+&cPx|%HB7I$&T4@z; z|5ZhLZ^V2#MsL4-SeH3dXXWWE|C-=2&yuIJpO`zsy*!<*EqOXyxRIw*oI62bKg(R5 zx8~`TvUVMWpAPbLdS-u|;RqcN009ulYXUSiInb3gsrHRFuFS(6=LoR-8p<5sD20Ro zZJvnhHJsdQYE`tYGph97e=gs@k4|kX@5~zhtiwi(TH&hyZ1>@{uFfq?^JsCEdW9+8 zf)Xp$YJJh0u~74ied(pJZ27>vxt_Gm-cI&SrqdtE&)`r% zz~>3D)Ji`2Tt{hP$N}y1XAd0A4FM{fQsCb!<%S1zohLviYD74%4NVXL0TA$A0+je$ z9pClNdCyqFoLF6(x&_L6q~22OL~b-*#8x$Y~C(crH9A9mqkTpb(%*!5{g0 zEHv$zTE6Zh{L4E6bg)jNyj!hcuhjO>r__QSVMcUp@r>q$Ax^{y0w4eaAW*mouv1CF zROYRJ3pa(li=LLR{FJ&Cdq3}1#7ZCl0w4eaAOHddg#dMY@d1B}g{nS!Z(jM#M!p)K zS8HJ%5GZT}WUe?*$IRN!Kged>nJ>TB^mHt+2Lc6+fOB~|!+sNa7LR7+>FoQiVDNvQ z$>y8PyUcu z*rZ?0#qMdyJAV2A+g5%a-x;->_WUu2-}TIsywiwlaxLB>@=;#$hn-qGqSrUP_xeFR zq%P&w4?~{L9wOex8F@NIj#5P#>0X}BHkLe{E#1h|DbAgss-tDD&Rg?zN?F(u;irQ< zou1jB+%koJ-V>nBr@znpRk0!n_$C2rRa?v3oS)uNP-&O*SktmLCU5v|S~$}u?vFrw zm$&jpe6Z=?1ej^Ya(|70luLen??u+A?+uz)BTsYh+1hLNz~$%YnbaJwrK3_wGi=Sr z7zls>2!Me95};?!7WZH8z3VwEvHTR(EIrn{s}wxz6uZB3!HcTkL_{su$j>uTyhwni zojK#kJY6lXI+7Q58LOD?_1sE@ow1CcR_*u5cS~UD9|S0(+{^yqsckRW@h7I)mN*_| zE8_+^)_~Ms2vE)U8v2W`-td!MIkUwZcBM^rc5$e;Z2N*;Q}LPUzTgLZaGn4Sypiv} ztEKVVcRBBI{%g|WPd=u;%RbJ3Ph9hWo(Y`j+D!LmiJZbN=kuUeUwh?wneF{Z-p|-? z3cXeHCBJbt9~`9$C9CAavVOlL9p2I3?}I470Z{OPkK7H9p8KV)Gd3vc?@yf3gANGf z7XfOrtxsOA%_nX1iQk;%Wz7D#7HxVthT2>{?#sT5*TJ=Y**|xDqZyCIxii0@&o%vU z=Y4vN^uSe@e_N~DKn(&QQ1}Q?|MIQ@x|DD(I@eq#i`GZZ23;(k9})v+}mSp%&A;`j>WE? z_L=Vj*sgE;dYcdI`xXJJeR7>|dE`6Zus-km-M#R9hhBD1Sh*Q%vyY%>j{sYI_iOfK z7zcs8A|PIW)yS*0unq`-00@A9V*+g8)CP_0 zs*j00iz5GH)mBPSyN+V@Y#b%2Y;fLfB&g;lUS9>(s$iuHfe4} zz3qwGXgg|7!1%1Zq~&%g!R4N_mV0tkwsDJ-*t3{jPdGY&en9aWqmnc9<-svAI5n!@b{v|eok$pAMD912YI8Y zWa~LJ(BZ0wJmwc!qzU+rsjX-w-35sx%DHr`uaMBv38b~vwDS*p{gG?R#WLwjIeF`QT}pulQjdS6=!>GydIc zy?$Qya}#S$x|BFZex{ORVL#2v{9M46O%U>bbs~Q*}2}*bJq`h^Qq5#EjN=rxubYonPY7)w>FIW#jty7lx-uuX zTqyjg-S;DTvA#3@=Hy3Ca%a}ib?C!}OZB!ZwI_XXWqn(7ob|~aJ-WZ2{GEo)LVrD@ z+7#FrwDv*1-?SdHF-?1KVrx7<$DHxo+CNw3=r-u|lfUY1f39=GAUC?c%*0k4&W^bg zmvIffO=Aw0l{TIItS6`Sb-Ov~xgw|E0yo>6XKP(sWA^6z;&@K}OS#-P>i9{^oOGRy z&r^1c>p5%FSv{j|N9~;KQTaRnGR~(_y=;DtV#|)LF{3TnravE_ZawC1pI#_&+Fcv& z%y%YkTlQJc)fJ56q$;J2eP3(48mGNDq&=feYW&k2d%D)+#(BDoH|uslZ`-Qr@K4No zoJtRU@Rl*P^)2UU%q`+}fvv zHA%UhW7Mafhwm`<^|sEzx|VW%OxJa#reP_Kb2HE0n$-BAA97>I8C`p8@w-+Z&1pWJ zXW4#NZq%1{?b$Z!d9qDAZv5*>d$w$O>UFFw+xnQ1o3X9S?bSwIdOo_gJw1ElRBrWe z_GEJ0Xy1{ZH)Q(SdhO~O^nYW%vp3h%dz%|yt#xzi+lwK;#=YgQwOvQ? z(#0{JwyDQT%aj*w*{1dr++LIVZuhzGe5hv6cWuS%>X^>a)%l*DXYG%5-_d%x(RF0U zU0F_k@X&^Yq%=tn_oh+v^zZ%h>WNKI6L1wu!aKF|VI9 z{yU>%^ojPrGQ`;T*EUUQALL2jbIUQOwR2-HH@Z2kTbSiE+~~72 zI!1kC+nu>aJO0@AM(p0O=?YtGKdk$?wW-h7>)g)w6@72{?=8DVZd@7mZeFY-*W?#} zNHMPM?Y5`lq85$6&aJQRtnJR+-1_N@`i|mvB$Kh^B=^O5z2y^~Y(Cr-+qC2UJiSk% zD?Vb5>jdx^ah;u<34X5gGqN+bUALI`GyT9~->ICi%0*gPDW!QK^D;gzS{?S47&_D}j>u`fgdGLsR<+FL@vm9(Mm}ioHi~oIVnL+Oj5^$j#Y&nVP9F6k$bXSh^V*Q&Oa)1 zQ(t34h9zp5tce{Rh^Djq9A z#Xl3eR=GzTY!G9zsM=rr0Ra#I0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X z009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009sH z0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI z5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X z009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X@F;=M z8cK+{OtAo^lrq4s;lQtIDuG}AqXbuNr36*&A@n|piH!~ybxF%nRm_*Ah@v$3O4RZD zRYi#uhk2DEi*;X72P7xOsZE=z5o+A%(a9-;6GtW_r6#NK35n{E%GniMpJ6oG8J5?a)W>=SLkHBKB-hsQ|I}6UFh6 zc3uXTdrtT=N}QK+p93sM16VL&OOw zPQbWCH6`(}gb^9%qnIMjA90S$$3x&wQUbrK7Essf9MWW8{w;Lnc_$wymh-N`2FsGF zsD19dv-pMz1V8`;KmY_l00ck)1V8`;KmY_l00ck)1V8`;KmY_l00ck)1V8`;KmY_l z00ck)1V8`;KmY_l00ck)1V8`;KmY_l00ck)1V8`;KmY{n5+K7hi*}VD00BQHAg|p; z@eKkwU32XlUcw7+6Oh-^dwUJo2LTWO0T2KI5b#|BWXYf7yB@-`!bpJHMQtsNaU*6B zaD{*|f0--uy)j46*X9k|un7Vn00JOTxCsysyH>afAbJo00T2KIZxA3S^GADQZP)|> zexuEJY7+Yhg?qYn+id30iGN^(-7D&~ki zEptsfHv>0z6g36Z6+Rh@JZ}Sc))YhXT#)CiJP+i&0~R$^DN=Q`rCs1Ey1$=f?w2KM z&f*lmbR13>7y@j;xGe=Hm;xLKFLGQy$D`yi0b*4q=kbzQ&JF=S`FKt9^oXwJfzOOF zuWD2^GGKPRd2e{Cxl7F}k)^(V);y=#fyioAx0|2e@r(J%4eiYjUs!F+6hGMJWxn%j zuz6IwV|>@1(dJ@x@8zHEUP@;go#AU<`jwX}b2}byw#eBZGp%d$0ZqRgB{fXHy%cBE zq>^1{nWn$@jU1zwuN^hYjBTQ`BYB4|qxqnf$MtdcS*HZv_QfW&WlEqvhT3@oY}))9 zx;C5ExP@#}$&0V*WAyYR&(NWFsas?0o&ejw|0}j_ej}FLs~Zd5-bv16hjzzm_4FeS-@^;u zHRw`{OVoaAAeBm*!BajO#KXF1`^|PAUQ4xiOqF(ctwEp58YO1}l(709R`<1Y)N$on zU7MX5RfSrt-l+F^!&xs==M&$vqz`}9$E<6*dUXzGMUQjy_ziql&>K=tJD2Q{H5+)g zs;p6*BS0l8%g=qIw>V=r&y8!?yoTvr7NRF3ee~%dBgvx9==3KC>vF zHEf}jQOh+)c(sb@T03@sXO>ziO>3uxos~6;a|Gyg<;83%-$!je-DEwZ?al6rsYA>v z_Un$h)-q$CPu{+P59;(asb@c+6Ez~(?!>lI&L{lyGw<2-XQM9KjtS7FHwIHk)ik!` zN;g`)XO&T#mYprvwtUb&_T8pu*lShJ(hFm?{}V%({tCVQul7HiDg`sPVBs_L{ox_J z?Bt7%O!5~#oysT1EnrvDiqPhFmh#&_87cKyw=IpC=}2#O<;)hU`CdauHXzF&5P9c! zf#yExPx4Q8OlTEzNujmT9qE77#?ywS2iU}Jds(@NWYahQcZBwvzM<#SPuk-~i|5p) z|Ap1(`@-(!3FdbEbfZR6m(E@ZXV2ewLB2lbb1P`C!R^_=couhPMQCdl_TrXO$nN%raRj{dSnu}>iC%SlV4g3G0|zrI6z z)}J}1&!7+I&y;Oi+h{DkQ?vm!D{k|BB~KoIA5Cg`gh$*g&EKvb!v^oa#P8ht9Q}Bq z7B6#W5(N*<_Bn!XAGK`)ka!YZ1{)=X=Fek8^38Zb&jq~+rNlly<&E=7rrr5j}Nz+wzYhh(wp98--2L2 z`FL$9r<1>xqs3?Y&;wU*r-co6nQDyR=V%^e_6dmB$H(ZdSAyxj_UGuSK_oR<`g?&? z^T<-7dlRVtPVF@>yVhHK|88xGo-NvlH|}{aU8KIe<)ANl{pQQ*V4X&$YpL2}gX$dI zY&{2k#{{SqFUn3&??pHJ&DS;Q!M+_#Y~0Gs{sUJ^u*3~F^}cn@!@izId;j>Jzn(Ci z9XY##zOV5*8(;4}sYeOl-_GmaJwvux%DGjtMsc114eq~`o(<|JXRzMykD@KN?W6G@ z){%W$`1Kj~`}W!NS@UVKZ>v!C3m@@Cw3=?Lj-fwVG?qHFVoc`e(zfPc%rOD>+s8L_ z4Lb8u4=VogP1N>DWS=WJ;`9s7UzhBLE9aznMW^vt*JXR@MQKMsf_UaKE)q6l} z*DmeV9-#x&MrybAJtKQXsy%zF?Yp$^(J?ks4GmRQRZJ+NU0)`B2%IoN_|oy#>wTnb zV|}4(b-ho64cdb8+AndvPgLn<^YH)PLc~X^s0z!P`-m%W0|Y<-1V8`;KmY_l00ck) z1VF$~3E;iHpKcu71_2NN0T2KI5C8!X009sH0T2KI5C8!X009v2YXbD_);4|}Kml@| zW}G}zfWq;cP9eVlf7f5@6M#ZE9udE4HlbhXUd^vL!>nH@B4AwXu9gZBHpO4kom10w${~kWlhuH z(q1zd#|mm&u*jDGnmrk^LSyjkP|K|`)U1iP zmsj?ppBLFj3tx}TTx~Pw3BCEtE=hx@_S@|(Dm3(RDjg{Eterf%&-eT1Y57-Z;7|Y4$MU=OM%=lHNv_YPy`ue3 zq4;{+<=ni???9D{KSB-8ewiDe3%ah@liGCd;4xnDozLX{xO0xMD<9US%H{#Q{MKym z?VVvrA%8u`7aU`{E_<>!_DR6tofd1Ki|AZ(s^$LCh7y0!$v(smb=kqo%v0HqOXuqI z*pwFz%C^1ywz{6JntH8&#MU^*az{YqLf77Zuz({SSjij9sBy0^qy@UG`zN`xl%-8c z-&D!!70ebnxSxVJ?^RcxyV_n_s8ErB$60uVmrM(KbTXa3_mcJ)5;=$Yl&W{~(!ZVM zZ7Mw|iPDVPKRCKamo4fvcl>*%31d`k{_D?adqn*YXgPDWu}#fou8aa#XiA4pGAPsJ zI$d)coN*s{wco!n_H|p-??df9K5yE-j2x3XyiYIfvmSdn)%CfyYW;pHwq*W1Cb(2L zwcDt=8iTy=nY=%ZoX;yRFDf~+dZzMPKO{X>fBnYi9eqN!=?P`El<9>QGeU_}tY}GVr|KCEtmXuL=#f3&4iugkN4#+rG(ApyQ8qUN-GydHx^?2ESS{_ao zbMBVsf5~0y(EKf5%e~R}iW0|U-aC!`G%H!^<&?)$Tgzfkw&lGCWnCDG%#$hO6rApG z6fezxLAz(QPWw!$k^sjwH(^=9*jA~L_Z{EekQ}q zhG;qGY4XMt9$&qQoXdj_wBU=HhidyHa(c>p4$8JB)9d>VZPOk{qE9z>&eY{Ot!*ur z_aL$!^XI<2H>@rH1)m(B%Va)uIhX3y$$V^4hY8w!XXwnHL3HfBzSewL;l8Y$;!uLVRNZ%wE3;z_(J**r&d`+63Q>YE>lTf5OU`ts2)CYkw?jt$lyL0KTioYQCuE=jQW$%9?*osmo8C zdxRJ7zL0;mcRs)S=l}SYF`17I_I=}YX_Mt}A62PiEcDd+%=arSyoS@?$r)|e|@uVna_oE_$S6Tjd_*c;qN(Gn>xO!z1E=fjW+6fZMu)rn+2|>X9I(1{vXLObZ+#2W4@#3qif4P zUtN8vKJKWd4zu1d)ragZ(N;}f^SDESUr_WN=r$3?e0Y9*ZqqXnA+Ftv5pS^e_ zf8KV^T|D7v*83OvIH}n)^L{gY^5tFZ^{DYO4>4a;?_r}Yp3-+V3r!!%%U}LRj7&GGP zk7=)E^}ex|`$F%&ll8w_yl146U1w!JURK__flck7&L@{VpzWdDM>%IpvDvIzqczeN zZJ3<72ke2>JGIBrQ?aUU!?>QY%|qAC)aLizTS2See^fQf3qqS#ben3A19f{cbHA)( zHO|r7@*e0?j}O_@P2R7A8g9$nH*I{f*q5cI07~yOlb3(*E~8CiEwpo=ZR+drvrBfP zb0ymNaGF@N2DR^7l&17;Nt5rp^+%gV<9^om)O}&(zC_g8p00<_`+ZhKwt2myx64}I zGmZECFynuR<#EF+ZM#FtWT6@7)@SbRmwRSpjN1N73kPbi->8|=-L^)-8>N>@_oNn$ zzh>7@cahQQtQip>;G|pKE&VUad*R4z}d62`1T*_e^CM<~a3!MYluKioK?{ zQ9DO~7B#CYHI0uCeWo0voxF!u&#Ks0A9tn}bLvuslDB*p>df4Nszb|HeoEbny`Nw4 zu@cKqQS0+fc!ghl=C`o<#X?_~sy>?WO^L$dXCc4aA_OepE^Px5Lle_4lKXqNqRN6VgYQ@JFneWQ)LaZvDqkBl~G+L<%7v!(W( z12fH7u0MC_&uJ99zca1c@9;AlqrHOKrY1{&ld_$EcYdtD#PZEadO zGlte&7@*JNGauJJ@1kw2FD(vyoz}h8Q?^FNst@XC8nqDmTxUF%FIY)p_)QDg=mOUo5 z+2R?^Y57v^H>va=pFoMf)v>n1hws_OPIcPHc75BInrzGb?F!rbQ9u6p=6|g9t@~oX zy>jiK^*RNqZ~A@9+k8k^aXls)lUkf+KHQdd3ev6%pjKaR(Pj2(9@3;4J#ba~Zor-n z#%&W2=WI1w5?b+Gc-t>3kFu7ri|TrPj5@tqo!VSJ&KBSOnyL8AbbVYt@9AySt`RW( zc-v1bwNke4F{$MjyPdH{n_iAF>Y1*k9y9jk^AtP&g!bEL`u?f*Mj6`96QJ|6PZ_mY zw=Iq7)VA_$_hFmAhcN1*?Yji<{Nf8QS?rfDZ5IPrFaA$v+RUG6;YG2!H?xfB*=900@8p2!H?xfB*=9 z00@8p2!H?xfB*=900@8p2!H?xfB*=9KwcAwDjy!1*K1-u#{{CvRgDd;p@f*r6bn#F zDVf(&-7+h1Lb4J#p_39^wUrXMQ(U)Ohw!Ahv6l_Uf3>v1%i=Tl=1oy&~0~z18+z+V|)f8>xnds;VmH zOS@lcJ_PojC+ZOab(QS78Ki%K6Ydkb0Ws~mO5emBZJw4VPPA1ankQ-$5C8!X009sH z0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI z5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X009sH0T2KI5C8!X z009tii-1|oj!YMa$a2R;jp7>wB3CI&)C_SvAofPIQGA0yMB9f zcxI742KG)C{eYNuU8R3wjyCUrMMsssTiU2V00ck)1V8`;KmY_l00ck)1V8`;KmY_l z00ck)1VEtB5Rmuoic||txPB98)C=N1QUB*R?iLzHqeh5*SyE`i^_xJWnv3T#v){N2 zM?nAtKmY_l00ck)1V8`;KmY_l00ck)1V8`;KmY_lz-zGuf`Ed6f`Ed6f`Ed6f`Ed6f`Ed6f`Ed6g1{4xz$@w#5ThS(kj(aw zC7BR%c8tDKiK4GmgI!+?2M2^457`&>AJi}eR<-q+RJ-M=aUdtaDNoBB2Ft!jNF%15 zfZfyPQn$cvSLP1QH2x+d0&-v=5Z4hksmJe<576NiiGo2l z^j+V+UM0_qZ}167Yox&2f!koMyI2R~cHkp0QpH5P6lb^f9i9?`JNbDMs_Ed)iQVMY zfKX0-GYl7!eaPrLiG;cYu|Lk8CDgHr7@yU!qf1ATs-Nd`>K2D9j^=Rc8i}WRm0|C+ z0A9|=5;~#<_co@Hl-bJ&_4tf6bocV-6HakDs+KqwZzk0J0!ga5gf~w0ko^c3{yFYM zI*`Km4YCYJx`_vn*7Tj|3u0m;h2LU-Lc|#&pX)5!TtSLh#D0Td*r!0kCiX2PV5g9f zeCv{Bp%1o09$zjGGMO`tg;Jp`i)6VxurRPlT2hdcZ;`|blV!0vC*KO=Y-x!#&yt^O z%`r>Dr+U zhQHm^%Bfc){;J^xLbXLK;rv0Hsip;NbMV~PKjKa)Mfkn@X@ojy*}nERUeaxXd~nCh ztMr8W*5dWOk+!wL8z0AKLOosi=I~nF8GC?_EVB{nx5!ZEvI+7lg3mGB&9rLicKAupY%WTb_NKQQr zWbLO8%^{^1In^z|1@m^Y9h8C*NfHi;rTtMt>>%wvDQdPBW z%+wY_ht42=r8@~+pKq)AguAR9ZgbHX2z713$1J~r41-P@DsOubMa?y#~IGdUf*8aKN8>u-j2 z_WPVX5^0mYf%W}3C(i(#JPK#PpvZPOB#vcNpTO_utRZxK3GS4@Pi-OO485}4eYg*_XM%XtdBWGFB*(zCgaM5>unQHj)r`2A)1v7n5kd|r|$Du-rbX= zG7RdE<_+Ar>IiGyRYj=x)#kI6I@#xZq)pHRI{+{3_t|a>7i(f>#?Auyfb8EV!UsbA z;kv$eguizDAU7U5e>$A>h};_EZ_sLnvx>tnW2Y2PsHYcL;sz^ESeM1Aj~ZX9Jq`JE z8aH;`U=1!i#BZ_HzXY>(*W+AcE+tg;44%?qBSZFLcs@FC))y&|Z>?nhwnJoC%ezn? zrtzeUn+)32wt+GC)!BsM5UUy(6Icy9hmy7A>mH`Y3E;{N^J6G2xc#74$|oCGZ1=_034L^6pV_@WjzpwWF| z@E^Ud>r)4R6nzl{jX+wI;jDt5tpqp~>4GDGMa?&dM#hSJtCHEb?{6c!z8!2Pgbqpw z%>af!LiPG`>hO=OG8tW+|i~pdg?i@Z=)!CuNCvL;wH) literal 0 HcmV?d00001 diff --git a/code/input-output/ntuple_y.out b/code/input-output/ntuple_y.out new file mode 100644 index 0000000000000000000000000000000000000000..9d35c2521e29be13f8e3f7776e83f643d9b1bfdd GIT binary patch literal 16384 zcmeHNcT^PFo9(tiau$>*iYOTs7?G~`NfZnq63ht+f&oxOK~yA320;{145+Ax111Ee z-lvXYMjaI+h>D5{17c2F?X>F-``fc;hkthWQ0Kh9_r9t=ed~60z3;th9j&ehkIyx3AHQ96tmyN(O;PmHwRI)Xl|WYlT?uq0(3Qadf#he@e1+T@Nt2qR%VlJvPo`|_5hY!WPJBRngT#Ca+F_-4> zrkKlcSTE+X9R4ZhavX9+#t#qj9G($#1rE7d=0`tQe+Z6=xe|v|%#}GjB<3m{R*JbQ zhkM0bjljj%i(-6H{fudnD^r_M9d923>0&&))V-N z`2g;V?Ap2#=t|%}B_R0tV=g5j(B|p$xZfR*o8R1#=1FvW!BhS*2m7gyb9^r#M6?}z5@?yf1^=Lxub z|G(!^FVZJE==@*$s%Zc04w`h(%7540nmg!&9dv33J>{3a!hK#h%MQBR-!;Gfm#*WE z=kM&GV>)P$4!Y0ZHLtycKGi|5>!9cT2hH7)8F4vkk#&>fXHWut&}3gLi19yRFy7RdJdO8u1)p#ED+7iJhK?9f9#p~T8^Q0o1D?hjSmX_LogdhFuQKN1B1n;sB@i% zyoepl<>71TH914_pjwi&eMzH(jbFonQQF|VI}I{Ihtp);Kw9Xy9^yY7B+|-?pftvV zJQc{(y%zH5p#F|F`pgE|uW7VVei*LO*QBm#T>baYJ!9Wqo2f>D0sFP>D@nXl57T5; zgQL_e^n5uV4ULY{FB@ENy!1Jc(N84xR&p?>cpDqEGlkS0wIpwBm08&blbHDUQgXyW zo|M1MfZ@R*%$^aBG;{B1TDDq_n9zk-9Bo8i@G{A`YggIcj`O?n}%zHpG-96S=^ zdLF2WOaFse^m`D!7M4Vs{P)0Qn-AbCltJD!P0|cnxO#31C?;yLW~tvHda5)IaFqa| zYIA2i)7sj#q++)E^GY1>flG3C=`)eGvWam>*kewGdXceWDrm zK{($smK+aw1B2Jaq2!5j)Rvn<-~VwIq3Rnrl{ey?$?jA_xs2&$G79-pEYXS5g4n8w zG_>3f`uG#F^0aYhJfq6ntxW07Ex7(x3i&**fV>N0QDLbSU81N=o~CZb_y8}uHvR;z zY)K|p(y!z6f)9lMfwGUmlq}h%11YmjU|=<$&^eD_PHiJOJN68^Oe{jPn8nO3R~~q_ zRiNCIOJMD722)#XnTN@J(D?4f&Uhx$>vPdUu`lTDdyn4!<+Qb70;sfCGjDn%(Z(4E zV05`0<&B<2&mAiSxtsez^64R3|EZOUo2Up;)%G-MMhv^NNdon!J;mT}uBd8ojk?fr zXdZQwuFvsd_u?tilA4VPJuT^`@ga0)pS@r)%BeG+*_A!}lGKx`V0}+WQXRgKk{20} z$L7-7>bG=9{w<79`5lsS6;bouR$4mDl$|>4CXO8tNX~ZKO7}#sqZPX^f(dS9EylhE zw+rq#{r6J*EnJ4|k%~oEEWuQ#Gnlw-HDi1%3lxvkk#FvvFhG@?tNr{9`X1vc_#Wlj z<6q;c?{iLz7SjLacnS`=yU6DQT4%LEE5K69h6+A= z3#-yUQxcO6ZlUoA4zI{WHQ3-HfN;Zpt<9Upc#v=k&P$Dra)% zH6LQUooKbLI_OW?Od9S+fUS=*dNlFKgEI!CkN+c_F}MjPx9w*Sq!~f3Z#&61Xro$l zHq+IEt1-mpBH_PiCa)5{)BC1r6!NaZ43|})ne>S)*F24y_j(bT$8SOJ*iv$_btTR2 zS3vfsaO)F4uZ{n?XXugr6~XE^92aULjF6InF@o7JOsS3NCk{lNhUMT|q6C7lk-%*9 zrT&q_X~V{)l@US?87Pyr>e;J9^`qyam`7l&7On``Uvr&G85{%m4oe6YTfl)D; zsJSE&+1u?SX+`h>9NMb_H11EOy`z7_ z!3NnV8v>ve=!T99S3&AyJ(6%&ib*=JgLYN+Wc-N{F!8$!$`r05^WtK_I;1!9ho^v5 zL^i~$#?#GdN2vRh5O5T>!-|LgAien}_D#Fl8P9BM@g2ylRi;&^TaavNr~6XWL1|hk z`I4qfRl*X;<9QF6EBUu+`m6cSpA12ViVxU_zl>S8QI=GVpM|kl4^qqs8hZK+sQvj0 zvmUI#kaLr0*%BYJZGI_QHTnPvJVdUx4x^d_KW`7(Xg@^xE!L!_ z%n9Xn=aE~tD;SeW<1o7J4Nff$2V2LHb{mwxgZ`^fI`qg8;qi=GNOno09%|#Ly24^y z;duiBp4!u-2w9jnX*S9GbQfIqxZs!}I!ugF0}dINiYj=Gc+cHLZ>~ro&8yyZ%5zfj zK2o3Oib44^QL673LU$~ur86tByZZx_aIweO8*k{j5dymXpgS%+8N-c}A87fKa?mMv z10T6&FdAD%^c;-o&Z4Vm9_~fX7Oy2Cudh?rf&F0kpm5^H14y5iPu9RrQtNt(8CSdu zlf5r=%Jcp2VPL%42{NWCGbSUxqU1Rf7%k~f%*{^2@LNmibqO~*D`OgtJZ*!+;|s_k ziM5#CUk&UUieT!gvYHk>E$pRf1FLcaY3sPNplVwLx|Xfv>8WX;cfuNTBqvh&xh?EL z7eBi7SQ$*Kz5!#@V>{!SJo&;xWFKAHeZW@md>cv*j~-7R^VU$^Z%!ZxD8j^XmcnG^ zEF8Apn_QeYjeV5E(2@3s(Q|Gb>gfza*<~geY_JigtM)6!dW#mQj79v%@oV=b842Ewn z;V{c^a^!3w^wO%rfZ{V`sL^LsO0~inr+rEPgU87Fk1C)z!;a1IIzXH4caU|fy+C@= zWG2bjfF#!Qp#RD#u=rjPncA30g*!Jfhf|}7Z}w-ByME3m7F*0J= z5LBFO#3b9l!;+fFW~4$M&$D6 z1vJLitTUb=LaU5@ZRvr!bOJg^I58cSpr|y8;nObgUy}^AXICnH>%UfV&*x4D3+`Z z^`QIj9{>|(F~&9=?~G@1Y2GZFdo~2eKT5(L4cbI8EK8drX8s_S4_?n}G6vgb8*YvgOx&HF*O-}&gbSe@RgzeJD1Ht;PNOMFi~L%U0nWa~P2 zFnu(a7REis!I8IVV&)yDQtJhM9N7<~G-S!ErAkc3^tBi!HMTRJk$*dj4!xBF3ME5G zK%_O@TG$K;!aJzh=QM=tHPF|YE9f`>hiFpt5EhvF(4jBF*$11R!)&R|!cF$aQ6khA z<^Hh3WYbvs(7Xp3sC(DA8lB56a`F+ToyGR7(>}4`PzGn` z5TAtGLX~@s>=unVU=;Zb40f+0#6uTdmZ&jzXRzp1ZiDhyU(yoiGU473V-Q$qVEyVg|E_}X=)pQ93fy6S@E3?Fyg59jP@eu6p zV1{Fgi^wgx2PmZ-LkxL`KvnrMGV~j5eBprdvqFfsZUqxJ_ZgOIr;#s5eaI`GB#s^Y z1&n9Sg94|6pk^FM(@$*2Wj+C5m#ag{y;4zc+CHl8?2QaxvNN99<`)xjfO8(%(KZit z7PvuDeG3YcYwF~{O(UP` zJ33+T?B1w+O`BeMV@vuxc}_p(sL-0)wM?zdbhHX|1nZhHX!ao-H7fGZ%jk7yJkzaV zPhe1J3fSTcg8blWPKs0cKOom=SrZlxegV*?5NANzLfpWVsQIO=H%0z z!lIRgXgxRr!;5E}w;%}IFIGCKRb!D2~mcZacyP)5GW!gWykX#XLq3?I; z(W@_}!5R-WvTeT>y|GpsrJVxNU-%mao2zunvs2i9n!sNMc@26%8fUX_M=d8X))l46 zJ33urJf<~Pfp%LNEzfqQ=WfgO7)BmA)tQ`GoA6QO znd@7eP*_PPNxNZik4H2E+X)$L2rFxK2z$bvUFJ~1?5#QpralLtzx!rzZ8b*YygCfH z`;znv)ueEmb>x@|CR+_4AUcih7T=U=kPM<+nfGxjk0pcU#2-N;B3eI!pe1dzTh ziMVo@Hoa`|nRI*JpXTiGA(MM}q22xsWaq`7bE}0s1*a=q%jYRL%l%rf`_cEO=)BxU zk8tPk=O$V+~n4eT{BBPv)Ui z1+#D3of?+|GBmE&M;NzZBx&*31U;%BqTyjHa8!MRq0Jt&*NEc~pO^R7vq`6&-1!!8 rO@z)oCG}lA1yAm|$A9;1lD~>OpP$brMgOPyU;k{fYySU#Z~p&pg2hdX literal 0 HcmV?d00001 diff --git a/code/input-output/ntupley.out b/code/input-output/ntupley.out new file mode 100644 index 0000000000000000000000000000000000000000..6a53db975e167757abaaee9a25466f831e560861 GIT binary patch literal 69632 zcmeI5cYGAp*YGE^Dbx^ZB1M-XRfw&*_rQYd#CJdK~V~% zpaTURDCj^z2MRh+(1C&u6m+1V0|gx@=s-aS3OZ2Gf&cX#=b~~ad zg`(Qz%u?Fq%!kKy3;x6@3Y#f5%KK9iR9IZIf)BaYJFBY0SW8hnIQ6m0Olt_pVt|RW;hQ|t|paTURDCj^z2MRh+ z(1HKa9fQkeT$=)27QC0mjQj9qn8E!Ek`d0`f5jy2YscZCxE`x(cxMxW|5K5?L(st%&(UL`gD;^s0v+-YI&2#QH3+>4=up4Vn}QC#6M8eyq3=S6 zI5A}%y#?qc9o++ZaYy%pUf9tg$CxNb*FlePbOv+&A4lh)-*9xOFZ!CJo1kBDbRX!y zI(kdce{yu_P4p#4Zw>l+M{fiASx0XR`d5w)eT_ch=gXLnKkVooK|kQ=oj~8` z=$%0iIQrx8N?;T0tZ;2Ur;t({_TjtjVyu}imBCZF+Z8as_j&#otIIC2XAF|HTG*2kzv^4XQc?TFNHTlp}bT}Ie&lotM@UH-83(dzbm zc1*Y((I?zi)(IQV0K#9C>t@*cC|8GYTU`-uNBo-4*28UOuCQTG7XBk$=fc)Ux*iR; z)tAEUh~xR}YT>prTG()A6aHPUkHglxT=C(yIwahV*p<&Na+eLetg;#GCxiPd%okVg z{)(@&-%(=y6<{e3%SW&d_BxPQ|9)6L0WG4f?{V1ob0q^cW(612WdwN+puO^!2}a?C z%L0*8HfeMIx}vvlP*s2Zovti%(qI<*&tm5NGf{8k8EL@A$QW?8MNaUjNQ&SsNf(Tm<;41dhksP*jq^0>Ex1=C=J3EPVnnYc>iLw|LA~*O z)wW$roWF1lsi?5Pc~1x&-C(a!6gWyN8L+^)SuCqzgfoLk&kbWt{rYJsBgT#o-4>&d zqlTo6^{2YssmWvfLzB|n0tio!%j~eU<}z;fnDg; z!`s8RNV{Cc?H%;pmn^ehfHfaImDNmKi1{0d!MsDq%1|A$86eMPVc-N$a3FY#kN{=w z+QDARM#s6tWnth1PjDc33+K)qJ<#coKe9jGe|$UGA@O#7<6YE4W9aeF$j=e$2cCm7 zd&!|Sfr`gk*#Gojq%ZiQlQw7H$NHdg<=ECc@vQplORU64PwTDwZ8w(W&0Uc@^5{TP zFn`>4WW@lGa(+ji%LWf}DhyJ`T@jlQT<}&f37NlmjV6w}%f1+8^ld-PK33^Nz3-;x z`rDb`YcIZdj%CK~W$mgTWC%l$>q_I6cOK&gaI?$!8~L=AkSrC;0!nL927}0+`wCsa1c{r zkej;=h`j@z6H+&jCpZwiC7(d(+%4+?dH5$Sf+si-yd@o8F}e_+f8Yt}W8x|-a&s4B z6M|#y;(Bl8qi-5>7mVYxEA-aBeZI9mW#Cp#`(Y2;y}lx=_2R3{ec*_AP3-i_a0hEP z5{bP7o-6W#@v(k8ePx{8Jnb_czhRSisCS3=vyQjD->*EyvTC1Vy`JmCqC7XXYa@4s z@-^H+PVkl@Eyf69{lIhS=y2Rcp5Q?679j!3+=aNL>mA@ZA$0?Jf&;;W4_Ps05bFn? z%LWGyp6 z?nC@W`PTZAy-w(_U;j-nHQ^PuK5;fH*XRVR-RYJVxau(ygYgV^ux^Y`svmg^uX%n+ z;p_LF$owL*j7L!vPy7CRTMT{h8NKRXQ}mL)t=`(NjPWY(Ee^%Pb1jGM47eFdI`0CH zeh8uhD|g(**jzp`)=t^)44c?H;0fs~7@t%>@|Gek#(rY`z;lRh&#lxt&@W+?{YK6K z)_6k_D_5~Pi~a0Rmf6H)HKvSYO+WrbJ5abo=o-OD4AzbDN%bRdK?3rk0xCCmj}UtY zJeSW~F_0%X5WFRyfbk`JQ! zd`1c0n|XK26nw3V?**VB1OI}&1^mhj69P?_Of#a_U(?bPe%BLD{;eH45BC`P3|6Vn z@62;?i*~ch<;JHE)6=;~diF09@qr@gP%X{xV$qP1~M(+9EJLZmk zkQKpOvNZJW$6)X5^i2(oUZqR%SEmofWVISaU(_P>G`9VLXIRTxk*wrPJ;nXq2Epw^ z_l1$?u)RGmr)pqX{m+d`k8Jkz-FnJf5#F22fNk^Mm+N=W`@6`a14$9_ElC(K<1%sX z0MF&ai}@>j$1o z#&$3jMyca2=FSPh1#dZ7NDO8nV()+_q_1FnQvJwN>W5FJk@g+nN%bQS4(!<i-X`)e+RNjH+6SNCkbR9$(0kM`t^f5^ z8@=Y1S}gO%YpiqUORQ9(m$gsN_QY!y4#Dju*P`u+qf_nM zLoezJmVT~Xe(D{s)@3@&yzmwq)Nr(Zpop$}n?7J92IGnM;cciLd2}Etg101Lz)bkm zJ!$R$&*j65_@w$Vz9pZ4nLw-`cuM_{xeANi+{M_0;8;7Uckqo0(%b=_kiKFfPjDc3 zOOY1SO{^byE*%~AUF5-mo&NPRd|R!U?2q*=9t&O@#_}({bM91Nx5utwp7@Py>J!=e z)35djohR}Bk^!vXVS9q8z{<_tYQ)|F&k2c}$P*k0-jYwitVygNctZLL#wXQ}Jf;3e zi1h6&AUW|rg*gN34ROBFp@v(kc5xgZ!L;v8LYNYcH@LWE;h)=2?;}hzK z)KysI=58}$?||nJTvklvxhxIOJ@OWThi@U0<__?L^c53%f&;-r{r1Ya$Nc+q^lJ~LiF=F?3+px9!O`Tz`hkak6j1?|o4cIYJLD~h zE-7Y9V()%0pIvt$Nu8pw2c82l@QKYgYbSbs!z+${j=i3~ zn;m0K*kfj*Z zz&h=UZfY%Gf#1K%%QbXwMDP}_krVSjc|K)4AkSsMJVpgoZth}iLU570m6yoV-t#hFxu|`MZIQq41fM%D!@7t03jN%MHF~Lp-P+`e>+th)DB_yK zg80C5d_YnJ&n02N1aHanK&r6F&D|+^^Fby96L>BII*i~64g_z>Ctyw^)(<=(eFful zf&;-*>UYiqvK=&mC!~*IRaoTaF2*JV7r9#yT~f?fLdTu9fATqB_ROAssE7CPw9?+a zU9&xJf8K;;{_bO?9{7OO`K+Fvax*pewORwn+k*Wbcn-1c1p~eg%&jxQo~f?(-1_U= zUGSK<*Sh8KTC)PE_*(PndwvcId5&AiiHL8>u}GP-LiNwC8+h&O+x*LO+2VZDw9^8; zch6F6&8U6Mv#X?jt<$x8zPA88mk%%Elj_I#7M?e+er7B?wT2(BI|kG7HjeyVd@iEu z%k}jl)i-$$l@VEn&X=JP9#mnGo4a#}#~t7~1h<#hPBO}OX~61S-LLa@ZS)bZUSf@J zXR+;*A7J$_>}6FyZKWODl^yzCuJ~Pr40!I4M+cH3cuNun%zTwtKk!^WyogV#ALCo{ z37GI-0o*l@?%NUa{JyC$Km|)~?qY00aFM$emdkw^=0alcfG4D{V0=>j$W!WH9IW4- zUw%p8@n)3+k&jkm@f()vXBQpOe|u^&%PhKrbtzVYm7Kgr9Cs6g+v9JF;G8po2US`G zPjDc3OFBG)DlBqycL{Os0M9W4NimVyX|-qv>6Lk)K@Uv39E!CQ*7n9GRu1J9+S!`wrj;6U(}d;;bQV*S7q(#OPA zSmfp|#wG;E+Qs$GDzh+Kcy5+av3Iog#H>&C4%x=8&Cr)62Xv-9`#me4!NqaI4Vi+3 zzHer(3ii%UAO3pQyZT8U=)A$Z{OY$_;ekE9m&$(1vWkvj54L-b)wV3XRio0m*Bow$ zYr}I7JO_WWB6tq_&W!j@ftlCd4a5!apjTVjSFg13M=k3Ze%Ddln}X}*;P(dXU?hB< zFOGW{-wHk^^Vf9z$gs#D&tbGzoq5dY$Ybq_OZICOdR*61#&-2qNjk$ahYVoN%=4^T zr#;#QGzc~i)cl_(UDOiVswTt>QX!V0D z6&AU<`+6`3;HAU_o&#ww?PuFhc1X8hs@71~PBzt_m@r3wq{At;Ysgks`nS2P#*L2p zQ?FO~*W5!M9Y~6ZZ%M*{nQs#72cFA^7xA%vJN?Nvff-XPWWjI!df~gGTK!fjden)9 zZ1?GPZ0O`Z`nRK2X{V1y;%{i-oDuiP&IR))?K^9T^#c#-Q$z(=Zth}ij{EJz-|P{y zbL=&JmTJihw`gDN(DZtLzQD3##xw7a8<{&}iuPUIf%yAV*gNrB3pf*buw}(uOY9x+ zTsAmx7$57G6~SAwG{k?0SU>PwKD>xesvqMM>W9=-SmfsJdSdT@=MY?0Oys#N4bMID z7J=VLtRHwn`ihA>!GYi@_2YFNktd|DfT*y@&0WZy)H~!YOhHb}_lUg%p38+66vijj zkGv(HfcZYLe&D%$cm?BQ{q`$o2J?)-0{h5~IOZRBOg9UA+2WVyvCI>xtl@wUS^c;seX)4ss96F{lH`W z_KM?cSX}u(j0(yIJ@Tm-?Qr{@x)OhzWqwef`N|Y!4-}rM{k*MR?(buC0WJeT%G|}+ zT=anjcs?67XF4;7Pt#kz_zMD| z&%EPz2eYdH)t3$jLkQn?G5?Cmr?E8)oQLJeD^hd1vltSNj>J$8+w;A6ZEo8%CfA89M-pa zVWtk=tsQ@$WBzLnKvh`adiR;-uq;zz`?bvbJ+gjn!EOWV@A-RVm^-O=$XnoDQp}Hu zy#t;@Y&*T^?!YWpo$NVPXYY)Ic?Bk@bFYUmUp1E_^0xkoNd&qO( zS5>)V#6;cDw^mKprXMmi^Jao)#={wGciHEdr&0u~Hf@f$KRqItZ|Gi?;K7%ynEQ$K z1J7lH1BdZR&p+}OApy$VJxJ^w@SKpki9Er9;4S$C%uk8+1CQz3E3aNMX7~S%-+KP4 z_Q@w}^i3UFYvcA^WV^?2X0>N;VGs4%FFwDU=*;~FK@Q`S>POy!1SG}$j95SLTr#$U zsW8eNcQH00IMz<;-4SB%fG4D{n8*_x2;Nep#XJ_Q-(FE{fL;IVUiR!Iy*<-E+};9w z?yzBsZGR#AU-TJNR`iv5>`riF@z29ApW}D7$ zVQtq|(!Z-XMlaLo;oSFzaNo&rcyM5+UzouM`DPgLyau?-6>Z)7TF5}1hYT1|7x67n zZf$4rjsDj5PHG>z&co|Q>cnN{MoBA8wH9ynQpJT z)=GQ(L>GNh!+HAau3Btzo#U+ZqF3|-yW+KHdhg7At>6ykU?PtWBt`I+Bn+5&hFCxF zTt2*rPpTi|TZ9BC*Sp^kdj~wJcgPbQn8*`)XPzV04?L!CuYCNF5f}M5pLTJOcD_lJ z7SsN?=P$U=v1{QaR;2d@)@emE?W+x4|F!QUj}9aS^C#7RAy~hi{`e#OYj-L8=doQe z6+CvMXv^NhkMRpZojW=XsGGp!NDviRx#KRz=JJt6?iM_E%Nv)pJ1t1IXJj|ijR`Zg zweySUWe0!8whk%Jn%KA51Jkp`>vV{P$6Lc49L?{Da|d{EDJ>YER6p{Tba=)5o>)Kd zTt2*rPpTi|6Y7W5RaoTa?vKRY0nZ`0teD7iSsM0xQD^c4^l z7P+~Lu_3rUXGUjZf`1^tlvGT6qQVZn;!}t8J}tMxeS&xl0QU*H-t+z<@)o|%@A~eZ z*@sRWv8nyESJ_qlson2u*WuoL=8>;h?~9MHh&?}Pcm7^)z_#Lg7kuJp63pww-T}|S zm%Xfik?ckd`mt}ye~W6M0;kh|9E3Q+>ZAa#dn;4pY?)XVcQIS zWUHO`yhnySI*=3-c}o%o%)CvkA9yYwUc@KWkMS+}1bl$vJ}fK9b6GgZsW3>*T@f3C z+vz(Z^s|PK?NvMM1lzOu^;I7DEEmga63=RHY08=?tF^<&nuhN2;4u^La|_;5q{SCO z>>cnNqTA_Jujqvn0)d$B&9s>5-L%ZZ+4`+VQ`zzX4>RMJLHfnYy}jLLYPt7!0YVPr zb5QK`58B#4CT`=O6^@37b4BaFb4AENoreq59!WB_caKf;6U)!eT59$*ca*4zr6dr zKtz*v{Nv*pdJVOc(eR0Qw)&0PY+wIf)(f#5Cd{d2pG5^Jm2)81a@o!xPi_60{@T1Xn^{(|6RhK>Q}pXU z9oKzHZF1)>j$1oM;D+9f92*b#wG;E+DW}DO6(o*g!C03@&pHh zw-jmd6${pHr~7Yb@2xi9e&*b8Z;n4kJ2Y#kHn8!x%x@>NqC5Qh`=ub$e}8WTc@B@; zD^GpP7EODT&p-W`=R)fSo+iTB-IPbn_NJ^0n*$A-@> zrgt7Y-LQl4@O}f{W5xKS`jNN5k)-%a66*(^OU6bF<754Hdd@*^XPmMpKlK8B??il# zvf@W08U7y%X9v8b?frNsZXc>OGz#9rHPWI2DK~dZ5qk$bmrfgchdjZ7;4S$Ce5Hx? z15Zd_!T6;5k*CyOhFCxFl=|VBQ(=*tyBM1g+=sjc(Iv%Kme@Psxnyj_Fg~e%b;(|Xt>51cEu!DB7p9wppc z7WXCL-g3Wty)Whio!L4i9?ipQ}*`+e8 z6#bBvbv(w%2|LH$6{_Ca*eC5Qk z+rgb@ZiOtoPp!|Ib4Ty@;0bN;-}5mF$3Gxk{Du61N8L^@y~+N3L3Q3FZiRRA(|5f1 zINiV5W|_#!Bu@y4QOvfU2;_&0UO*!6ilHZb`y``N|XL4)9z)yogV#ALCo{ z3HT}y>j$1xKl0$fUN!VDHl@Z$yH&UAn%;e^R`G>e-Xk^ov81JFTO{^GvN6{-U5D7hnINScefg4;s%J{*H~Emq*m_A;=7K0gYI?gQz&z{BC&VC zLmATIL!RJ3@RoFV#Rq2p{#C!YV|2u)lz3Z>cf+2Sd#R`oW zU(B8KXFkn-x>#vGt5Tx(v8Dgi>|`BeS)gvnawzJUIu6&hco}bBT?w`k+ZrGreajlm^FK)sOKl`2>7!V*S8#`S1$HC)JO8T)sD&FieI&fCPm|1neg6f3s(jCOT8-uB9!XQBD#t4gdNc#e+c zMFkX&yS<`eiGpQQ-tXe{+6wl2u>PLEi-Wmi?_@>r7W8gKsW$dN`+fU`FNf(hQxd$9 zKji4Co1S7>E`wFRR*toZ8lYWH{MYAKebtD)1D?w!4;;oP)sH;XFDt%>iS+}|WrG8U z@k#X~ZxIs6J?=`(-Ri{N0nZ7k`;aF%5WI!^&MdbvyVh!cx^IqNmv`5n{d|&M@7L{Y z_v&G+&y}Y7w<~w+EsBoHyPH^xmla=4V*S8#+2BD=g+cDP zi?Ip8v3Bg8oqqi?Z&EJDzLxTk2kx!4fX^Y}=YaLJ5C;V=Ee%e^wlEv z4tNM7Ef_zPi;NS&TQX#j@zoC2Z_l%jX_vJWd;W5LXIn*So|DrZuNm~?TL<8l`kFUi z7O&HtQ26=^7vwoSX0M9R;-9n}xhF8St@e6Prp=jnOMB;<#tvA6Sg#?MS@pQq;vUtA zAQ$=^w&2l$qzK-UgoBt0gWTM$L!3Lnb3*Dq6&AUB-q3--*1JdCp9gQGF&9u4qZHaKt?AM2MD!CTnBsEs^&-(kB)<^-+w?C#z% zCtcpJ*GytrH5#ziY1>()ZsL0bx&2EF*6piLt6w(n0x-*d`Rv$mJEC8>t!&O`M}*nMT;0NL^^I^l;*WfGt8iOcEbL-VzC~R>gsm^?YL;iu zE~FGyUk>x;M^47b&ka67`zXO|4OmHxtp??nm! zg7Y>h^qgOt>AH7v;Jcwm!<$A>3A1gxe9n=Ck#1TbV2DD93-K>s;9SNY|s`w)#@I9dSILT`k;J zMhiRA@$Yhd9Jb!&iVwHdA>nq!u6%ZpyKDvCuf+ZJ$>8w~=8H?o-CyrMPUHOpe)j|S zsSBRqK=2ma|5z5;F3|0#PW+cC59s3-Sz2t9qT16p53tPY7VBZ{(|?_?Qv6>6U7Y(z z?%cdpNLlXQ6`T$5-jSU?CW?PNu7OeF{Pyi&qln+0I56Z*)V;Nmm)!H7HSioakQ5*C z9O5tPyx89H)KB(1bI_WBzLpJpb3j|Lq3^Z~eD7|JR1T zhR*Z9(7V{4dG=@fU|ezi=Au*j#y2ZzNmajKnZI>o-lL6JrAqs>I}?i(3sde;0dKG zKI91w1W)LluL-e!;0fs~7@t%>@|60U66*(^Qoll(yBM1g+=o1+cg={s1D=q+;zOR` zK=73Mn-l8?9@Dp1wZCRW?K{Gw1`XF{{im|_U1kq9wNNFN`Ni98K-Vhzoz-K+=M3V4 z@$h_)=Pn=e=s;2gZ%M*IOoc)2nxO@;cffN(>OSNN4g_z>C*boC>j$2YzJl>d^&?NI z-%G3?cuM{7%&D-*&0UO52<}7Pg6NXs(}=wTo=e6?4C9mPN8XZ8z^4=I2cFA^S1>-Q ze&h-DL+UCla&wmjduK16_A_5rIn92+e@?GGt}|~oYk_{X`%SiVOosm0{O4Go8` z2fV&V5&w4-j6UEwjP}a@rvp)wpXE>QsHQca8=F|oraGwA4%^i$qri?O5ee^PZ zHtGX6+-HK3?f$thi~M#odwSTL;`xJk`1~2L9!KQ40?+@{V}A$6RI6*0KJ$XMb=%*1 z!!niiQfJ!U^_$uQ#C@s^*cb$JMjk|kMQ-k5Y>qDEMC5MCu}JyM+!~~uAkU@9AS8H# z1HoH(kL=cAZr8{OL}cE?&%>g3{4S*Sb={A&xD#izd3#UbW6txHJNkTikKy|J73BH- zLU(O1c!C4LTX#9Ue^muUZtk`u_6~S{|M}(;KI91w1aIZKyKlwUidaAJn7*C<+i1I2 z(K39-sYZBz7e5n^$!LEx_Tz&3y#(Wv>POzf_(Qe?I@j*SvyRsG!v7)Qh4|W(=P&8! zCq(LPr?1uBoj(xAJ=hinV~XQuYhwMtgDZ-t@HMeIN^Ga9N^HjtFpkOl9vME?sWLcM z+jeZ%>8>Dd)J6XA`FR|JJ-7o`Fp3gbwRj@_zI32M*ZxMOM>gYU?3sVuu@BsEzJT95 z-nALFhws?ow(R!UHDVk(xsMp9K9P;1o^mr4M-$%bL%|p*#!hE+!{}1romdc&d#5U( z-TV7)*dvY`%83toOO8d#*CsE28866l8PH(_4-V{=tsgXco_vTEU$#{{v(2mhcJ{Ql z%w!MCe6k1g%{s#>zSKn9S9_0P1@kq4!M+I|9iB-|DJQ)nIpK3jQD`(GN8io;M=_$S5@lDxynPQQ zapkt{T6Ts3hynGWVpa?wil_$x z6(dMelDcHE@i3 z!qPQd=#Wdp;y5L>kGXl3bIi@(!g^Qd_nCvv&gB4baQQC3GIc_@ac`*ibDU)R{8H;@ zh?jMoU2*eIuq0t=?3Dj>v(xZ_+ni3Nr#emTcvzPTx9tw~zK)al)p2S;4y&9UJ9hlz zINlCe|8M!X1^#V;e_P<+7WlUX{%wK(*S0|Y?eKID*9T4_e{DcExb?S&>){%qK3q4@ zdblpA|9xoJ0{iRGt_}7Vp}h;(pN4iFus;s%60p~Wc3rU7hIT!$SBG|euvdjPT%^=r z5!wyFel4_j1AA#`!}Ur1C86CA>=#435!eetyD`{vL%Rvs&xCeUuxE#MGq7icc5|?& zhxQ&|KNQ+6z z2<`S@j|uG#V2=##y}-UCv^#=5B(yt$Jt(x{ny>!3p}h~-XN7iWu=|DfzF_waZMZ(H z-z&7cfPGSE?+^BIq1_ei?x79Wne{6|`#`X>p?wh8WubjA*oTMqAz*_~fh4ed@g=+ti(+G(&Gg?0w)2BBRJcHPj19P8H( zZ5QlBXnT%RZ+mDfu>S~cIKFyYLpuld&!O#u{e5U31@_mW4Q*5Ji_ktA>`z1c7_dJM z?PI}S7uwLS_11>=@nEkGZMbf)w<@$x1banjp9J=6q1^-QrJ>yu>?NUnGT1MMb}z6O zg!U<5&kgO~U_TSueZZa_+I_*E722nQJw3Ef1N))SJ{{}_Lc1T>lS8{d*msBa8DQTL z+Gm1&OK6`3_Jq(r8|?9+eGb@HhxP!luL$jP!5$OZ1Hm2{+UJ3NNob!B_K?sX1U4)* zHZA}gc(MnB4ccU12sY$RHgNUen8_XrHnbPn7l93JP4>lL9~au7S+9F&4+Fa*w1_0+#JlI=9`#P|H4(;o~ z{ywyC0Q>9Eo&fe2p?xFRpN95LV1FFiH-o({v~K}>ZD`*L_Uh2S4eV8+eLL7ILi-M| zUkmL!!Co5L6Tw~*+INBdVrbtD_JYv92kg0_eJ|M0g!Uw`XNUG=uxEw#ePB-y?I~bC z6x#QL{Xl3#T^AS{CsV-&J+dDJ8*(Lk8rX20WJ8R)&_-lG3^uec*^fB+*Wgb&4bC_S zmIGl~2d}<&h4l{DAH(tySczl(o-psLib2cM`2}rGL0)mdkRe_WfOySMfOsS1EuU9_ zVh-G*0K~<+x}v-5e)V;*b`6|k9jEO0fv+skj+1>b2lJu2jtJnKfreyoC)XARQqF(I||2d3YH`+@SI1b1Rfj0QV$jbOCnrg z{yFtwQLvQ4Qs=+}M-Lw{_KN7Xn7qF9!r@~FjVdi2HRQ5E7oR)2^zusvoj+uFY3UVV zePvi*I%M!A=U!e~N;T+HePwCspwYueV-{F<9Wgv#54t#C4?eea*qBSYjyQKzz8-!l z)q^e`MD^fvFDV@~Y|yB|L(VN7F=*7Ku=k)LQ6|Gj4Jkdhbok(5LrMo-HfY%B;duPm zW~_6B0#F&psl2J##7gRw;Z}gZ3F;{ zviY&CLK`Yp!SNZ5S55%r;g4O2hX9rDTroFTzpaZ~@5z12*7%bbLd0~F>nq$tGjLr1 zcUGIO`HGGo9VnPHF2qC4EyNox9qL;Fuk**@-mz?exBnSim)tk}9=G#^1?A%}sP7)I zV?Qssx)h_k+lHs;_+fu6m^U52mbE{GO2Wb$yQr;zW)?iR;S%iA@oR73#jm;h+(8eRuo;$KDDa}mY1t__{j*lt`F)#a_kJsrO+L8^ z#{Qld8^-?MHJ1F!h<4nB`!`*RENnoARxgh$?acO&F( zC^Os$d;1$Yaed#49cx>TAevNz10e(o@&C^BQl-^to$c`pc#L)7#!l!Q5+&(P8fG zpt)z!%eOfmH|ZN(!z;vF9*a~3T#-x8UC1lGJd)wqJ$0tj;M5sTgVRo`eocH@FYr%> zeQJD7Oxg-V@Cxyo$09|%5%N~RmkRq1c*U1@Dv%chAYMD{LcC$)`TQ+BZ(eoF>A`15 zzv+GZ;hX8P8@4Tgn3)5+4|k`Pm1Fd%j*c~|VN((oIPWsX?m@!7173kRw^SgnxHOD? zk;n$_pi_1_(|aIJ8BNR)@+C2-5;z0LLNr)PBOlIh)GOZvAB+p_GN8=Rx z8{51Bc&ONgctHT-HCsNPzY+3Qz{5N0qPYXS;>#n+AG@e65U)U9V+y=Nyy3A(5pM;& z5%wMMiZAa}ATJ0&yyhoBJmkPF#9J;+9DnRSA?!Qgl_2#B1 z2Y;?ymVK^QoAOsLxxd_9b8+^IABJG`s8;AeQS=DUJD9%>9Y$3Ftmv8nc*W4&2}y#@ zd#z@LeTTdTb!Ne~!?{yAtnWbYhUOb8=X})1UG)22ZtoQrW~ZkXyRQ#zf}!0Pj#yjM zd&0y+3~s4-L)dq~E3P5P*2iPk?wM z$Y~$O{4xulWfOZ-l(% z^FZ>)?(f3B16~PIuRvZ9fOySMfOsS1EuYT;DtWDhyyD9{#0vrtuLTJR#x7|azBchz zz}FJ?9q@`T@8pn&072>KhrO-aU-Xur|3-H5;;z|4C)||%Pmev}eWkV-zUniKurC$` z3ywMBq2?Ci4VMn}E#%Th&Go)LEn|~so>z8wtt|@_eD4G5^1r9z$0VJoj^;^J=Sqa_ z4?IXoN)lk!H3R03ea9`tYc36KkNQT)TLBN>o{ajD6D0?{;(#GTydVJanx6piM#x(} z48;b@BcxJpqA_-9V3u49Vc!9-nA(V;zNqhz*Zc%X z-w1gt;Ne>x(KzNr$pNo8U^T-ZyQnP?r`&aQBE?$7n_{Wz{fW2Lez8`rRM>aGt71iW z+8^~r?T@^MV`$#v=*s(AT`w;w>9T zkUw@i3i}RtB}hGoydVJanx6piM#x(M-&xrHz$=iiocq+>!IbSyg1awVmA#?f-kH}X zZ^+)Z??(6d(X%i+cmLh?tLd}*Ipi?_vkA0e_`JNulVv# z4tYTU;x$}z`M-~=e7DVF?}g)zD+7NXEcl(#?7rhZaiBzX^!yyv#2eg$SBSSf7OC7p z!uAJV@#T@^k6qLjh~u&2a~8J{Z@6^6?IoW@$sH!_JKz;ReFybL?T@_XCqVi}$Xh-S zB!BF76ZReON|1UEc|ic;H9rC3jgYqj9==5zor5`1a=%Ps)<*)2d@yXd2C4W$1Wbbfn!_n$mM~*a_*AHe_PNE z?rFmhVLIK0kL^{y=_vXfS5!yG9MzP&4YOcWIVJ2n;Ng#5awFBoe0e1KV;8jr z;&|*zV+y=Nyn*Y*z6)k7xpt7heWT0`Y^ zu)^^}yak6@a>ok$4tT}XMhx{u?T@_XCqVi}$Xh-SB!BFlDC|4nl_2#T@`3=wYkmU6 z8zFB6{K@(Dcek$ox-#&u35=bQKJm*z>HT|m$Jcspmp7JT^r()`_fee#Uh(A};vqn= z%cYlk!-w{ES5E1a{_xxz%b)x6fXt8qySt|?-3Pu!%o*QQKg2UZG>RJQk^3AK}~qUh(Bg zUo>}6U-J{d;}^9*@>ZaKy0HC$SA2OS`C}Kg1>!m6HKxET#2X%q6!BKTpDFA+;1$RR zmmU2?@Y;vR1r3k7Gt)5tIhgc+9_j(_4YbGXs(u61pqFnmJon^~SGa~(h}S$8DdLTg zw|pK*{@5KL>^tBUB)~1X0m8mRUUSu$ZZn7al)L?3@BTk_%#9QF9q{UZME&2dKz;1H%D;Q|s$5fl!;(MLiRoG6 z`=@)ocT4)st=rrIi{8R$Q4PCNiE83?ejVqLc*7y{4DlB7tJADO<;IGYmG4iTQGWmF zt+NyV{3Vll=tH<(ZH0Tn_39rr-s953_6J@;d_m!lU7hbcu67Q2Sh$6F!=>T8p+VmY z`0Ipy2fX6TJ2~VbfP49^qbe)M9#?ttOMTr3k3Z2}()kN_L$fCCQym*&_^6KBI;x4+ zxCgHgZ+I+H#9KZOWD*wMdG`ik-vO@#sppV~071{gT6yo>koF$AWn8x3$i;3C^?CNZ z=dY}Ko%``I|H)1t@(6zB9Sbtpf5b!0EyNox9qL;Ff1|MdfmeKaCx^Ts0P$LofMo1q z?uM^Txmy8$v#{@gSA2OVhrA#F@tU6i@kYp7nEQiXd)#|-_+`PUH}=EN;^5~o=4&`# zcl-PxjPAC)`lTA*Q@>T%{=h2~;Flzzyz?$)5 zZ8LN)cTT@%?$Ehcxi`Lbtb1-h_w zWD*wMH3MoZ48bcoFsg6R2^6D0?{;(#GTydVJanx6piM#x(}4dC}YfUh(Cf9P)wy#A`tUlCg`q8@@K>ZUy`_ zVc!9-`0`E;c|ic;H9rC3jgYqj{^5N4S8n-dO0c5krr@hxl9_!!|15LWZ=Ym#Z?&ZA z9_7zNO0w-&jE}~O0!eJQ9PnrhN)lM!H3MoZzB1*m@&4?Y!-IE^zrmYS(I$#EAB^(r zI-HDtR7dBSs3zXv9=t-l<*`WRjBxG%uOJS$puVX6k=I=H{P9QQkrRdTxA4B?qi4>4 z`R7*aytO}H=HAdVB}%k@GctPweVuFuSVjXv{}7@vtAa5O26N^gSNG z74S2K?GL=-%R4#b1p$cHf&?Vj44Av&Yg6tP=G|uhso?h>!z&j)bW^tOf%|0pW-d#A ze&b}AcY9!Tct3qhjrR#26ZReO3I&)YhrD9KfDx~Syn*Mf?w_3RO~3EIUcKXnWT))A zhdZKtMz*x~4esWbzs2b82e&*;?WfVp=Zy20^ewL8mE04;_6J_^q$4T`2Je~ywFTmM z>`F&z6kii>isvGNcpG`e*SRP2eHZlq`B!)M)@Ql%Mt+hxb@qelKK|tNxW7haH_d=6 zVmR;5dT%z39hKL>ipCD`;i~+GA|Fv-)c(lpB1L!Fo%GF4D~dFUw?#tn-T7npY2myB zUd3}M%F7`y2td3pN;0-H@dlnZ%G=)IPM)~NEqk+L+4k*!mp#{gc-fMZZ_55N?J@+S zI=WVgYT_;K!7I6Eh3yZ#;z<*Q`l9wnUJDYCTr;4y;cL^eTLC{u*muAyzPyt|UJ!tI z%};=MBjl}shc}R;dB};9172~!Y9%&uu1=&_i+EEkRlPs)w%RY&%E8SL zQQrZtiWS{yf7BPXKk|B~fJK_5Z;FI=+MRg2(~9Cv{@7h8>^tC9@%dH}IphTah}Tu& ziZ+NhMPpR}@wUn>+Q_{iY=7Vts0L$K-dee=&#}RlEuW^1<79Rvcfh3J zd&+h2dc6W2DEL`YoU^DeYJcP*j-Vug<(+p?+whg?*sXwHEbKer6<^-TAuk9(yyhoB zybamVB5Z%) z6<^-TAuk9(ycQ%N8M~Of;cHXwR=~e1>^tBUU*5?fF9<-q<|jbB5%N~RFBi5y@QN>w zWD*v*-t997mgk%Xefv2nXIBc@pzm4Wp9yy2SiffvT9iU} z%OtnQy-hMR7jG^E0e?q9v=uC9LrMs}Bea5UZ9--sS)+I*W4Z*UE-5N~-bQn@#T zeFwba%agvS{ZU`@6Tssaox6~?e0?DKV|S&n?|@f=)N{xS0uZnH2@r3DycO_o3ELld z#g}(-$O{4xuLTK6#xCY=_}Y}a74UBh`wn=;mv?f=3jz?Y`3VqjguE5-{}Hx7@QN>w zWD*wMH3MoZ48bcoMb|Ja*A}4|&5B2aNQsfd5F?cfc#Y zypuy-5P*2iPk?wMT9rB}hGoydVJanx6piM#x(Mzfsu!z$?DIlS5t* zfOsuPKr(hQcf;4F+^vA$B#2Qixlxj$XfybrLgaSSA2OVhrA#F@tU6i@kYp7xUOIP%lu$jhkLy@7X)65 zivzFy+cUCz`>Wh9TmOyG-T(e>vwODx8$Z8>1;F{o(}ey(0&x`k)b^U>`Ov>CfGwldluM(Li;hW&kgOz!9FXr zp8&gGXwL?_Z)iUWcCXNW3ha|Y`)RO`3+-pX?jG9Df?W~X&w-r{?KxnVh4x&q4-akl zM0wqVLwg?BT|;|5*!zYy{M*L5okDvd*w7x-CX2v^@2Qjh0@&~!WwKucyIE*22D?#c zzXW!J(0&>0x}m)U?AoDS33ej11IH=Z9@-Y{KSFyc*jq#U6|jE}ZTL;6lJ7(NRj|Jf z?bpEmBD9x-{b^{!>(Y{sL;DS|*M;^9u-Aq*yqj0DI<(&edsS$|J2fS+A002OD*+9% z;T?<;$d7FJTvrJk8`*Goy9C;WY=~C^ZAv!WwJn(&+V6t>OlZR$y^`6X4Y`!e3T?RC zQ!+iY-v|4l(1v+e@<3?Aag;w0+5-(1vG^l5<0QGuUT^Hk?^X z`i1t_VD}Aen6V|jLL0tIT5?ioe+Tw)q5VDB-9!5auq#6QN0_(HF*k20aXLAFI1L?` z4^{s0%KXGT=cRnfb4K&8FHId9_melo{lwY=fA6^Oj3vJ`jNdNxMr?b#)ShuaIW+Dk zUMlc+jr-17%~#A}gS8r$9?)i~}a&x`ws`PKd!;1jjuzH=)1EigW8-y`*SZ2KO` z-wXWX;(lUk+;_e$@XN`EUq7Ju%~N;8wl`01DDV%A`w1QQoz(??2lAVT@tdVCjcsq1 ze6_%D7WWeu#C>N`fnP#?voLiO9wl_|7iu=jS;(p@w0>4GvcZQJPIE>#YwK%rDQL292 zPo5d~6VJqb=br+8^wKRAo=ye_;pkBV%zJcYQ_Cz-?*Q6EbcqM75K-JUpI_jl6oMvy(IZf zfuD){iQD78^KpTH0Qn_h{5q*?W83Q_|5M<%kNb&Hao<^1;5Q||P8ffe)S%e*U6Kn6 z{5o+zaeCZ$W|O~57_WBf&)D|bsT1OUa$4L^d|%+Zao?Fpe(f-Rt<=WY_FAcf<9_nG zxSx2p!0#CMoiXIs3gf3z%VXP9sXgL;^1`^Ec(K5*x04Sq7ihlr&%Zu^`IM@juedHM zc>h3w6SUiu@g97;vs?G>US%5Ye;hyXm9m?bEp(5&rX2TmyX;X(?-ip1#nbtPcm?kz z@4sqH@WqPn-4oikPB&TlEdIV-ruBuNx#lytLIysp73_;8s)^UMiu;fRkazD2kKJ%( z^Y>nqg|_Qh|MKAJx~a;MH*8M#9GIs2M-4Z9CL$f6w5H$@?_ zor$;5hAnPg5$%ro{Db>x!@Ai`llFuB;ne}OfAkrpjp#wnHXOU~%39R^ zz$*;MFZ{8K+KMlnLtYDcBjl}s|4G<)z$?DIlS3W?xW8U#D>H3(9D;K{ zbKA_(F!tb;FO5B?#`7lfurIfezTwhv?m~SFZK%4>_pa-EsQc`?QRNkVI+xF@ohZL> z;phKPa|e|9WA_(f-vO`qhwkK%hXC%z>r1`AC+;0=^5MQ)zNDebyfTiQsE*DNQBAzg z@6UN8-f+k~L%bF6zY5zQc*U1@a>xq;5U&LZNX9PaZur`iyA|-;gnb9R;>$ZZWkVRdCgCN^o@|Wd>%;t*!^4B zcfcz_>N(^E0f^W91c*06-U|4CgzXQ!;>$ZZ^!*Q1cmvznHqqYK?S%}w67%<`uTr>3Q{+f5&x0Bp^YM+f zH5S13G;DW|-0(D1j=SgOht~967#~_rGwL` z)n&n$`n$QSUpUu&{L)R{y?u}O&inI6FZm_B8iDiX=D}BFFFkb4|2XdgulVwgkGvoN z@tU6i@kYp70l$lI?f|d&@<=9O;axMJw!#p+;v=tlEK z8_REfwZ+2w;r~^=H2YAv-hxj-!Pwt6Y->&Li}~;#x9FMyc!dJYg8HKNM_x0<0V91Q z6CkG#TrWDCc6+sfy9PmJ%7?YU!M`BNVqRsQIgO=0YnV(6;(G%b3-n0(&E zYh1%C#2X%q6!8}Fzh-$$@3RY61(zSRJpJX;{`f!d(%<*G7V_T<_k;XvQ{Nf%Ab%a^ z51(%lwLkC*12T(`ykf$D5wH0?kV#m0=UvR*@UOS&<0K{v40>m33Zw35r!uAJV@#P&Kc|ic;wIBh>*u~rp zT#qeu_I5`PIH~;4J9@jzdbjfWF7NK%HGM8zuXVu8s;<{8dU*z)YbbY%Yk0-qUD$WP zE0C_NTzrl9&WU??vsZnPIcj;w^vZ#+WV)`}0{OSa%pm_7KZk|-qP|03qXMsxzTvS* z5pM;2Lt*;^ulVvvCSl=S@1nNC5WM0euX!v|#2X=R1w4E=MRdIfyyD9{KJpMC*k!*9 zR096T`H10*$_Fjpk{NjPL78UdzrftffA)KG=5@SBSP^O|o7W{?hbtKs@rD702=Nxq z4X=#c;O%&Dqj$}W$FmogK9ybecq=!#VAq|_ef0l-qOpR<9JYHBLh;5fd~ZqAcfdm$ z?BXLY2td4M%R9syA#Vjdd@oJZ{=h4~yyGJ;2td3RBp^BOV(x~oO}SeE-&ELlz$?DI z<0CH!K)mKBK)ez1R=~q|P(^bGc*U1TG6@Usyo=fjL-2}^yyme;5pRUN74Xf4eFwba z%R4^uf&j#8egecBA#eFSko>U=-(45YJK&Wdbsu>_0OB=20pg92w*tO}u>FBoe0j%5 zUJ!tIEl5Bzb}@Iu*QVU9fQNT%MRNyu1@c~^PYdt*-D(H@f9bt*HktcNHTqEfW=?j+jcOO`LBid1|(1D`pG30IN zFe<;Lu>FBo4BefOB-p%b2GkaaDwZqop$$I3HuIswNt!eP1F~) zKk~X*)$098-&Fg>TEyF8so4JfvD;eMcfhMyD#bZI@`3=w>*6$b-kW%{^P1vp;%#xv z&U^dt4RlZMyrwuCc~u+|+nabn0OEBlWU&r^?BcPTV&$s$r`&C|U##WBI|rh^16~y? zy3_usFPb~Z>zx7?X_CGv6545Z;_Xf=iZp%rZ8}l=1FwqIEZChtc2OI|gDb}mbKkjT zTX6B=r=)Ki*E0RetbfWD)Y{@sd^v|&Q5_v;RO7LW`VM)63cN!47Ood3zqH=H`?}}7 zBaT?t4f39+^52`tK635=j2zXlno3mrrNX`g9%Fg+ZaL9=bgff)nOW){aiet?yxh!d zwEORHZyxr5_S-gW2YrsrqL)8rY(vyn3RW-+@tO$(M!XU77TW)ZLofB5=g;x37&|Tv z;nGu9HcCI;ySqE#!w)gKdr7Y{I)05_{+PotlmwS|y$kQ!V2q&o3qMw#b>fA=^xcmx zzjD^o1q28?(uHN3z;&|k^*x)$4?0kA%!$V? z=58<=uTbum$0Fs!r(45gUtCYpZEQp@-)0JG;x!n|LcC$ZfDvy6d`DsX1F!h` zQTror1^W96+aGwvmq#)Q3-6i%wH1co6(4!cW04}>2ze{u;T^1`{>z{XmX*cYa-RIXwv%_8* zQtmH&yL|epE8#f@?m**n&ai*zIme<0jLGLsyv8-WLcHOzND*%#zx6++gWuY}=Kg;6 zB-dZ^LH7Nnm6?w^m1Y0B{Uh8js_|H{M76)au>FBo6p{CU`cW>N2*hg+S;Zv5;+=Of zcT*LoXoGUMMPpTf-&NRmz^kfg#T%$E>O16h@wyQ~`X=&>ecSJK&Wdbsu>Mko|FJqu`7E z@9_>h;hXfL(*yi%iun-Ny<+Mc7(S|_YtyJEUgI9TLcHOzND*%Z{K5J5&pzF>XP_rM z8!Y^1a^~>~w`TTRdvbZdKF7J&odqof#~;?wIFD){c*U1@h!+GPUgLS!D+#)8z1~~? z;KK9+V@Km>;L;ZIp4DXsrWe)G>&mExO-WdI*9>^<254rX+$|FZ%!fPw(KzHpL0)md zkRe_WfOySMfOsS1t$;sN*#5vPzC4orv5VRQaUXe&DewyMhQ}gBycO_=3HuIs#g}({ z|F1F!h)E_`4@^jZmcB}m;zUJ!tI%};=MBjl}s z&j{Nec*U1@eB=cIh}VJyBx4tIH+*f%-3s_}Vc!9-`0|dAydVJanx6piM#x(MpB1)0 z@QN>wWD*wMH3MoZ48bct@|wpYMZ6L6R=~T$z5`zI*@4KLO$md=6~C39Gy- zQ{#feTK<#0;p)S)6z&nV!tn5UhQDk23?1Bp3zN)rqLy-^2!ymg4 zF9<-qX3HbUAG->U-Czh_q1-KxMar)b_8ssF;$+V3wU>9_&EtYaJ(K0RTR+Y2f7^4} zBe!>R4}AM<)QM`GCs?8y^&>mKrMO4pb#ctjdlPRUKkv}ryp@Te-s)#NW}Ef7sQlKW zFD_sFLX(~5?w>SwH|A-4Uy9Cqm_OLu;^&0z54_@v=eZ?`vH~E9$ zJd(cUka@=Ug?$IS;>$azFKU0}H9rB;H$vX>c_5Rp@W$>@!oCAu2~ziw7X%<)^AjN6 z2ze{uy9?VNc*U1@eB=cIh}Uq=z3Xm|pgY zuj>9E{~Q_SZZJBpQ0|t;BIO^Q@4L#M`#uxgf7{*O`eqMh#!h=Tlb+HUkA0`l@BUHa z^)B#=FHicSzC(QteK%r3i{SpHLxO4N9h-ja=ugwXZP=Ec_UcG?rn3Rli|Xh)H>&aY zMeUEgMFn2*j}gut;1y3gqLN_n&bz2B5XWQ3zT+0+4VQ+okNQ@?A1mxT;1yrq@sSq< zAYStmAl?Xh%jbdQkKN;heFwY}r0ydx2td5%CqTRr@>akfFKmC{6<^-*krxCYUJDYC zj9tv#@U1ulVwgkGvoN@tU6i@kYp7 zJ`W^+?DiJ+9q>w!x{o{raF?F_op=8m{Z#V&z1$6FO>}$LZ(P3Ln3LSa&;E|#-KVa4 zneOiz^nlU%yom>!TZlJY8s;A6Zv}jx{BZH(`5(&~~M$0N+!s`F99`SGWVS_{b|J z3>fiR$Q$?!Sa;sdWZV8{?J2td5% zCqTRr@>al~A#8u(7053cyPum~e$k`BlNa6Lc0KGbci8=ZxILWL;oP?;W(S|Y-d5A= z71+cdyQr-&IP@-}oBm4A+~?|@ef-3UQ_QQsl2qiDs~ zq;HDnB7%4udBxZM0Ac$BuN+ZH0?oVL9U$yG0?`P3+7Ml z|3LdcRI>tY|=Zm+jZG{x}M`UQ48E{AOFYw^Uf^FxZn2A|8G0k7YpV~=S}1-uHhB` zd|}@KuXxf#p}wf^kk|YKNZ$x~E8qtS+aGuZ@&j$D3bcxq)$(-!w9WCA7{cp|g zmYe03cW#c^d57J31>R?=6#mIQ~KYlC<0nc_aU@^tC*@4KLO&6khcQwWD*wM^)6~F48bct@|wpYMZ6L6 zR=~r5^1y4KpwrCfystN0>V4j2I7Y+oC@)a({}`{iycGSYj$WTfwGTY(#xBGQ0uZm^ zn(oCX9`ddqdb&ytJu-XJ-kI#=r!Fa9)}sO3=V_10!F&2Cy5`%69^`EE$4$J!HM~N+ z<*^~fAG;TaeRtViOM*_n9^)O?=w$o>s`+=pV&IquY^tBUU*7SN z7X%<)^AjN62ze{u;aLXHK|zPirNOlER|dBpy{!!1mnwt*FNIOvLml{bL3NG$Vu@-W zctva24@n?-*9@pF5U1QV9DDog4hhb?<3w-jON-~1!Z{lf!sE}*UONFyC#s`kj%wl! z?!ha>TONy)Kcc!HI8l&S957^v7X%<)^AjN6K>wAjIWBl-_3B{b)9JDw$M#CYXX)lc zT({-O<1u$Ze@(>`n=+k>`?RS1y^8U)v_*xlD`t=bb+*$TnwB7se7*XSUL&$5~ zgI7r3@K~gXw|pK*{@A@t*muAyLFzv8f&j#8egecBA#Vlz*nIm}mR;4$UH#40py7_6 z=W54!9+r6!H~T>6NfwgZ z#g}({uoQ{(+z$jV)Uqv#(7lxz{76rLcAaV@tQ5~5O0LMyP*_TRQ6~x7q5?-7{9K$LMbMyaDu_r}A1@ z;rR7|N1I!SS6n*O*FxS1dCTX4Ov1vu-n~vZ?|@f=)P3Y3KyY#!6}((~n0MC~_m}_u z*m;>F-yM^A_KD4paVT5rGF9slzA*$3Kyvr_sCjG$J(V5+jsGouDaO`{He(vVXV*0)oI#95` z71aLqy6W?E;1*qwS4C6R0P%tV#OvyK#ahIhVyWu=iMQ2$v6g>BehhlMeX+?KIqoHQ z?doY|8a@Z8;C($%&u=BG})5%N~T3+K)a!nuRI zlI1Ts@A;^2g!-1x1IZt|6Y}$ptL_7@xG-?U3jz?Y`3VqjguIpT!nrd6#vWfD+8=m9 z0OGYE0nyln+znrwa<>BhM&aB6Uh(A}A9)B+dGv>EUwUlD({7(P|ISu?_(b`)wKkXk zIix*Y^K`)Q@EOk9biKV1Jz#7;Z{jts;T7Tyk41`j3;DaJyz14u;N!{}9a?58uDL!l z<%|01mGd5}zFr-+y~fuIHwxzt@Cp;*mn5LP*J`M(;Ci*^=3Rq7SN92~t#9WJ8#ko< zjYZqDkMw*5j=deG&a- z82fyA=sVyA0f^W91c*06-U|3zgmVXY#g|7i2@CJMi`oi9@QRPThHH)!4t~(xW#(PM z`YC;~d%yHj=7Cp6xeundz;i}Rj113tzvFXW)iuY)e47z(a1UM~-tt(a{9A-`2YAJo zCw*D_gTCe`fX6R7cOh?~FY7$?M`iYd2ZM9I?C;Kds>F5F$JuM2!~T!1d20MUJII_r zcHz!{bgz!n0zUAH1BMLof&j#8egecBA#WwTaPHg+V}~#A_{a+a5U&LZh{i7DZur`i zyA|-a3Fi**iZAc@$O{4xulWfOZ-l&+@WQ!s8;l>2_d0g(nLYIL3%z;MhPtJV-^yMz zZAJE`_wI6sta}=>b5FSRz?xob!looFylV#3RzNe0kGy8WfDvzmycO`b=jTpv(Xh0) zU+L)J#Lh2bH2PmZ@cZxC|4xBVIK%7cuvX|mQS=D;10UJps-i051p$cHMM=hXCf>j` z-!Qu@cx~SYf|-l2DnIwGP34;oYgWF`C1c?^KmWdHc+D5}HI5m4z9!x}l9B|NH+F9q z&O6|hAafsiK>*@4KLO&6khjqHqc3O>ytiahaLu#J%df3-Q~C36zLvfA#~sl3?J;`Q z{bAS_OVvHDJMv>7zqa^CA9z(fRz(mm2td593db?{V;7Iza3u2#<6nWX*Ac_R*sD|1a~|;;_uv)c4Ua{N zcq`!H+jyeaJHRWxJd*sei`oKlA9;-_@CxyU$09|%mGJqwQ+fOAf4XlCnd&Y6@u2M6 zetqxm%3s`m3eTGzFuMEY@vXC0F5R`F6g@a*lVAKhwW#liR~V63h}ZBru!Wnh^4^?z zt*zZ>Ri<&f+cOX@vsafc?o;)8W8|n-=s;2Qi0udYo6uoY#9IbfjU>V3T{BD+&O6{$ zbsj}qKJtP9#OtDEs{rB+v_Z?aZeG%=OV8l@?;2#=y&R+$vvm2jTQdJD z^fM!8H>BsSa3h}Uaeq?gpu7w@3g1f6?)M3uwd@~T~)^bYl<((tKzXLf_Omy;&oNHq7C9r(O4BgyoJv* zT>jB(?%i%P_oNH8*Lbk!4emY3>(!?%%)J)4Gt9l3{~z&P`MKi``s%sL2d|mt{kS!Q z-!-fHExq&3r(5#wQQKEi|7nZ{ZLXsJgYkna_$3J_Z|p+um`8Bfk|AD~XWy=T>7*;u zpAFtKGpT!N=Hwm=;n<`17V^ig^GU{X57*_l6!++(zA28`d2iya5WgnyXNrpzP-0+4%yz(z4xcRaQ~>rys<>Jf46Y%0Iw(_?*a9rTsRSk*Bmm>5O0LM z@QN?*_{a+a5U&LZNX9Pa zZur`iyOr?5xpR+j?jWz=dFO&5+r9G}Txyez-pK5+vQhfWrTrJeVYyE(z7LPied4X< znajRv7PS>J@CSVlyat0=h&N0aFygI%zgIYSfLDBZB$Kf4&bz3spl>EzbYt+vmP@@; zW^T!}-Y_ve8=iAd{BqDv*9?Euc)g0e26blfkvB{jFybw=|A65;f}h5m7ChIiKmINN z{wC7`*q&{4^9+n0)#2-d=yd|{`MKl1I-sHZ^mX&Rj&GQG5U&jW-^4r(+#zjFB|fZc z;uY?|EU1s|&n?7jE{*L6`bNlGJ`W^+>`oHSJK&Wdbsu>_0OB=20pg92w-P=-ceoM! zNig=fFmS{R0uZkS35don9J}FbQ|?y4PZrJ{;1yrq@sSqVbX18OV2 zFy*d=yb;0fI(@w`6w*YutlZh&MbIDdH`k2a-Q_@5|3SuDTDr;=;fYF9<-q<|jbB5%N~RPZ7=? z;1yrq@sSqKky;1%dZQ()RIw|p%3nzX>xr<`nm4I;W?uheRcdnQ|}%e3+zz8UJK#y^gcvn!A2SL6FK$Q#^)S4iLT zSfu>>g>wgZ#g`|2S^I;&<|ly1FKd6`Engo<{@8s$IPZX0kU%iF|D?(jKRc>&&jAVi zU6u6Ig~Q9fD_`VZ@bzfe?6^nw7?Dk0TqkO0yk5gT^O1*|TZq?OI@C8p-b#4k+<8D# z`*Sn!kyl(A+Yfln=YdSZ!aMJxw&812?pDB070w;t6<^-*krxCYUh@+m-UxXMpJ#Y) z$z|@$&r2%(P1l$2IeBb(?Mdr0KMq^wjh}NYM)$5-zKx#uU|%fQ=Xn0`rwZo|@CxDy z3V-aPw&E+}u}kJ1@kXd`1^k1;xdXi7%R4^uf&j#8egecBA#eFSko>Xxpm5#+uLP<4 z$O{4xulWfOZ-l%R@Y95I2YAJocYNdp0f^Uv1SDe@b2of#%H2wM;oO-9W1lY%eFwZC z0P&ii0PzN1FPyk;sCVy{LEhZ5TIJt#Ij&#WD!{QD!_k8ICp?oRndw!P+!*m z!0Y05BZBlzDZDmj^#A2+Ht(qrtuD%4F`>ii-xP=REpw`n}l?W)A66>b^d*6r;N@WH;4#fBq2|Kez+G@W(D{ zE55LgycY6C$Xfvq+IZc_Exr-X9pn|<<68UP#3fV499CH`)5pDc`aN#9ZP$5SrXB<5 z%~qIO^jVny@tau4YutlZD1XCaks{vmc_8^?*W~9NSKS9*0UR_x<%i(=0ryq**zq>~ zE{ArUxv*rf?)wpJuBxMP64k^j+=Ew$*E|*};th^V1d2D7SG=s;2Qh;1jFJHSV=!jMH( zs4wd~;B`@wv7JfZ#8wsM5O0e@Vmtef3g-^+Di*P5CkX`adKa|?;&|+$zC+#=eY{lw z>Dwx|Xv2RrKX<%-dmkL^)p&q+`PX-*@$-iUzL$O99-qN^w-kfIc{l&N=dd12)p-|q zMQeBus4r`O;5APhDbhDW-tu`Mld$l{E_@>aqN zpLb@!*yYPRKJtP9#A`tUqOl9P8@@K>ZUy{I;oJdU@#P&Kc|ic;H9rC3jgYqzUO0DV z!uSFC$_cZER1O~cwb!rRF4^Q~H@mfG*UfI7c?LXhwZrV-y_J9HKE+1#pv`SnzbC=v zop)z~wgQ@2{F%UOCJY$y299H|>$iFbFFMluPpWQa!cl%^*Y(RX1CKt){rtA~F}l0< z^r1TYMfqTfA=6igW>Elm!KmI(2`?+t=O;P_T z^zv;M9s_^u&JxZ$;1%TJwm7*oxbyjcyr*V8oju~{VcDymnx37#dnfmc_VDW&IQD+% zMY$XFAa|V~Gd}VP*YFDQn#UqVyn$SX96mMp)fw(h==nQ-*Cq|y(i-v}bHFEHR@Kp% ziE834?!hbmW5T%uyy8g{h5DlQM_vmOkX$pMw&82jv0J#$;B}hgZ9e6&;QnnZG78QU zzrWqKd`17i-P3No8Kb*prMuTO@BGJva|d{kV;9s{f&j#8w!A~U5%N~R!#4w>dB}<4 z1Ftw>HIoFBcg=v>0&&V+S0_@eMZ77Ns@|V?TkRKX`Hu_d4)6*@gAsov%KJ6?E!cY9 zXP86TrjFV4kMMhJM?9B*PdcolaT3+2FKd6`H7fE7=^Gx46!DhN1IZt|PYCB7@Jf)n zkGvoN@tU6i@kYp72``*GPr%sa%R4^uf&j#8K?0(&3%MJ=%R~naOcA3{E1HUDRzYSFVzTmLGYrJNgEu1^RD}MS& zCSl=SGoZG@5WM0euX!v|#2X=R1^ko3xdXfc`ON$oH!k`5^*@4JyN>kEJmo9*wr_{I zqh9Ob*8lQX%r2^w!x{tgd0P&ii0P#l1Te#jmw6FCB+<8!N^Jz2Z z-{m;?zIYn{*UFZ4r(^V}j`}>R{ilR;2Y7`7%!2x&_D5bb#q(GaD&E*dZNt~5W497s zICq}X!oCAu$?})~K@D6@Toawm_V6*Ttq=y+84$+Ar23-WE$$@9#e?oIAj)>TpF{ zsE_UM)fsiJci`xy!4q#(&ex7Je@=Mbes#?<44Mt==zJa3#KZpFLcHP9aLs}FTRsmY zf9yV!pLbk!A9%%ufg@fJfOySMfOsS1t%MiOoo8U|@a3WXffocIUJDWsja|sy@UVqvP{IQGLhObP=ZY8{M?#$7`z5`y# z@|X0TkNF#+z7_Cug>wgZ#g|8tKXy@DAnqftF$G>B-tbtYh_`UwJh1eBZ}tbZ-OIl` zGjqb$ZS?<6KDrJ6mvi{}(eQf@8i5x@Ooj|t0Ub$vn~SHagT6+sqsBY$Ze@`3=wYkmU68zFBcym0Qo8;FW8k0gKWqP9TXM_ywJyh6O;u}Beb1w8x+kZA4z zulVwgkGvoN@tU6i@kYp7KL7t4@__n(9CP!xj?*>uvQwHk2#@=in>Unz-@s|;z}=?E zPo5q36VDa+PTY5Tk>54s_fI_<+rEEtOM!n>+)qr7`_7jI{*mPGAI9&Jx;eJJOLAR- zzhB%>Tow17w+j3=Pi%YVQAC#eL^)^5Kpe#V<{5ifu1V z9UAwOH^lwK+5&&?xbKW5zch^BF7-xid%M)0aX&dU?k8R<@OO>-&ROKcD|^bnZR*+B z_O{6#1^&r#KQTS-JHHh8KKbygo)o`LYEo=_o8%V-{t53{cSexEC&q_( zEmBKk+gqd>$Nl7aaX&G?+Ft{FqITSOP9?tu#)s{Dq#loL-y`{Zfqz`wPfU&b&bI}A zIr)2p@tddah;474+)&^j821x8?mMds{0`(d592pWT^ifoEct4I-z@GYE{OZiq5{8! z{AOYNrm23h?M;(U#{I-!1^$U~-+74qreVA$sUKq7o20zBpS&yXCq6Ck4~hHE_2f4R z<2O#NiEVG3>J;~rm&N_W>ji#`xbF-hzi}A9QEG8)d!tnSxSu>T?kAp!`_4ZFeoyin zVf=TY?Ng?DJNn6=3;bN%Puv^#ozDyW!^v+L#@{V{{Zq! z!uWMk*T%NjN&ct6Zy)y)qvF1^tiW$dew{G>E~!DW?Ykrw7Wj4Ie&Y1F@60BDmoQ%K z)St2KwNod={p7T`pZLDOcjLY@k^I_W{937vvF)`|2gm*7b#XuOZh_x1?mJ`1uNB5m zrIyFGr&4>w{p5vlKk;ILUvDShaZ)s2`{!TZ!hA|q&sSUrB?$}fzU%z_eYc=*?i6py zs7EXBJa0z&tuF^*(DcUR_H?HoatPW{twW z73C0bi$Y>M`|t*f=-wIdDi*Og2lbU80P(sw&4Rs?;Pb{V=57k272#6uwg{kLS0COO zll5JG?gahrywN-D-Lt*`&5Fz;HwIJFy}hFwhATPTIZZns{Xq$Br*nM+>WziZWtr!&ovQ|sQmP&(cV>InKXWrW%%{Jkk z(?9oQXYS1Ge9rgGedo-ZJ3DV*v~T^3UiM#2={0Y!^}L21>7%<&4tYkVpLp<%h787y z@r&*|BX7VV(@5VkVZd~!uy%k~e0e1Kxr^EYaXfa>YlpnSL*NZN-+=FKnzvZ!cil4i?gmnkJ5`?ai7dRk3 z_Uf_WEkR@G!60pF#X294@!A2ih6Goj2lp_RGTB0Uo5-rjZvoAU@P5W?(P=W9q>vJxJDiv7Ot84TBYNSJ-RX)?j-<;! zxGVMC`ze3jkB*~zzjm`f;&~hS5cl92=^Myjf6Yz7@$7qn-O!tS{#$+cT;)rrKzH-y z*Pv&A{Re*;@p>2aEk2u>o)T@}_IY%}{0+%Hn`S0|GkbRO@vTk%vT5HWKHn-_0aG-G z>+LRSQ7H?E!N;WKXr=eB$twXC@UKRelZJKU=Qx88>P7NB>pg;;P$RyT-lp&>%>X^Y({tTkwW}LmrD1 z@kYp72`{Xjy^wo+c{qOH1rCT0`2i4bguI2^{nEDH+}rym`3p*Z?9cnjzx^e@nB$N8 zr~}5D@%z^4)N5YD+@k~E8F&ivE|yI|!#nSG#q|@rDSobjSH)uk1n~j~#D@d!ie4bz z6pal4#M^<@q8D_Ruy%k~MXL@1sILSLhz|$-F_r|m3$Yu9VjiK`t$^>2YbV#zvZ%Xe z)fLeL=a%}@-_7|wuRr5oJ^m=v?F{tp|9$>@o-T_@^HLZy_PGWg8nzKHa6o(r=bbNH ze=^tH^l|XRjPb@U_=7hwdw;sL`&0jWH@}9#`K8P1(r3@?DfBhQ4nJ}H1{Rn``j!a; zrn`l;1H9tPBbk7Sciu&9g)Vr8$1b|wL*DSTks^I7;B&(F9pDvT-qFYl91tJ!10dcA zdCTX4%GlRo(3nvUW)qIst?cAR@Ix3O_qvh6@8-sebOwdrwx?Kt?$ zBOKrIE%9eaZLEYbQ~bcIcoS=m`l9|vK4eK3pad-N&bz2>3T}#T>DX=YT!GM$uy%k~ z1!s&F^<}Re@F7DukC46*@>akDi#5PCZ6SZSaLn;@7qvk=x9wLCMtxN`MQ`l0>5gl6 z_+S5O!k@4$J>eKf5LT9bnG+l(1|~L9o9)NEcqMc{*`!S1K-(ZaSa#~>uTf` zZs8g6A&*6hc;h(TuWp;-H(a|rSoq9gfAO(a@492_yjOSL<)3{WK6DB3zx(v>sCHpr zG(6Yh`HFZ881EU)(-HBFYjpN1rCT0 z`2i4bguIpT!rIvn`NNk-G656sdKa}7y5N~cKIE}T5pRUN74YyMlSS8iz$?DIqmdUl zAU@;=K)ez1md^vp&)oyUx&vMbLf6O(91tJ!10dcAc`M+1gtY^_;>$Z4d4U7sLqPzN z+{M@pUz=jL5?)w4J&^l+d3f!B7dRk3Ap~W8RUSz22W% zKk}DOUjE->?ZV$=OF@#Z*AEJ72Y7|Pn&0^_I$=K^whC9k z6wPUtfI07)0kwxX8gzMaOB(s`;`SYBFX9dKec!aFyosxK2D{oz{Nv|$_#1!yp`SbL z`F}mS5jp>z_FHMJbPCr%(+>7ME^|#E3}x30z$>meaHucqf8axY0Hkk(yyf#i@^iOW zSa-lHLFgKJfdk@0egMQ9A#WwTuy%SOclq*;Mqc26_)rjlD0d-t!`G(Rt$;rytR3JL zU*6Hk3mgz1@&h2=2ze{vg|%}C@`o>vWWsUA%yur(<<2E#HPCK?&%wigm;j?~x(xTJ zxOL$o+7{1TZ0MTjmAJ+C-e`62SAvI27ufMf&Z_bI8}0Zz538{cd;_1!#P3n;d=lCx zmfQj#(Q|^fYnFivCwSz=3Tz7&tm?gh%U*l+v)Gm@oDW*58!uDM43AU8Z^l&U+ZM-r z(A?l$V;?D8;u-NF9N*0F-stIEjlcZLU#6zt^J!}S&F!g2-#P==g(c{D;6Aj%HOOn2 zsE<(JzzWky-!fsqbpAEsdO%)r;k>@6|4~2W2SD)~A#eHo_~)FdP+OZ|T0t$kntB;r zr|UQ1pHN&?v9KI-b}UQ@m~MdyZ4ylI$+yYhht|L}2Bv?&)D9E;hZcHCTxciWcw=L> zuDR9yzgffm+2%~W>(*B{WojxL-If)Z`>M09+nR4z=G%tqsuh(ju1hWGQ@hf2GmY6s z3LeRpf*#Jp|+}Wg`26()K^tkx^ivN}gz6(u=rXVMRcZ7OPocvBQIY-i%_ z==gtFr(ER9==fh)JEP-&eDCAkyBZz;%dRi+{so-(xQ1g;(cjyES6p%6M#umB&cpGq d=(QUi|I2Cz#)h)~m(>nr4xD#K$Nxhs=s)`d_IdyS literal 0 HcmV?d00001 diff --git a/code/input-output/ucx$ftpserver.log b/code/input-output/ucx$ftpserver.log new file mode 100644 index 0000000..4a505af --- /dev/null +++ b/code/input-output/ucx$ftpserver.log @@ -0,0 +1,86 @@ +$ on control_y then goto fine +$ on error then goto finb +$ NODE = F$GETSYI("NODENAME") +$! PER MOTIVI DI SICUREZZA NON SI PUO'INTERROMPERE QUESTA PROCEDURA +$! ----------------------------------------- +$! SYlogin.com +$! last update : 11-jul-1994 +$! ----------------------------------------- +$! +$! This command procedure is always run when anybody on the entire system +$! logs in. It is equivalent to LOGIN.COM except that the instructions +$! contained herein are executed everytime anyone on the VMS system +$! logs in to their account. +$! +$! For interactive processes, turn on Control T, and set the terminal type +$! +$ IF (F$MODE() .EQS. "INTERACTIVE") THEN SET CONTROL=T +$ IF (F$MODE() .EQS. "INTERACTIVE") THEN SET TERMINAL/INQUIRE +$! +$! For MicroVAX systems only, use the command MOUNT/NOASSIST. +$! +$ IF (.NOT. F$TRNLNM("SYS$MICROVAX")) THEN GOTO SKIP_MICROVAX_COMMANDS +$ SKIP_MICROVAX_COMMANDS: +$! +$! ---------------------------------------------- +$! Place your site-specific LOGIN commands below +$! ---------------------------------------------- +$! +$ set prot=(s:rwed,o:rwed,g:rwe,w:re)/default +$! +$! code e comandi di stampa +$! +$! Code e comandi di stampa +$! +$ LASER :== PRINT/QUEUE=LASER /FORM=0 +$ LASERP :== PRINT/QUEUE=LASER /FORM=10 +$ LASERL :== PRINT/QUEUE=LASER/NOFEED /FORM=11 +$ LASRESET :== PRINT/QUEUE=LASER /FORM=12 +$! +$ PORT :=/PARAMS=(DATA_TYPE=ANSI,PAGE_ORIENTATION=PORTRAIT) +$ LAND :=/PARAMS=(DATA_TYPE=ANSI,PAGE_ORIENTATION=LANDSCAPE) +$ POSTP == "PRINT/NOFLAG/NOBURST/NOTRAILER/QUEUE=cps_ansi"+PORT +$ POSTL == "PRINT/NOFLAG/NOBURST/NOTRAILER/QUEUE=cps_ansi"+LAND +$! +$ POSTSCRIPT:==print/noflag/noburst/notrailer/noheader - + /queue=cps_ps +$ CPS :==print/noflag/noburst/notrailer/noheader - + /queue=cps_ps +$ ANSI :==print/noflag/noburst/notrailer/noheader - + /queue=cps_ansi +$! +$ PSTOP :== STOP/ABORT SYS$PRINT +$ LSTOP :== STOP/ABORT LASER +$ CPSSTOP :== STOP/ABORT cps +$! +$ LJSTOP :== STOP/ABORT LJ250 +$ LJ250 :== PRINT/QUEUE=LJ250 +$! +$ STAMPA_TIB :== PRINT/QUEUE=STAMPA_TIB +$ STAMPA_STOP :== STOP/ABORT STAMPA_TIB +$! ------------------------------------------------ +$! comandi vari : +$! +$ CLEAR :==@SYS$MANAGER:MARC_CLEAR.COM +$! +$ TEXUP :==@soft$disk:[vax.tex]texmio.com +$! +$ @CERN:[000000]CERN_SETUP.COM ! 24-MAY-1994 PER CERNLIB +$ SET NOVERIFY +CERN_SETUP: "PRO" library selected. +LIB$ = CERN:[PRO.LIB]PACKLIB/LIB,KERNLIB/LIB,SYS$LIBRARY:VAXCRTL/LIB + Tools definition in progress ...... + + see tool$disk:[tools]000readme.txt for a list of tools.... + see soft$disk:[vax]000readme.txt for a software list ... + +SETting UP XV (v 3.00)... +X11R5 directory is $4$DKA300:[TOOLS.X11R5.] +%DCL-I-SUPERSEDE, previous value of E$ has been superseded + BOLLINI job terminated at 9-AUG-1994 11:23:51.77 + + Accounting information: + Buffered I/O count: 1056 Peak working set size: 1111 + Direct I/O count: 396 Peak page file size: 4513 + Page faults: 29255 Mounted volumes: 0 + Charged CPU time: 0 00:00:09.69 Elapsed time: 0 00:15:50.74 diff --git a/code/input-output/yaps.dat b/code/input-output/yaps.dat new file mode 100644 index 0000000..7790e99 --- /dev/null +++ b/code/input-output/yaps.dat @@ -0,0 +1,6 @@ + -4 0. 0.0 10. 40. 20. 3 5 ! num cyl,r,z,dx,dy,dz,med,medyap + 0.0 -1.0 ! ang. coll. , flag uscite yap + 20 0. 0. 10. 40 20 5 ! r , z dx ,dy ,dz ,medyap + 20 0. 90. 10. 40 20 5 + 20 0. 180. 10. 40 20 5 + 20 0. 270. 10. 40 20 5 diff --git a/code/input-output/yaps_y.dat b/code/input-output/yaps_y.dat new file mode 100644 index 0000000..b8ad8bd --- /dev/null +++ b/code/input-output/yaps_y.dat @@ -0,0 +1,4 @@ + 6 0. 0.0 2. 4.2 4.2 3 4 ! num riv.,r,z,dx,dy,dz,med,medyap + 0.0 0.0 ! ang. coll. , flag uscite yap + + diff --git a/code/procedures/copy04.com b/code/procedures/copy04.com new file mode 100644 index 0000000..73a6276 --- /dev/null +++ b/code/procedures/copy04.com @@ -0,0 +1,21 @@ +$! copia/conf da bofi04 un file di egs4 cilindro +$! il primo parametro e' il nome del file, +$! il secondo la password su bofi04 +$! +$ASKP: +$ if P2.eqs."" +$ then +$ inquire P2 " give password for bofi04" +$ goto ASKP +$ endif +$! +$ASKF: +$ if P1.eqs."" +$ then +$ inquire P1 " give file to be copied from bofi04" +$ goto ASKF +$endif +$! +$copy/log/conf bofi04"galli ''P2'"::bofi04$dkb100:[galli.egs4.cyl]'P1' * +$write sys$output " " +$write sys$output " done ..." diff --git a/code/procedures/definizioni.com b/code/procedures/definizioni.com new file mode 100644 index 0000000..0422d5e --- /dev/null +++ b/code/procedures/definizioni.com @@ -0,0 +1,10 @@ +$! definizioni per l'alfa ( sono nella login in bofi04 ) +$ +$! per il link di egs4_cyl_2 : +$ define pgsub petsub.obj ! interfacce ad higz +$ define pglib cern:[pro.lib]graflib.olb ! routine grafiche cern +$! define gksbnd sys$common:[syslib]gksforbnd.olb ! dec gks fortran interfaces +$ define gksbnd cern:[pro.lib]GRAFGKS.OLB +$! per il run +$ define materiali mat3mev.dat ! materiali da pegs4 +$! diff --git a/code/procedures/for_cyl_2.com b/code/procedures/for_cyl_2.com new file mode 100644 index 0000000..d4d1c11 --- /dev/null +++ b/code/procedures/for_cyl_2.com @@ -0,0 +1,48 @@ +$wr="write sys$output" +$wr " Compilation of user written routines for egs4: cyl. geometry" +$wr " con anche i rivelatori. +$wr " Questa variante include routines grafiche interattive " +$wr " Ed anche le n-tuple su unit 21 " +$! +$ if P1.eqs."DEBUG" +$ then +$ FOR:=FOR/DEBUG/NOOPT +$ endif +$wr " compiling egs4_cyl_2 ..." +$ for/nolist egs4_cyl_2 +$wr " compiling init_cyl_2 ..." +$ for/nolist init_cyl_2 +$wr " compiling histin_cyl_2 ..." +$ for/nolist histin_cyl_2 +$wr " compiling source_cyl_2 ..." +$ for/nolist source_cyl_2 +$wr " compiling howfar_cyl_2a ..." +$ for/nolist howfar_cyl_2a +$wr " compiling ausgabe_cyl_2 ..." +$ for/nolist ausgabe_cyl_2 +$wr " compiling statend_cyl_2 ..." +$ for/nolist statend_cyl_2 +$wr " all including: comm_cyltot_2.for" +$wr " comm_yaps.for" +$wr " compiling guarda_2.for" +$!wr " including: pg_comm.for" +$!wr " comm_cyl_2.for" +$wr " now including: comm_cyl_2.for" +$wr " comm_yaps.for" +$wr " petgraf.inc" +$ for/nolist guarda_2 +$wr " compiling yaps.for ..." +$ for/nolist yaps +$wr " compilink howyaps.for .." +$ for/nolist howyaps +$wr " including: comm_yaps.for" +$wr "" +$wr " compilation ended :" +$wr " files not re-compiled: interfaces to highz :" +$wr " petgraf.for , including: petgraf.inc,petgraf_com.inc" +$wr " petgraf_data.inc" +$wr " petgraf_higz.inc" +$wr " egs4funl.for : egs4 routines" +$wr " " +$dir *.obj + diff --git a/code/procedures/link_cyl_2.com b/code/procedures/link_cyl_2.com new file mode 100644 index 0000000..f6e94be --- /dev/null +++ b/code/procedures/link_cyl_2.com @@ -0,0 +1,47 @@ +$! version 26-oct-1994 +$IF P1 .eqs. "DEBUG" then LINK:=LINK/DEBUG +$wr:=write sys$output +$wr " linking egs4 routiines for cyl. geom." +$wr " including interactive plots" +$wr " ed n-tuple" +$! +$! define egs4f$disk sys$sysdevice:[galli.egs4] +$! define pgsub sys$sysdevice:[galli.pg]petsub.obj ! interface to higz +$! define pglib sys$sysdevice:[galli.pg]petgra.olb ! some higz routines +$! define lib$ cern$library:packlib/lib,- +$! cern$library:genlib/lib,- questa non e' usata +$! cern$library:kernlib/lib +$! define gksbnd sys$common:[syslib]gksforbnd.olb !DecGKS fortran interface +$! +$!@definizioni ! definizioni specifiche per l'alfa eboal1 +$! +$write sys$output " linking all ..." +$ cernlib mathlib,packlib,graflib/x11,packlib,mathlib +$ link/nomap egs4_cyl_2,init_cyl_2,yaps,- + histin_cyl_2,source_cyl_2,- + guarda_2,- + howfar_cyl_2a,howyaps,ausgabe_cyl_2,- + statend_cyl_2,- + egs4funl,- + petgraf.obj, - + cern$mgr:gethostname, - + 'lib$' +$! pgsub,- old graph. interfaces to highz +$! +$ write sys$output " done ..." +$ dir *.exe +$ exit +$! +$! --------------- sotto il link usato per bofi04 : DEC VMS : +$ link/nomap egs4_cyl_2,init_cyl_2,yaps,- + histin_cyl_2,source_cyl_2,- + guarda_2,- + howfar_cyl_2a,howyaps,ausgabe_cyl_2,- + statend_cyl_2,- + egs4funl,- + pgsub,pglib/lib, - + gksbnd/lib, - + 'lib$' + +$ write sys$output " done ..." +$ dir *.exe diff --git a/code/procedures/link_cyl_2_alpha.com b/code/procedures/link_cyl_2_alpha.com new file mode 100644 index 0000000..caa13a4 --- /dev/null +++ b/code/procedures/link_cyl_2_alpha.com @@ -0,0 +1,49 @@ +$! version 26-oct-1994 +$IF P1 .eqs. "DEBUG" then LINK:=LINK/DEBUG +$wr:=write sys$output +$wr " linking egs4 routiines for cyl. geom." +$wr " including interactive plots" +$wr " ed n-tuple" +$! +$! define egs4f$disk sys$sysdevice:[galli.egs4] +$! define pgsub sys$sysdevice:[galli.pg]petsub.obj ! interface to higz +$! define pglib sys$sysdevice:[galli.pg]petgra.olb ! some higz routines +$! define lib$ cern$library:packlib/lib,- +$! cern$library:genlib/lib,- questa non e' usata +$! cern$library:kernlib/lib +$! define gksbnd sys$common:[syslib]gksforbnd.olb !DecGKS fortran interface +$! +$@definizioni ! definizioni specifiche per l'alfa eboal1 +$! +$write sys$output " linking all ..." +$ cernlib +$ link/nomap egs4_cyl_2,init_cyl_2,yaps,- + histin_cyl_2,source_cyl_2,- + guarda_2,- + howfar_cyl_2a,howyaps,ausgabe_cyl_2,- + statend_cyl_2,- + egs4funl,- + petgraf.obj, - + 'lib$',- + cern:[pro.lib]packlib/lib,graflib/lib,grafx11/lib,grafx11/opt,- + packlib/lib,kernlib/lib +$! +$! pgsub,- old graph. interfaces to highz +$! +$ write sys$output " done ..." +$ dir *.exe +$ exit +$! +$! --------------- sotto il link usato per bofi04 : DEC VMS : +$ link/nomap egs4_cyl_2,init_cyl_2,yaps,- + histin_cyl_2,source_cyl_2,- + guarda_2,- + howfar_cyl_2a,howyaps,ausgabe_cyl_2,- + statend_cyl_2,- + egs4funl,- + pgsub,pglib/lib, - + gksbnd/lib, - + 'lib$' + +$ write sys$output " done ..." +$ dir *.exe diff --git a/code/procedures/run_cyl_2.com b/code/procedures/run_cyl_2.com new file mode 100644 index 0000000..27fff25 --- /dev/null +++ b/code/procedures/run_cyl_2.com @@ -0,0 +1,48 @@ +$Wr:=write sys$output +$!wr " running egs4 cyl. geometry, IF P2.EQS. PATHS prints particles path" +$wr " IF P1.eqs. "" "" nodebug" +$WR " version egs4_cyl_2 including interactive plotting" +$wr " ed n-tuple e rivelatori " +$! +$ if P1 .eqs. "" +$then +$ P1="NODEBUG" +$else +$ P1="DEBUG" +$endif +$ write sys$output " now running egs4_cyl_2 ..." +$!define materiali bofi04$dkb100:[galli.egs4]mat3mev.dat ! definito a parte +$! per l'alfa +$! in definizioni.com +$! for005 ! terminal input +$! for006 ! terminal output +$assign/user cyl_2.dat for007 ! geometry input +$assign/user yaps.dat for013 ! geometria rivelatori +$assign/user hatch.out for008 ! egs4 mateiral out list +$assign/user hist_cyl_2.dat for009 ! histogram input +$!assign/user hist_cyl_2dum.dat for009 ! histogram input +$assign/user cyl.out for010 ! output +$! for011 ! ps metafile for plots +$assign/user materiali for012 ! mat library +$assign/user hbook.out for020 ! hbook output +$assign/user ntuple.out for021 ! hbook output +$assign/user ntupley.out for022 ! hbook output +$! +$! +$ define materiali mat3mev.dat ! materiali da pegs +$! +$! if P2 .eqs. "PATHS" +$! then +$ assign/user particles.out for050 ! particle's path (for test) +$! else +$! assign/user nl: for050 ! no particle's path +$! endif +$assign/user sys$command sys$input ! user input from terminal +$run/'P1' egs4_cyl_2 +$write sys$output " run ended ......" +$write sys$output " printed output in: CYL.OUT " +$write sys$output " hatch output in: HATCH.OUT " +$write sys$output " HBOOK output in: HBOOK.OUT " +$write sys$output " Ntuple output in: ntuple.OUT " +$if P2.eqs."PATHS" then write sys$output " particles paths in: PARTICLES.OUT " +$exit diff --git a/code/procedures/run_cyl_2_y.com b/code/procedures/run_cyl_2_y.com new file mode 100644 index 0000000..a1dacbc --- /dev/null +++ b/code/procedures/run_cyl_2_y.com @@ -0,0 +1,44 @@ +$! caso con 6 yaps di 4.2*4.2*2cm attorno a cilindretto di r=2cm +$! ed Al di 0.5cm +$! +$Wr:=write sys$output +$!wr " running egs4 cyl. geometry, IF P2.EQS. PATHS prints particles path" +$wr " IF P1.eqs. "" "" nodebug" +$WR " version egs4_cyl_2 including interactive plotting" +$wr " ed n-tuple e rivelatori " +$! +$ if P1 .eqs. "" +$then +$ P1="NODEBUG" +$else +$ P1="DEBUG" +$endif +$ write sys$output " now running egs4_cyl_2 ..." +$define materiali mat3mev.dat +$! for005 ! terminal input +$! for006 ! terminal output +$assign/user cyl_2_y.dat for007 ! geometry input +$assign/user yaps_y.dat for013 ! geometria rivelatori +$assign/user hatch.out for008 ! egs4 mateiral out list +$assign/user hist_cyl_2_y.dat for009 ! histogram input +$assign/user cyl_y.out for010 ! output +$! for011 ! ps metafile for plots +$assign/user materiali for012 ! mat library +$assign/user hbook_y.out for020 ! hbook output +$assign/user ntuple_y.out for021 ! hbook output +$assign/user ntupley_y.out for022 ! hbook output +$! if P2 .eqs. "PATHS" +$! then +$ assign/user particles.out for050 ! particle's path (for test) +$! else +$! assign/user nl: for050 ! no particle's path +$! endif +$assign/user sys$command sys$input ! user input from terminal +$run/'P1' egs4_cyl_2 +$write sys$output " run ended ......" +$write sys$output " printed output in: CYL.OUT " +$write sys$output " hatch output in: HATCH.OUT " +$write sys$output " HBOOK output in: HBOOK.OUT " +$write sys$output " Ntuple output in: ntuple.OUT " +$if P2.eqs."PATHS" then write sys$output " particles paths in: PARTICLES.OUT " +$exit diff --git a/code/procedures/setdisplay.com b/code/procedures/setdisplay.com new file mode 100644 index 0000000..a2c46e9 --- /dev/null +++ b/code/procedures/setdisplay.com @@ -0,0 +1 @@ +$set display/create/node='p1'/transport=tcpip/server=0 diff --git a/code/source/000readme.txt b/code/source/000readme.txt new file mode 100644 index 0000000..87e813b --- /dev/null +++ b/code/source/000readme.txt @@ -0,0 +1,12 @@ + Grafica associata al egs4 cilindrico per PET - version 24-1-1994 + + main.for: test grafico + petgradef.for file included in main.for + + link.com istruzioni per il link + + petsub.for librerie grafiche di Ferriani + petsub.obj + + petgracom.for file included in petsub.for + diff --git a/code/source/ausgabe_cyl_2.for b/code/source/ausgabe_cyl_2.for new file mode 100644 index 0000000..39771e0 --- /dev/null +++ b/code/source/ausgabe_cyl_2.for @@ -0,0 +1,279 @@ +c +C FILE AUSGABE_CYL_2.FOR del 6-sept-1994 + SUBROUTINE AUSGAB(IARG) +C-----------------------------------------------------------C +C POSITRONS , CYL GEOMETRY +C version 9-may-1994 : added tuple delle posizioni di decay del +C positrone +C-----------------------------------------------------------C + INCLUDE 'COMM_CYLtot_2.FOR' + include 'comm_yaps.for' +C-----------------------------------------------------------C + DIMENSION TUPLA(3) + dimension tuplay(16) +c +c per le sommatorie delle energie perse nei yaps +c da mettere nelle n-tuple dei yaps +c parameter mypmax max num yaps, fissato da comm_yaps.for + dimension xmedio(mypmax),ymedio(mypmax),zmedio(mypmax) + dimension x2medio(mypmax),y2medio(mypmax),z2medio(mypmax) + dimension energiag(mypmax),energiae(mypmax),energiap(mypmax) + dimension energia(mypmax),numero(mypmax) + dimension numeroe(mypmax),numerop(mypmax),numerog(mypmax) +c +C ----------------------------------------------------- +C +C Calls for Positron annih, iarg=13,14 ( iausfl(14,15)=1 ) +C Chiamata da electr dopo decaduto il positrone +C per vedere dove decade, ( posizione dei gamma ) +C l'angolo fra i gamma ed altro +C + IF(IARG.EQ.13.OR.IARG.EQ.14) THEN +C +C Posizione in cui avviene il decadimento +C .......... --------------------------------------- .... + RADPAR=SQRT(X(NP)*X(NP) + Y(NP)*Y(NP)) +C ......... X-Y DISTRIBUTION ov decay points + CALL HFILL(400,X(NP),Y(NP),1.) +C ...........Z-R DISTRIBUTION OF DECAY POINTS + CALL HFILL(401,RADPAR,Z(NP),1.) +C ........... tuple x,y,z di decay del positrone + IF(N51DECAY.GT.0) THEN + TUPLA(1)=X(NP) + TUPLA(2)=Y(NP) + TUPLA(3)=Z(NP) + if(noutflag3.gt.0) CALL HFN(800,TUPLA) + ENDIF +C +C ........... Angolo fra i due gamma ............... +C ---------------------- +C + NP1=NP-1 + NP2=NP +C +C ............ Normalizzo i coseni direttori dei 2 gamma + ANORM=1./SQRT(U(NP1)*U(NP1)+V(NP1)*V(NP1)+W(NP1)*W(NP1)) + UN1=U(NP1)*ANORM + VN1=V(NP1)*ANORM + WN1=W(NP1)*ANORM + ANORM=1./SQRT(U(NP2)*U(NP2)+V(NP2)*V(NP2)+W(NP2)*W(NP2)) + UN2=U(NP2)*ANORM + VN2=V(NP2)*ANORM + WN2=W(NP2)*ANORM +C ............ angolo fra i 2 gamma + ANGLE=UN1*UN2+VN1*VN2+WN1*WN2 +C Correction for precision problems .. argument to acos >1 +C IF(ABS(ANGLE).GT.1.) TYPE*,' ACOS ARG=',ANGLE + IF(ABS(ANGLE).GT.1.) ANGLE=SIGN(1.,ANGLE) + ANGLE=ACOS(ANGLE) +C + CALL HFILL(501,ANGLE,0.,1.) +C + RETURN + ENDIF +C +C-----------------------------------------------------------C +C Other calls to ausgabe: +C KEEP A RUNNING SUM OF THE ENERGY DEPOSITED IN EACH REGION +C PRINT OUT THE FIRST NLINES OF STACK INFORMATION, ETC. +C-----------------------------------------------------------C +C +C--------------STATISTICS OF REGIONS ( common /epersa/ ) +c energia persa nelle regioni +C + i=ir(np) ! numero della regione + iyap=ir(np)-ncyl-1 ! numero del rivelatore + if(nyp.gt.0.and.iyap.gt.0.and.iyap.le.nyp) then ! se e' un yap + call inyapsys(iyap,x(np),y(np),z(np),xnelyap,ynelyap,znelyap) +c mi calcolo le coordinate della particella nel sistema del yap + endif +c + ESUM(I)=ESUM(I) + EDEP ! total lost energy +c energia persa divisa per particelle + if(iq(np).eq.0) then + esumgam(IR(NP)) = esumgam(IR(NP)) + EDEP ! gamma + else IF (IQ(NP).EQ.-1) then + esumele(IR(NP)) = esumele(IR(NP)) + EDEP ! elettroni + else IF (IQ(NP).EQ. 1) then + esumpos(IR(NP)) = esumpos(IR(NP)) + EDEP ! positroni + endif +c + dexm(i)=dexm(i)+edep*x(np) ! posizione media + deym(i)=deym(i)+edep*y(np) + dezm(i)=dezm(i)+edep*z(np) +c + if(iarg.gt.0.and.iarg.le.4) then ! assorbimenti + numerotot(i)=numerotot(i)+1 + if(iq(np).eq.0) then + numerogam(i)=numerogam(i)+1 + else if(iq(np).eq.1) then + numeropos(i)=numeropos(i)+1 + else if(iq(np).eq.-1) then + numeroele(i)=numeroele(i)+1 + endif + endif +c + if(nyp.gt.0.and.iyap.gt.0.and.iyap.le.nyp) then ! se e' un yap + dexmypi(iyap)=dexmypi(iyap)+edep*xnelyap ! posizione media + deymypi(iyap)=deymypi(iyap)+edep*ynelyap ! nel sistema di coord + dezmypi(iyap)=dezmypi(iyap)+edep*znelyap ! del rivelatore + desxmypi(iyap)=desxmypi(iyap)+edep*xnelyap**2 ! media di x**2 + desymypi(iyap)=desymypi(iyap)+edep*ynelyap**2 ! nel rivelatore + deszmypi(iyap)=deszmypi(iyap)+edep*znelyap**2 + if(iq(np).eq.0) then + dexmypig(iyap)=dexmypig(iyap)+edep*xnelyap ! gamma + deymypig(iyap)=deymypig(iyap)+edep*ynelyap + dezmypig(iyap)=dezmypig(iyap)+edep*znelyap + desxmypig(iyap)=desxmypig(iyap)+edep*xnelyap**2 + desymypig(iyap)=desymypig(iyap)+edep*ynelyap**2 + deszmypig(iyap)=deszmypig(iyap)+edep*znelyap**2 + else if(iq(np).eq.1) then + dexmypip(iyap)=dexmypip(iyap)+edep*xnelyap ! positrons + deymypip(iyap)=deymypip(iyap)+edep*ynelyap + dezmypip(iyap)=dezmypip(iyap)+edep*znelyap + desxmypip(iyap)=desxmypip(iyap)+edep*xnelyap**2 + desymypip(iyap)=desymypip(iyap)+edep*ynelyap**2 + deszmypip(iyap)=deszmypip(iyap)+edep*znelyap**2 + else if(iq(np).eq.-1) then + dexmypie(iyap)=dexmypie(iyap)+edep*xnelyap ! electrons + deymypie(iyap)=deymypie(iyap)+edep*ynelyap + dezmypie(iyap)=dezmypie(iyap)+edep*znelyap + desxmypie(iyap)=desxmypie(iyap)+edep*xnelyap**2 + desymypie(iyap)=desymypie(iyap)+edep*ynelyap**2 + deszmypie(iyap)=deszmypie(iyap)+edep*znelyap**2 + endif + endif +C +c +C-----------------------------------------------------------C +C +C FILL HISTOGRAMS --------------C +C + RADPAR = SQRT(Y(NP)*Y(NP)+X(NP)*X(NP)) +C + CALL HFILL(700,RADPAR,Z(NP),EDEP) ! distr. r-z + CALL HFILL(701,X(NP),Y(NP),EDEP) ! x-y distr. +C + if(nyp.gt.0.and.iyap.gt.0.and.iyap.le.nyp) then ! distr dei yaps + CALL HFILL(100+IR(NP),xnelyap,0.,EDEP) ! profondita' nel yap + call hfill(200+ir(np),ynelyap,znelyap,edep) ! hist. nelle yap coord.y-z + else + CALL HFILL(100+IR(NP),Z(NP),0.,EDEP) ! z distr. per regione + CALL HFILL(200+IR(NP),X(NP),Y(NP),EDEP) ! xy distr. per reg. + endif +C-----------------------------------------------------------C + if(noutflag4.gt.0.and.nyp.gt.0.and.iyap.gt.0.and. + 1 iyap.le.nyp) then ! se e' yap, ci sono i yap e voglio n-tuple +c + xmedio(iyap)=xmedio(iyap)+edep*xnelyap + ymedio(iyap)=ymedio(iyap)+edep*ynelyap + zmedio(iyap)=zmedio(iyap)+edep*znelyap + x2medio(iyap)=x2medio(iyap)+edep*xnelyap**2 + y2medio(iyap)=y2medio(iyap)+edep*ynelyap**2 + z2medio(iyap)=z2medio(iyap)+edep*znelyap**2 +c + energia(iyap)=energia(iyap)+edep + if(iq(np).eq.-1) then + energiae(iyap)=energiae(iyap)+edep + else if(iq(np).eq.1) then + energiap(iyap)=energiap(iyap)+edep + else if(iq(np).eq.0) then + energiag(iyap)=energiag(iyap)+edep + endif +c + if(iarg.gt.0.and.iarg.le.4) then + numero(iyap)=numero(iyap)+1 + if(iq(np).eq.-1) then + numeroe(iyap)=numeroe(iyap)+1 + else if(iq(np).eq.1) then + numerop(iyap)=numerop(iyap)+1 + else if(iq(np).eq.0) then + numerog(iyap)=numerog(iyap)+1 + endif + endif + endif ! se si fanno le n-tuple dei rivelatori +c +C + RETURN +c + entry ausgabzero +c ------------------------------ +c azzera i dati per le n-tuple +c ------------------------------ +c + do 60 i=1,nyp + xmedio(i)=0.0 + ymedio(i)=0.0 + zmedio(i)=0.0 + x2medio(i)=0.0 + y2medio(i)=0.0 + z2medio(i)=0.0 + energia(i)=0.0 + energiae(i)=0.0 + energiap(i)=0.0 + energiag(i)=0.0 + numero(i)=0 + numeroe(i)=0 + numerop(i)=0 + numerog(i)=0 + 60 continue +c + return +c + entry ausgabhfn +c ------------------------------ +c riempie il file delle n-tuple, poi +c azzera i dati per le n-tuple +c ------------------------------ +c + do 70 i=1,nyp + if(energia(i).ne.0.0) then + energiadii=energia(i) + else + energiadii=1. + endif + tuplay(1)=ishower + tuplay(2)=i +c + tuplay(3)=xmedio(i)/energiadii + tuplay(4)=ymedio(i)/energiadii + tuplay(5)=zmedio(i)/energiadii +c + tuplay(6)=x2medio(i)/energiadii-tuplay(3)**2 + tuplay(7)=y2medio(i)/energiadii-tuplay(4)**2 + tuplay(8)=z2medio(i)/energiadii-tuplay(5)**2 +c + if(tuplay(6).gt.0) tuplay(6)=sqrt(tuplay(6)) + if(tuplay(7).gt.0) tuplay(7)=sqrt(tuplay(7)) + if(tuplay(8).gt.0) tuplay(8)=sqrt(tuplay(8)) +c + tuplay(9)=energia(i) + tuplay(10)=energiap(i) + tuplay(11)=energiae(i) + tuplay(12)=energiag(i) +c + tuplay(13)=numero(i) + tuplay(14)=numerop(i) + tuplay(15)=numeroe(i) + tuplay(16)=numerog(i) +c + call hfn(801,tuplay) ! stores n-tuples +c + xmedio(i)=0.0 ! zero shower array + ymedio(i)=0.0 + zmedio(i)=0.0 + x2medio(i)=0.0 + y2medio(i)=0.0 + z2medio(i)=0.0 + energia(i)=0.0 + energiae(i)=0.0 + energiap(i)=0.0 + energiag(i)=0.0 + numero(i)=0 + numeroe(i)=0 + numerop(i)=0 + numerog(i)=0 + 70 continue + return +c + END diff --git a/code/source/comm_cyl_2.for b/code/source/comm_cyl_2.for new file mode 100644 index 0000000..7877f35 --- /dev/null +++ b/code/source/comm_cyl_2.for @@ -0,0 +1,18 @@ +C------------------------------------------------------------------C +C comm_cyl_2.for version 26-7-1994 : +C ADDED N11INTER, N50PATHS, N51DECAY +C COMMONS FOR EGS4, CYL VERSION, +C from comm_cyl.for : commons for egs4 cyl, +C only /cylgeom/ and /iounit/ to be included in petgra routines +C------------------------------------------------------------------C + PARAMETER MXCYL=99 + integer traccia + COMMON /CYLGEOM/ NCYL,RTHICK(MXCYL),RCYL(MXCYL), + 1 RCYLSQ(MXCYL),INDMAT(99),ZMAX + 2 ,traccia +C + integer hislun,HISLUN1,HISLUNY + COMMON /IOUNIT/ LTYIN,LTYOUT,LTYFIL,LTYHIS,LTYDAT + A, HISLUN,metalun,N11INTER,N50PATHS,N51DECAY + B, hislun1,HISLUNY,n13 +C diff --git a/code/source/comm_cyltot_2.for b/code/source/comm_cyltot_2.for new file mode 100644 index 0000000..350d4e7 --- /dev/null +++ b/code/source/comm_cyltot_2.for @@ -0,0 +1,121 @@ +C FILE COMM_CYLTOT_2.FOR - data: 5-aug-1994 +C------------------------------------------------------------------C +C COMMONS FOR EGS4, CYL VERSION, TUTTI I COMMON, +C ESISTE UN FILE COMM_CYL_2 CON SOLO /IOUNIT/ E CYL +C last version 11-may-1994 : pramettrizzato il paw space /pawc/ +C AGGIUNTO OUTFLAG1,outflag2, outflag3 +c 6-may-1994 : added output flags to /iounit/ +C------------------------------------------------------------------C +C + CHARACTER * 4 MEDIA +C +C ------- COMMONS NEEDED --------------------------------------C +C + COMMON /RANDOM / IXX + COMMON /BOUNDS / ECUT(200),PCUT(200),VACDST + COMMON /MEDIA / NMED,RLC(10),RLDU(10),RHO(10) + A ,MSGE(10),MGE(10),MSEKE(10),MEKE(10) + B ,MLEKE(10),MCMFP(10),MRANGE(10),IRAYLM(10) + COMMON /MISC / KMPI,KMPO,DUNIT,NOSCAT,MED(200) + A ,RHOR(200),IRAYLR(200) + COMMON /MEDIAC / MEDIA(24,10) + COMMON /TXDATA / ISHOWER,IQI,EI,XI,YI,ZI,UI,VI,WI,IRI,WTI, + A EVKIN,ICODE,ISQGAM +C--- + COMMON /USEFUL / PZERO,PRM,PRMT2,RM,MEDIUM,MEDOLD,IBLOBE +C-- + PARAMETER MXCYL=99 ! numero max di cilindri + integer traccia + COMMON /CYLGEOM/ NCYL,RTHICK(MXCYL),RCYL(MXCYL), + 1 RCYLSQ(MXCYL),ZMAX,traccia +C------------------------------------------------------------------C +c profile contiene le energie perse nelle varie zone +c ora e' inutilizzato , questo e total sono rimpiazzati +c dal common epersa +c COMMON/PROFILE/DEODX(mxreg), +c A DEXRY(mxreg) ,DEDEL(mxreg) ,DEPRI(mxreg) +c A TOTODX(mxreg), +c A TOTXRY(mxreg),TOTDEL(mxreg),TOTPRI(mxreg), +c common/totals/ ESUM(mxreg), +C ----------------------------------------------------------- + parameter mxreg=99 ! numero max di regioni + common /epersa/ numerotot(mxreg), + 1 numeropos(mxreg),numeroele(mxreg),numerogam(mxreg), + 2 esum(mxreg), + 3 esumpos(mxreg),esumele(mxreg),esumgam(mxreg), + 4 dexm(mxreg),deym(mxreg),dezm(mxreg), + 4 dexme(mxreg),deyme(mxreg),dezme(mxreg), + 4 dexmp(mxreg),deymp(mxreg),dezmp(mxreg), + 4 dexmg(mxreg),deymg(mxreg),dezmg(mxreg), + 5 dexmypi(mxreg),deymypi(mxreg),dezmypi(mxreg), + 5 dexmypip(mxreg),deymypip(mxreg),dezmypip(mxreg), + 5 dexmypie(mxreg),deymypie(mxreg),dezmypie(mxreg), + 5 dexmypig(mxreg),deymypig(mxreg),dezmypig(mxreg), + 6 desxmypi(mxreg),desymypi(mxreg),deszmypi(mxreg), + 6 desxmypip(mxreg),desymypip(mxreg),deszmypip(mxreg), + 6 desxmypie(mxreg),desymypie(mxreg),deszmypie(mxreg), + 6 desxmypig(mxreg),desymypig(mxreg),deszmypig(mxreg) +c ------------------------------------------------- +c numerotot = numero totale di particelle prodotte +c numeropos,ele,gam = num. di positroni, elettroni,gamma +c esum= energia tot persa nelle zone +c esumele,pos,gam = energia persa da elettroni, positroni e gamma +c dexm,ym,zm= sum( x * de )= x medio di e persa +c dexme , p, g = stesso separato per elett. pos. e gamma. +c dexmypi = per ogni yap, nel suo sistema di riferimento. +c dexmypi e,p g = separapo per e+,e-,gamma +c desxmypi = media di x**2 pesata su e, per i yap , nel loro sistema +c desxmypi = separato per e+,e-, gamma +c ------------------------------------------------------ + + COMMON /RUNPAR / NCASES,ITCTX,TOTKE,TOTEI,EKIN,NREG,INIREG + A ,ICHAR +C-- +C + COMMON /IOUNIT/ LTYIN,LTYOUT,LTYFIL,LTYHIS,LTYDAT + A, HISLUN,metalun,n11inter,n50paths,n51decay + B, Hislun1,HISLUNY,n13 + INTEGER LTYIN,LTYOUT,LTYFIL,LTYHIS,LTYDAT,HISLUN,metalun + INTEGER HISLUN1,HISLUNY +C +C +C------------------------------------------------------------------C +C + COMMON/STACK/E(40),X(40),Y(40),Z(40),U(40),V(40),W(40), + A DNEAR(40),WT(40),IQ(40),IR(40),NP +C------------------------------------------------------------------C + COMMON/EPCONT/EDEP,TSTEP,TUSTEP,USTEP,TVSTEP,VSTEP,IDISC,IROLD + *,IRNEW,RHOF,EOLD,ENEW,EKE,ELKE,BETA2,BETA,GLE,TSCAT,IAUSFL(25) +C------------------------------------------------------------------C + DOUBLE PRECISION E,EDEP,ESUM + DOUBLE PRECISION PZERO,PRM,PRMT2 +C-----BLANK COMMON FOR HBOOK4 + PAW -------------------------------C +C +C + COMMON HMEMOR (40000 ) + parameter mspazio_paw=1000000 + COMMON /PAWC/ PAWCSPACE(mspazio_paw) +C +C------------------------------------------------------------------C +C common con varie quantita' +C iciclo e' per ogni ist. numero restiruito da hrout +C direttorio il nome del direttorio degli istogrammi +C direttorio1 il nome del direttorio delle N-TUPLE +C iseed il seed iniziale del random number generator +C dello shower corrente. +C istseed in numero dello shower iniziale (se .ne.0 ) +C istartseed il seed dello shower iniziale (if .ne.0) + CHARACTER*8 DIRETTORIO,DIRETTORIO1,DIRETTORIOY + PARAMETER MISTOGRAMMI=1000 + COMMON/VARI/ICICLO(MISTOGRAMMI), + 1 ISEED,ISTSEED,ISTARTSEED, + 2 NOUTFLAG1,NOUTFLAG2,NOUTFLAG3, + 3 NOUTFLAG4,NOUTFLAG5,NOUTFLAG6, + 4 DIRETTORIO,DIRETTORIO1,DIRETTORIOY + +C ---------------------------------------------- +C------------------------------------------------------------------C +C common per vettore identificativi istogrammi da plottare +C + common /pethist/ idhist(4),nhisto +C diff --git a/code/source/comm_yaps.for b/code/source/comm_yaps.for new file mode 100644 index 0000000..5114974 --- /dev/null +++ b/code/source/comm_yaps.for @@ -0,0 +1,71 @@ +C version 5-aug-1994 file comm_yaps.for +C -------------------------------- common / YAPS / ---------------------- +C IL COMMON /YAPS/ descrive il rivelatore, composto di cristalli +C a forma di piastrelle, posti attorno all'asse del cilindro che +c rappresenta l'oggetto da misurare. +c Questi sono identificati da: distanza del centro del cristallo +c dal centro del sistema +c : dimensioni x,y,z del rivelatore con: +C z : direzione lungo asse cilindro +c y : direzione tangente al cilindro +c x : direzione radiale (spessore del rivelatore) +C Il common contiene anche tutte le grandezze relative alla geometria +c del rivelatore usate dal programma. +c +c +c ---------------------------------------------- +c nypmax= parameter mypmax =numero massimo di rivelatori +C nyp = numero di rivelatori +c ryp = raggio anello di rivelatori +c ( distanza centro-sistema - centro faccia interna del rivelatore ) +c zyp = posizione z anello di rivelatori +c dxyp, dyyp , dzyp = semidimensioni del rivelatore: +c +c rypi,zypi,dxpi,dyyp,dzyp: stesso per i singoli rivelatori, +c in input vengono letti ryp,zyp ... ma nel programma +c vengono sempre usati rypi,zypi ... in modo da contemplare +C il caso ( ancora non completamente implementato) che +c non siano disposti su un anello +c angypi : per ogni cristallo: angolo fra asse x e retta fra centro +c e centro del rivelatore , antiorario. +c x0ypi,y0ypi,z0ypi: posizione del centro del rivelatore, +c xorigypi,yorigypi,zorigypi: posizione del centro del cilindro +C nel sistema del rivelatore +c trasf(3,3,i): matrice di trasformazione alle coordinate del +c rivelatore i +c rultimo : limite r oltre cui le particelle sono perse +C zultimo : limite z oltre cui le particelle sono perse +c rultimosq=rultimo**2 (in common per non ricalcolarlo sempre) +c upperlimite = un limite sup per le dimensioni del sistema +c ( serve in howyaps , qui per non ricalcolarlo sempre) +c rultimo e zultimo li calcola read_yaps, upperlimite anche +c +c angcol = angolo di incidenza max ammesso (collimatore geometrico) +c Se <=0 il collimatore non c'e'. +c angcoldar = angcol in radianti +c escono =0 se c'e' il collimatore le particelle che escono dal +c rivelatore verso il collimatore ( faccia +x ) sono +c fatte assorbire dal collimatore, le altre sono perse +c Se il collimatore non c'e' restano nel sistema +c <0 le particelle che escono dal rivelatore restano nel sistema +c >0 le particelle che escono dal rivelatore vanno nella +c zona collimatore ( anche se non c'e' il collimatore) +c +c ---------------------------------------------- + + parameter mypmax=20 ! numero massimo di rivelatori + data nypmax/mypmax/ + common/yaps/nypmax,nyp,ryp,zyp,dxyp,dyyp,dzyp, + 1 rypi(mypmax),zypi(mypmax),angypi(mypmax), + 2 dxypi(mypmax),dyypi(mypmax),dzypi(mypmax), + 3 x0ypi(mypmax),y0ypi(mypmax),z0ypi(mypmax), + 4 xorigypi(mypmax),yorigypi(mypmax),zorigypi(mypmax), + 5 trasf(3,3,mypmax),rultimo,zultimo,rultimosq, + 6 upperlimite, + 7 angcol,angcolrad,escono +C --------------------------fine common / YAPS / ---------------------- +c common /yapscorr/ con alcuni dati sulla particella nel yap +c + common/yapscorr/xnelcyl,ynelcyl,znelcyl,xnelyap,ynelyap,znelyap, + 1 angcosvd,numeroyap,numfaccia +c ------------------------------------------------------------------ diff --git a/code/source/egs4_cyl_2.for b/code/source/egs4_cyl_2.for new file mode 100644 index 0000000..a75e55b --- /dev/null +++ b/code/source/egs4_cyl_2.for @@ -0,0 +1,238 @@ + PROGRAM EGS4CYL +C file EGS4_cyl_2.for del 5-sept-1994 +C...........................................................* +C update: 11-may 1995 : cliude file n-tuple +C EGS4 MAIN PROGRAM FOR CYLINDRICAL GEOMETRY * +C Modified BY M.GALLI SEPT. 1993 . +C last revision 9-nov-1993 +C MODIFIED FROM :EGS4PLAN.FOR BY D.BOLLINI - 010190 C +C-----------------------------------------------------------C +C + INCLUDE 'COMM_CYLtot_2.FOR' + include 'comm_yaps.for' +C + logical ifine/.false./ ! user given stop flag ( routine guarda) + logical aperta/.false./ ! workstation open flag (routine guarda) +C +C +C-----------------------------------------------------------C +C +C STEP 1. PRE-HATCH-CALL-INITIALIZATION +C + WRITE(LTYOUT,6075) + 6075 FORMAT(1H ,' ENTERING INIT_CYL SUBROUTINE ') +C + CALL INIT_CYL +C +C --------------------------------- + WRITE(LTYOUT,6076) + 6076 FORMAT(4x,'ENTERING READ_YAPS SUBROUTINE : detector geometry') +C + CALL READ_YAPS +C-----------------------------------------------------------C +C STEP 2. HISTOGRAM INIZIALIZATION +C + WRITE(LTYOUT,6077) + 6077 FORMAT(1H ,' ENTERING HISTIN_CYL SUBROUTINE ') +C + CALL HISTIN_CYL +C +C auxiliary call to ausgabe, before and after a call to annih +C IAUSFL(13)=1 ! not needed, e+ final position=gamma initial pos. + IAUSFL(14)=1 ! ausgabe call for positron annih. at rest + IAUSFL(15)=1 ! call per produzione di coppie +C +C-----------------------------------------------------------C +C STEP 3. HATCH-CALL +C + WRITE(LTYOUT,6080) + 6080 FORMAT(1H ,' ENTERING HATCH SUBROUTINE ') +C-- +C + CALL HATCH +C +C-----------------------------------------------------------C +C STEP 4. SHOWER-CALL +C +C ----------------------------- optional setting of +C random number generator seed, to start +C with an input-given shower +c il common/random/ixx contiene il seed corrente del +c random number generator, che e' la routine rndm (v104) del CERN +c questo generatore ha un periodo dipendente dal numero di bit della +c macchina, per macchine IBM e' circa 5.10**8 ( che puo' essere poco) +C questo common non viene mai usato, se non forse per ingannare il +c compilatore. + IF(ISTSEED.GT.0) THEN ! seed user-chosen + ixx=istartseed + else + call rdmout(ixx) ! seed chiesto al generatore stesso + endif + call rdmin(ixx) ! imposto il seed + CALL RDMOUT(ISEED) ! lo leggo per le stampe + WRITE(LTYOUT,7003) ISTSEED,ISTARTSEED,ISEED + WRITE(LTYHIS,7003) ISTSEED,ISTARTSEED,ISEED + if(noutflag5.ge.2.or.noutflag2.gt.0) + 1 WRITE(50,7003)ISTSEED,ISTARTSEED,ISEED + 7003 FORMAT(' STARTING SIMULATION FROM SHOWER:',I5, + 1 ' SEED :',I30,'=',I30) +C + STARTTIME=SECNDS(0.0) + TIME0=STARTTIME + TIMETOT=0.0 +c + if(nyp.gt.0.and.noutflag4.gt.0) call ausgabzero +c ! zero n-tuple arrays ( entry in ausgabe ) +c + DO 600 I=1,NCASES +C Ishower is in common /txdata/ to be passed to ausgab and ..? +c lo uso per numerare gli eventi quando non parto dal primo + ISHOWER=I+istseed-1 +C + CALL RDMOUT(ISEED) ! lo leggo per le stampe + if(noutflag5.ge.2.or.noutflag2.gt.0) write(50,*) + 1' ===========>> Beginning shower',Ishower,' seed:',ISEED + type*,' beginning shower',Ishower,' seed:',ISEED +C +C ----------------------- source particle + CALL SOURCE_CYL +C------------------------------------------------------------C +C + if(i.ne.1.and.itctx.ne.0.and.mod(i,itctx).eq.0. + 2 AND.N11INTER.GT.0) + 1 call guarda(ifine,aperta) ! plot interattivo +C +c --------------------------------- +C GENERATION OF AN E_M SHOWER -----------------C +C + CALL SHOWER(IQI,EI,XI,YI,ZI,UI,VI,WI,IRI,WTI) +C +C-----------------------------------------------------------C + if(nyp.gt.0.and.noutflag4.gt.0) call ausgabhfn +c ! out n-tuples of this run +C +C +C-----TIMING EVERY ITCXT EVENTS ---------------------- + IF ( ITCTX.NE.0.AND. MOD(I,ITCTX).EQ.0 ) THEN + TIME1=SECNDS(TIME0) + TIMEMED = TIME1/ITCTX + TIMETOT=TIMETOT+TIME1 + TIME0=STARTTIME+TIMETOT + WRITE(LTYOUT,6087) Ishower,TIMETOT,TIMEMED + if(noutflag5.ge.2.or.noutflag2.gt.0) + 1 WRITE(50,6087) Ishower,TIMETOT,TIMEMED + 6087 FORMAT(1X,'ENDED SHOWER =>:',I8,' time tot:',F12.3, + 1 ' shower average time:',F12.3) + ENDIF +C +C------------------------------------------------------------C + if(ifine) goto 700 ! stop flag set by user in guarda routine + 600 CONTINUE +C------------------------------------------------------------C +C END OF SHOWER-CALL LOOP +C-----------------------------------------------------------C +C +c 700 CONTINUE +c if(aperta.AND.N11INTER.GT.0) +c 1 call guarda(-1,ifine,aperta) +c +C +C PRINT HISTOGRAMS +C------ NEW HBOOK 4 FOR PAW ------------------------------C +C +700 WRITE(LTYOUT,6083) + 6083 FORMAT(1H ,' ENTERING HISTDO : print all histograms') + CALL HISTDO + call hldir(' ',' ') ! listo gli istogrammi in memoria +C +C ------------------------- output for paw on unit hislun=20 +C + write(ltyout,6084) hislun + 6084 format(' WRITING HISTOGRAMS ON UNIT HISLUN ,'I3,'.....') +C + CALL HCDIR(DIRETTORIO,' ') ! punto al file +C + DO 90 I=1,nreg ! nreg=nyp+ncyl+2 set by read_yaps + identif=100+i + write(ltyout,6086) identif + 6086 format(' writing histogram:',I10,' .....') + CALL HROUT(100+I,ICICLO(100+I),' ') ! riempio 1 dim r + identif=200+i + write(ltyout,6086) identif + CALL HROUT(200+I,ICICLO(100+I),' ') ! riempio 2 dim x-y + 90 CONTINUE + identif=501 + write(ltyout,6086) identif + CALL HROUT(501,ICICLO(501),' ') ! riempio 1 dim amgolo gamma dec. +C + identif=700 + write(ltyout,6086) identif + CALL HROUT(700,ICICLO(700),' ') ! 2 dim r-z + identif=701 + write(ltyout,6086) identif + CALL HROUT(701,ICICLO(701),' ') ! 2 dim x-y + identif=400 + write(ltyout,6086) identif + CALL HROUT(400,ICICLO(400),' ') ! riempio 2 dim x-y decay points + identif=401 + write(ltyout,6086) identif + CALL HROUT(401,ICICLO(401),' ') ! riempio 2 dim r-z decay points +C +c if(noutflag3.gt.0) then +c identif=800 +c write(ltyout,6086) identif +c CALL HROUT(800,ICICLO(800),' ') ! 3-tuple x,y,z di decadimento +c endif +C + CALL HLDIR(DIRETTORIO,' ') ! listo contenuto +C chiudo i files GZ per PAW + CALL HREND(DIRETTORIO) ! istogrammi + CLOSE(UNIT=HISLUN) + write(ltyout,6088) hislun + 6088 format(' chiso il file hislun=',I3) + +c +C ------------------------- output for paw on unit hislun1=51 + if(noutflag3.gt.0) then + write(ltyout,6090) HISLUN1 + 6090 format(' WRITING N-TUPLE ON FILE HISLUN1 ',I3,'...') +C + CALL HCDIR(DIRETTORIO1,' ') ! punto al file colle ntuple +C + identif=800 + write(ltyout,6092) IDENTIF + 6092 FORMAT(' writing n-tuple ',I5) + CALL HROUT(800,ICICLO(800),' ') ! 3-tuple x,y,z di decadimento + CALL HLDIR(DIRETTORIO1,' ') ! listo contenuto file n-tuple + CALL HREND(DIRETTORIO1) ! n-tuple + CLOSE(UNIT=HISLUN1) + write(ltyout,6094) hislun1 + 6094 format(' chiso il file hislun1=',I3) + ENDIF +C ------------------------- output for paw on unit hisluny=52 per yaps + if(noutflag4.gt.0.and.nyp.gt.0) then + write(ltyout,6096) HISLUNy + 6096 format(' WRITING N-TUPLE ON FILE HISLUNy ',I3,'...') +C + CALL HCDIR(DIRETTORIOy,' ') ! punto al file colle ntuple +C + identif=801 + write(ltyout,6092) IDENTIF + CALL HROUT(801,ICICLO(801),' ') ! yap interactions + CALL HLDIR(DIRETTORIOy,' ') ! listo contenuto file n-tuple + CALL HREND(DIRETTORIOy) ! n-tuple + CLOSE(UNIT=HISLUNY) + write(ltyout,6098) hisluny + 6098 format(' chiso il file hisluny=',I3) + ENDIF +C +C PRINT FINAL STATISTICS ---------------------------C +C + WRITE(LTYOUT,6085) + 6085 FORMAT(1H ,' ENTERING STATEND_CYL SUBROUTINE ') + CALL STATEND_CYL +C +C-----------------------------------------------------------C + STOP +C-----------------------------------------------------C + END diff --git a/code/source/egs4funl.for b/code/source/egs4funl.for new file mode 100644 index 0000000..4e72042 --- /dev/null +++ b/code/source/egs4funl.for @@ -0,0 +1,2680 @@ + SUBROUTINE EGS4T ( LUN) +C. +C. +C. ****************************************************************** +C. * * +C. * * +C. * PRINT PAM TITLE ON LUN * +C. * * +C. * * +C. ****************************************************************** +C. + WRITE (LUN,1000) + RETURN + 1000 FORMAT (2H ,' PACKAGE COMPILED FROM PAM FILE= ',/, + + 2H ,' EGS4CR 2.00 850503 15.00 ',//) + END + SUBROUTINE EGS4BD +C VERSION 4.XX -- 22 FEB 1985/0000 +C ****************************************************************** +C COPYRIGHT (C) BY THE BOARD OF TRUSTEES OF THE LELAND STANFORD +C JUNIOR UNIVERSITY, 1985. ALL RIGHTS RESERVED. +C ****************************************************************** +C +C AUTHORS: WALTER R. NELSON +C RADIATION PHYSICS GROUP +C STANFORD LINEAR ACCELERATOR CENTER +C STANFORD, CA 94305 +C U.S.A. +C +C HIDEO HIRAYAMA +C NATIONAL LABORATORY FOR HIGH ENERGY PHYSICS (KEK) +C OHO-MACHI, TSUKUBA-GUN, IBARAKI, +C JAPAN +C +C DAVID W. O. ROGERS +C DIVISION OF PHYSICS +C NATIONAL RESEARCH COUNCIL OF CANADA +C OTTAWA K1A 0R6 +C CANADA +C +C ****************************************************************** + COMMON/BOUNDS/ECUT(200),PCUT(200),VACDST + COMMON/BREMPR/DL1(6,10),DL2(6,10),DL3(6,10),DL4(6,10),DL5(6,10),DL + *6(6,10),DELCM(10), ALPHI(2,10),BPAR(2,10),DELPOS(2,10),PWR2I(50) + COMMON/ELECIN/EKELIM,ICOMP,EKE0(10),EKE1(10),CMFP0(10),CMFP1(10),R + *ANGE0(10),RANGE1(10), XR0(10),TEFF0(10),BLCC(10),XCC(10),PICMP0(1, + *10),PICMP1(1,10),EICMP0(1,10),EICMP1(1,10),MPEEM(1,10), ESIG0(150, + *10),ESIG1(150,10),PSIG0(150,10),PSIG1(150,10),EDEDX0(150,10),EDEDX + *1(150,10),PDEDX0(150,10),PDEDX1(150,10),EBR10(150,10),EBR11(150,10 + *),PBR10(150,10),PBR11(150,10),PBR20(150,10),PBR21(150,10),TMXS0(15 + *0,10),TMXS1(150,10),CMFPE0(1,10),CMFPE1(1,10),CMFPP0(1,10),CMFPP1( + *1,10),ERANG0(1,10),ERANG1(1,10),PRANG0(1,10),PRANG1(1,10),CXC2E0(1 + *,10),CXC2E1(1,10),CXC2P0(1,10),CXC2P1(1,10),CLXAE0(1,10),CLXAE1(1, + *10),CLXAP0(1,10),CLXAP1(1,10), THR0(1,1),THR1(1,1),THR2(1,1),THRI0 + *(1,1),THRI1(1,1),THRI2(1,1),FSTEP(16),FSQR(16),MSMAP(200), VERT1(1 + *000),VERT2(100,16),MSTEPS,JRMAX,MXV1, MXV2,NBLC,NRNTH,NRNTHI,BLC0, + *BLC1,RTHR0,RTHR1,RTHRI0,RTHRI1 + COMMON/EPCONT/EDEP,TSTEP,TUSTEP,USTEP,TVSTEP,VSTEP, IDISC,IROLD,IR + *NEW,RHOF,EOLD,ENEW,EKE,ELKE,BETA2,BETA, GLE,TSCAT,IAUSFL(25) + DOUBLE PRECISION EDEP + COMMON/MEDIA/NMED,RLC(10),RLDU(10),RHO(10),MSGE(10),MGE(10),MSEKE( + *10),MEKE(10),MLEKE(10),MCMFP(10),MRANGE(10),IRAYLM(10) + COMMON/MEDIAC/MEDIA(24,10) + CHARACTER*4 MEDIA + COMMON/MISC/KMPI,KMPO,DUNIT,NOSCAT,MED(200),RHOR(200),IRAYLR(200) + COMMON/MULTS/NG21,B0G21,B1G21,G210(7),G211(7),G212(7), NG22,B0G22, + *B1G22,G220(8),G221(8),G222(8), NG31,B0G31,B1G31,G310(11),G311(11), + *G312(11), NG32,B0G32,B1G32,G320(25),G321(25),G322(25), NBGB,B0BGB, + *B1BGB,BGB0(8),BGB1(8),BGB2(8) + COMMON/PATHCM/NPTH,B0PTH,B1PTH,PTH0(6),PTH1(6),PTH2(6) + COMMON/PHOTIN/EBINDA(10),GE0(10),GE1(10), MPGEM(1,10),GMFP0(200,10 + *),GMFP1(200,10),GBR10(200,10),GBR11(200,10),GBR20(200,10),GBR21(20 + *0,10), NGR(10),RCO0(10),RCO1(10),RSCT0(100, 10),RSCT1(100, 10),COH + *E0(200,10),COHE1(200,10) + COMMON/STACK/E(40),X(40),Y(40),Z(40),U(40),V(40),W(40),DNEAR(40),W + *T(40),IQ(40),IR(40),NP + DOUBLE PRECISION E + COMMON/THRESH/RMT2,RMSQ,ESCD2,AP(10),AE(10),UP(10),UE(10),TE(10),T + *HMOLL(10) + COMMON/UPHIIN/SINC0,SINC1,SIN0(1002),SIN1(1002) + COMMON/UPHIOT/THETA,SINTHE,COSTHE,SINPHI, COSPHI,PI,TWOPI,PI5D2 + COMMON/USEFUL/PZERO,PRM,PRMT2,RM,MEDIUM,MEDOLD,IBLOBE + DOUBLE PRECISION PZERO,PRM,PRMT2 +C DEFAULT REPLACEMENT PRODUCES THE FOLLOWING: +C COMIN/BOUNDS,BREMPR,ELECIN,EPCONT,MEDIA,MISC,MULTS,PATHCM,PHOTIN, +C STACK,THRESH,UPHIIN,UPHIOT,USEFUL/; +C MUST USE COMMON/RANDOM/ INSTEAD OF COMIN/RANDOM/ BECAUSE +C OF FORTRAN RESTRICTION ON 'BLOCK DATA VS. LOCAL DATA'. + COMMON/RANDOM/IXX + CHARACTER*4 MEDIA1(24) + EQUIVALENCE(MEDIA1(1),MEDIA(1,1)) +C DATA INITIALIZATION FOR THE ABOVE COMMON BLOCKS +C BOUNDS + DATA ECUT/200*0./,PCUT/200*0./,VACDST/1.E8/ +C ELECIN + DATA EKELIM/0./,ICOMP/1/ +C EPCONT + DATA IAUSFL/5*1,20*0/,RHOF/1.0/ +C MEDIA + DATA NMED/1/,MEDIA1/'N','A','I',' ',' ',' ',' ',' ',' ',' ',' ',' + *',' ',' ',' ',' ',' ',' ',' ',' ',' ',' ',' ',' '/ + DATA IRAYLM/10*0/ +C MISC + DATA KMPI/12/,KMPO/8/,DUNIT/1./,NOSCAT/0/ + DATA MED/200*1/,RHOR/200*0./,IRAYLR/200*0/ +C MULTS + DATA NG21/ 7/,B0G21/ 2.0000E+00/,B1G21/ 5.0000E+00/ + DATA G210( 1),G211( 1),G212( 1)/-9.9140E-04, 2.7672E+00,-1.1544E+0 + *0/ + DATA G210( 2),G211( 2),G212( 2)/-9.9140E-04, 2.7672E+00,-1.1544E+0 + *0/ + DATA G210( 3),G211( 3),G212( 3)/-7.1017E-02, 3.4941E+00,-3.0773E+0 + *0/ + DATA G210( 4),G211( 4),G212( 4)/-7.3556E-02, 3.5487E+00,-3.1989E+0 + *0/ + DATA G210( 5),G211( 5),G212( 5)/ 3.6658E-01, 2.1162E+00,-2.0311E+0 + *0/ + DATA G210( 6),G211( 6),G212( 6)/ 1.4498E+00,-5.9717E-01,-3.2951E-0 + *1/ + DATA G210( 7),G211( 7),G212( 7)/ 1.4498E+00,-5.9717E-01,-3.2951E-0 + *1/ + DATA NG22/ 8/,B0G22/ 2.0000E+00/,B1G22/ 6.0000E+00/ + DATA G220( 1),G221( 1),G222( 1)/-5.2593E-04, 1.4285E+00,-1.2670E+0 + *0/ + DATA G220( 2),G221( 2),G222( 2)/-5.2593E-04, 1.4285E+00,-1.2670E+0 + *0/ + DATA G220( 3),G221( 3),G222( 3)/-6.4819E-02, 2.2033E+00,-3.6399E+0 + *0/ + DATA G220( 4),G221( 4),G222( 4)/ 3.7427E-02, 1.6630E+00,-2.9362E+0 + *0/ + DATA G220( 5),G221( 5),G222( 5)/ 6.1955E-01,-6.2713E-01,-6.7859E-0 + *1/ + DATA G220( 6),G221( 6),G222( 6)/ 1.7584E+00,-4.0390E+00, 1.8810E+0 + *0/ + DATA G220( 7),G221( 7),G222( 7)/ 2.5694E+00,-6.0484E+00, 3.1256E+0 + *0/ + DATA G220( 8),G221( 8),G222( 8)/ 2.5694E+00,-6.0484E+00, 3.1256E+0 + *0/ + DATA NG31/ 11/,B0G31/ 2.0000E+00/,B1G31/ 9.0000E+00/ + DATA G310( 1),G311( 1),G312( 1)/ 4.9437E-01, 1.9124E-02, 1.8375E+0 + *0/ + DATA G310( 2),G311( 2),G312( 2)/ 4.9437E-01, 1.9124E-02, 1.8375E+0 + *0/ + DATA G310( 3),G311( 3),G312( 3)/ 5.3251E-01,-6.1555E-01, 4.5595E+0 + *0/ + DATA G310( 4),G311( 4),G312( 4)/ 6.6810E-01,-2.2056E+00, 8.9293E+0 + *0/ + DATA G310( 5),G311( 5),G312( 5)/-3.8262E+00, 2.5528E+01,-3.3862E+0 + *1/ + DATA G310( 6),G311( 6),G312( 6)/ 4.2335E+00,-1.0604E+01, 6.6702E+0 + *0/ + DATA G310( 7),G311( 7),G312( 7)/ 5.0694E+00,-1.4208E+01, 1.0456E+0 + *1/ + DATA G310( 8),G311( 8),G312( 8)/ 1.4563E+00,-3.3275E+00, 2.2601E+0 + *0/ + DATA G310( 9),G311( 9),G312( 9)/-3.2852E-01, 1.2938E+00,-7.3254E-0 + *1/ + DATA G310(10),G311(10),G312(10)/-2.2489E-01, 1.0713E+00,-6.1358E-0 + *1/ + DATA G310(11),G311(11),G312(11)/-2.2489E-01, 1.0713E+00,-6.1358E-0 + *1/ + DATA NG32/ 25/,B0G32/ 2.0000E+00/,B1G32/ 2.3000E+01/ + DATA G320( 1),G321( 1),G322( 1)/ 2.9907E-05, 4.7318E-01, 6.5921E-0 + *1/ + DATA G320( 2),G321( 2),G322( 2)/ 2.9907E-05, 4.7318E-01, 6.5921E-0 + *1/ + DATA G320( 3),G321( 3),G322( 3)/ 2.5820E-03, 3.5853E-01, 1.9776E+0 + *0/ + DATA G320( 4),G321( 4),G322( 4)/-5.3270E-03, 4.9418E-01, 1.4528E+0 + *0/ + DATA G320( 5),G321( 5),G322( 5)/-6.6341E-02, 1.4422E+00,-2.2407E+0 + *0/ + DATA G320( 6),G321( 6),G322( 6)/-3.6027E-01, 4.7190E+00,-1.1380E+0 + *1/ + DATA G320( 7),G321( 7),G322( 7)/-2.7953E+00, 2.6694E+01,-6.0986E+0 + *1/ + DATA G320( 8),G321( 8),G322( 8)/-3.6091E+00, 3.4125E+01,-7.7512E+0 + *1/ + DATA G320( 9),G321( 9),G322( 9)/ 1.2491E+01,-7.1103E+01, 9.4496E+0 + *1/ + DATA G320(10),G321(10),G322(10)/ 1.9637E+01,-1.1371E+02, 1.5794E+0 + *2/ + DATA G320(11),G321(11),G322(11)/ 2.1692E+00,-2.5019E+01, 4.5340E+0 + *1/ + DATA G320(12),G321(12),G322(12)/-1.6682E+01, 6.2067E+01,-5.5257E+0 + *1/ + DATA G320(13),G321(13),G322(13)/-2.1539E+01, 8.2651E+01,-7.7065E+0 + *1/ + DATA G320(14),G321(14),G322(14)/-1.4344E+01, 5.5193E+01,-5.0867E+0 + *1/ + DATA G320(15),G321(15),G322(15)/-5.4990E+00, 2.3874E+01,-2.3140E+0 + *1/ + DATA G320(16),G321(16),G322(16)/ 3.1029E+00,-4.4708E+00, 2.1318E-0 + *1/ + DATA G320(17),G321(17),G322(17)/ 6.0961E+00,-1.3670E+01, 7.2823E+0 + *0/ + DATA G320(18),G321(18),G322(18)/ 8.6179E+00,-2.0950E+01, 1.2536E+0 + *1/ + DATA G320(19),G321(19),G322(19)/ 7.5064E+00,-1.7956E+01, 1.0520E+0 + *1/ + DATA G320(20),G321(20),G322(20)/ 5.9838E+00,-1.4065E+01, 8.0342E+0 + *0/ + DATA G320(21),G321(21),G322(21)/ 4.4959E+00,-1.0456E+01, 5.8462E+0 + *0/ + DATA G320(22),G321(22),G322(22)/ 3.2847E+00,-7.6709E+00, 4.2445E+0 + *0/ + DATA G320(23),G321(23),G322(23)/ 1.9514E+00,-4.7505E+00, 2.6452E+0 + *0/ + DATA G320(24),G321(24),G322(24)/ 4.8808E-01,-1.6910E+00, 1.0459E+0 + *0/ + DATA G320(25),G321(25),G322(25)/ 4.8808E-01,-1.6910E+00, 1.0459E+0 + *0/ + DATA NBGB/ 8/,B0BGB/ 1.5714E+00/,B1BGB/ 2.1429E-01/ + DATA BGB0( 1),BGB1( 1),BGB2( 1)/-1.0724E+00, 2.8203E+00,-3.5669E-0 + *1/ + DATA BGB0( 2),BGB1( 2),BGB2( 2)/ 3.7136E-01, 1.4560E+00,-2.8072E-0 + *2/ + DATA BGB0( 3),BGB1( 3),BGB2( 3)/ 1.1396E+00, 1.1910E+00,-5.2070E-0 + *3/ + DATA BGB0( 4),BGB1( 4),BGB2( 4)/ 1.4908E+00, 1.1267E+00,-2.2565E-0 + *3/ + DATA BGB0( 5),BGB1( 5),BGB2( 5)/ 1.7342E+00, 1.0958E+00,-1.2705E-0 + *3/ + DATA BGB0( 6),BGB1( 6),BGB2( 6)/ 1.9233E+00, 1.0773E+00,-8.1806E-0 + *4/ + DATA BGB0( 7),BGB1( 7),BGB2( 7)/ 2.0791E+00, 1.0649E+00,-5.7197E-0 + *4/ + DATA BGB0( 8),BGB1( 8),BGB2( 8)/ 2.0791E+00, 1.0649E+00,-5.7197E-0 + *4/ + DATA NPTH/ 6/,B0PTH/ 2.0000E+00/,B1PTH/ 1.8182E+01/ + DATA PTH0( 1),PTH1( 1),PTH2( 1)/ 1.0000E+00, 9.8875E-01, 2.5026E+0 + *0/ + DATA PTH0( 2),PTH1( 2),PTH2( 2)/ 1.0000E+00, 9.8875E-01, 2.5026E+0 + *0/ + DATA PTH0( 3),PTH1( 3),PTH2( 3)/ 1.0060E+00, 7.8657E-01, 4.2387E+0 + *0/ + DATA PTH0( 4),PTH1( 4),PTH2( 4)/ 1.0657E+00,-2.5051E-01, 8.7681E+0 + *0/ + DATA PTH0( 5),PTH1( 5),PTH2( 5)/ 1.6971E+00,-7.5600E+00, 2.9946E+0 + *1/ + DATA PTH0( 6),PTH1( 6),PTH2( 6)/ 1.6971E+00,-7.5600E+00, 2.9946E+0 + *1/ +C RANDOM + DATA IXX/123456789/ +C THRESH + DATA RMT2/1.022007/,RMSQ/.2611245/ +C UPHIOT + DATA PI/3.141593/,TWOPI/6.283185/,PI5D2/7.853982/ +C USEFUL + DATA RM/.5110034/ +C-------------------------------------------------- +C + CONTINUE + RETURN +C ****************************************************************** +C ********************* END OF EGS4 BLOCK DATA ********************* +C ****************************************************************** + END + SUBROUTINE ANNIH +C VERSION 4.XX -- 7 MAR 1985/2345 +C ****************************************************************** +C GAMMA SPECTRUM FOR TWO GAMMA IN-FLIGHT POSITRON ANNIHILATION. +C USING SCHEME BASED ON HEITLER'S P269-27O FORMULAE +C THIS ROUTINE SHOULD GIVE THE CORRECT DISTRIBUTION, BUT MORE +C THOUGHT COULD BE PUT INTO DEVISING A FASTER SCHEME. HOWEVER, +C SINCE POSITRON ANNIHILATION IN FLIGHT IS RELATIVELY INFREQUENT +C THIS MAY NOT BE WORTHWHILE. +C ****************************************************************** + DOUBLE PRECISION PAVIP,PESG1,PESG2 + COMMON/QDEBUG/QDEBUG + LOGICAL QDEBUG + COMMON/STACK/E(40),X(40),Y(40),Z(40),U(40),V(40),W(40),DNEAR(40),W + *T(40),IQ(40),IR(40),NP + DOUBLE PRECISION E + COMMON/UPHIOT/THETA,SINTHE,COSTHE,SINPHI, COSPHI,PI,TWOPI,PI5D2 + COMMON/USEFUL/PZERO,PRM,PRMT2,RM,MEDIUM,MEDOLD,IBLOBE + DOUBLE PRECISION PZERO,PRM,PRMT2 + COMMON/RANDOM/IXX +C DEFAULT REPLACEMENT PRODUCES THE FOLLOWING: +C COMIN/DEBUG,STACK,UPHIOT,USEFUL,RANDOM/; + PAVIP=E(NP)+PRM +C PRECISE AVAILABLE ENERGY OF INCIDENT POSITRON + AVIP=PAVIP +C AVAILABLE ENERGY OF INCIDENT POSITRON + A=AVIP/RM + AI=1.0/A + G=A-1.0 + T=G-1.0 + P=SQRT(A*T) + POT=P/T +C SAMPLE 1/EP FROM EP=EP0 TO 1.0-EP0 + EP0=1.0/(A+P) +131 CONTINUE + RNNO01=RNDM(IXX) + EP=EP0*EXP(RNNO01*ALOG((1.0-EP0)/EP0)) +C NOW DECIDE WHETHER TO ACCEPT + RNNO02=RNDM(IXX) + REJF=1.0-EP+AI*AI*(2.0*G-1.0/EP) + IF((RNNO02.LE.REJF))GO TO132 + GO TO 131 +132 CONTINUE +C THIS COMPLETES SAMPLING OF A DISTRIBUTION WHICH IS ASYMMETRIC +C ABOUT EP=1/2, BUT WHICH WHEN SYMMETRIZED IS THE SYMMETRIC +C ANNIHILATION DISTRIBUTION. PICK EP IN (1/2,1-EP0). + EP=AMAX1(EP,1.0-EP) +C SET UP ENERGIES + ESG1=AVIP*EP +C ENERGY OF SECONDARY GAMMA 1 + PESG1=ESG1 +C PRECISE ENERGY OF SECONDARY GAMMA 1 + E(NP)=PESG1 + PESG2=PAVIP-PESG1 + E(NP+1)=PESG2 + ESG2=PESG2 + IQ(NP)=0 +C SET UP COORD FOR HIGHER ENERGY GAMMA + COSTHE=(ESG1-RM)*POT/ESG1 + SINTHE=SQRT(1.0-COSTHE*COSTHE) + CALL UPHI(2,1) +C SET UP LOWER ENERGY GAMMA + NP=NP+1 + IQ(NP)=0 + COSTHE=(ESG2-RM)*POT/ESG2 + SINTHE=-SQRT(1.0-COSTHE*COSTHE) + CALL UPHI(3,2) + RETURN +C END OF SUBROUTINE ANNIH + END + SUBROUTINE BHABHA +C VERSION 4.XX -- 7 MAR 1985/2345 +C ****************************************************************** +C DISCRETE BHABHA SCATTERING (A CALL TO THIS ROUTINE) HAS BEEN +C ARBITRARILY DEFINED AND CALCULATED TO MEAN BHABHA SCATTERINGS +C WHICH IMPART TO THE SECONDARY ELECTRON SUFFICIENT ENERGY THAT +C IT BE TRANSPORTED DISCRETELY, I.E. E=AE OR T=TE. IT IS NOT +C GUARANTEED THAT THE FINAL POSITRON WILL HAVE THIS MUCH ENERGY +C HOWEVER. THE EXACT BHABHA DIFFERENTIAL CROSS SECTION IS USED. +C ****************************************************************** + DOUBLE PRECISION PEIP,PEKSE2,PESE1,PESE2 + DOUBLE PRECISION PEKIN,H1,DCOSTH + COMMON/QDEBUG/QDEBUG + LOGICAL QDEBUG + COMMON/STACK/E(40),X(40),Y(40),Z(40),U(40),V(40),W(40),DNEAR(40),W + *T(40),IQ(40),IR(40),NP + DOUBLE PRECISION E + COMMON/THRESH/RMT2,RMSQ,ESCD2,AP(10),AE(10),UP(10),UE(10),TE(10),T + *HMOLL(10) + COMMON/UPHIOT/THETA,SINTHE,COSTHE,SINPHI, COSPHI,PI,TWOPI,PI5D2 + COMMON/USEFUL/PZERO,PRM,PRMT2,RM,MEDIUM,MEDOLD,IBLOBE + DOUBLE PRECISION PZERO,PRM,PRMT2 + COMMON/RANDOM/IXX +C DEFAULT REPLACEMENT PRODUCES THE FOLLOWING: +C COMIN/DEBUG,STACK,THRESH,UPHIOT,USEFUL,RANDOM/; + PEIP=E(NP) +C PRECISE ENERGY OF INCIDENT POSITRON + EIP=PEIP +C ENERGY OF INCIDENT POSITRON + PEKIN=PEIP-PRM +C PRECISE K.E. OF INCIDENT POSITRON + EKIN=PEKIN + T0=EKIN/RM + E0=T0+1. + YY=1./(T0+2.) + E02=E0*E0 + BETAI2=E02/(E02-1.) + EP0=TE(MEDIUM)/EKIN + EP0C=1.-EP0 + Y2=YY*YY + YP=1.-2.*YY + YP2=YP*YP + B4=YP2*YP + B3=B4+YP2 + B2=YP*(3.+Y2) +C SAMPLE BR FROM MINIMUM(EP0) TO 1. + B1=2.-Y2 +141 CONTINUE + RNNO03=RNDM(IXX) + BR=EP0/(1.-EP0C*RNNO03) +C APPLY REJECTION FUNCTION + RNNO04=RNDM(IXX) + REJF2=EP0C*(BETAI2-BR*(B1-BR*(B2-BR*(B3-BR*B4)))) + IF((RNNO04.LE.REJF2))GO TO142 + GO TO 141 +142 CONTINUE +C IF E- GOT MORE THAN E+, MOVE THE E+ POINTER AND REFLECT B + IF ((BR.LT.0.5)) THEN + IQ(NP+1)=-1 + ELSE + IQ(NP)=-1 + IQ(NP+1)=1 + BR=1.-BR + END IF +C THE ABOVE PUTS E+ ON TOP OF STACK IF IT HAS LESS ENERGY +C DIVIDE UP THE ENERGY + BR=AMAX1(BR,0.0) +C AVOIDS POSSIBLE NEGATIVE NUMBER DUE TO ROUND-OFF + PEKSE2=BR*EKIN +C PRECISE KINETIC ENERGY OF SECONDARY 'ELECTRON' 2 + PESE1=PEIP-PEKSE2 +C PRECISE ENERGY OF SECONDARY 'ELECTRON' 1 + PESE2=PEKSE2+PRM +C PRECISE ENERGY OF SECONDARY 'ELECTRON' 2 + ESE1=PESE1 + ESE2=PESE2 + E(NP)=PESE1 + E(NP+1)=PESE2 +C BHABHA ANGLES ARE UNIQUELY DETERMINED BY KINEMATICS + H1=(PEIP+PRM)/PEKIN +C DIRECTION COSINE CHANGE FOR 'OLD' ELECTRON + DCOSTH=H1*(PESE1-PRM)/(PESE1+PRM) + SINTHE=DSQRT(1.D0-DCOSTH) + COSTHE=DSQRT(DCOSTH) + CALL UPHI(2,1) + NP=NP+1 + DCOSTH=H1*(PESE2-PRM)/(PESE2+PRM) + SINTHE=-DSQRT(1.D0-DCOSTH) + COSTHE=DSQRT(DCOSTH) + CALL UPHI(3,2) + RETURN +C END OF SUBROUTINE BHABHA + END + SUBROUTINE BREMS +C VERSION 4.XX -- 7 MAR 1985/2345 +C ****************************************************************** +C FOR ELECTRON ENERGY GREATER THAN 5.0 MEV, THE BETHE-HEITLER +C CROSS SECTION IS EMPLOYED. +C ****************************************************************** + DOUBLE PRECISION PEIE,PESG,PESE + COMMON/QDEBUG/QDEBUG + LOGICAL QDEBUG + COMMON/BREMPR/DL1(6,10),DL2(6,10),DL3(6,10),DL4(6,10),DL5(6,10),DL + *6(6,10),DELCM(10), ALPHI(2,10),BPAR(2,10),DELPOS(2,10),PWR2I(50) + COMMON/STACK/E(40),X(40),Y(40),Z(40),U(40),V(40),W(40),DNEAR(40),W + *T(40),IQ(40),IR(40),NP + DOUBLE PRECISION E + COMMON/THRESH/RMT2,RMSQ,ESCD2,AP(10),AE(10),UP(10),UE(10),TE(10),T + *HMOLL(10) + COMMON/UPHIOT/THETA,SINTHE,COSTHE,SINPHI, COSPHI,PI,TWOPI,PI5D2 + COMMON/USEFUL/PZERO,PRM,PRMT2,RM,MEDIUM,MEDOLD,IBLOBE + DOUBLE PRECISION PZERO,PRM,PRMT2 + COMMON/RANDOM/IXX +C DEFAULT REPLACEMENT PRODUCES THE FOLLOWING: +C COMIN/DEBUG,BREMPR,STACK,THRESH,UPHIOT,USEFUL,RANDOM/; + DATA AI2LN2/0.7213475/ +C 1./(2.*ALOG(2.)) + PEIE=E(NP) +C PRECISE ENERGY OF INCIDENT 'ELECTRON' + EIE=PEIE +C ENERGY OF INCIDENT 'ELECTRON' +C DECIDE WHICH DISTRIBUTION TO USE (B-H COULOMB CORRECTED IS +C USED FROM 50 TO 20000 MEV, B-H IS USED 1.5 TO 50 MEV) + NP=NP+1 + IF ((EIE.LT.50.0)) THEN + LVX=1 + LVL0=0 + ELSE + LVX=2 + LVL0=3 + END IF +C THE METHOD OF BUTCHER AND MESSEL FOR SAMPLING A CLASS OF +C FACTORIZABLE FREQUENCY DISTRIBUTIONS IS USED. +C OUR 'BR' VARIABLE IS THE SAME AS THEIR 'EPSILON' VARIABLE. +C SEE BUTCHER AND MESSEL,NUCL.PHYS.,VOL.20,PP23,24. +C COMPUTE NUMBER OF SUBDISTRIBUTIONS NEEDED TO PRODUCE PHOTONS +C OF MINIMUM DISCRETE TRANSPORT ENRGY AP, IN CASE THE (1-BR)/BR +C PART OF THE DISTRIBUTION IS USED. +C ILOG2(X) IS THAT INTEGER FUNCTION OF X SUCH THAT . . . +C 2**(ILOG2(X)-1) .LE. X .LT. 2**(ILOG2(X)) +C VARIOUS REJECTIONS CAN CAUSE RESAMPLE + ABREMS=FLOAT(IFIX(1.44269*ALOG(EIE/AP(MEDIUM)))) +151 CONTINUE +C DECIDE WHETHER TO SAMPLE FROM THE (1-BR)/BR OR THE 2*BR PART +C OF THE DISTRIBUTION. + RNNO06=RNDM(IXX) + IF ((0.5.LT.((ABREMS*ALPHI(LVX,MEDIUM)+0.5)*RNNO06))) THEN +C USE THE (1-BR)/BR PART. WHICH SUBDISTRIBUTION? + RNNO07=RNDM(IXX) + IDISTR=ABREMS*RNNO07 +C THIS CHOOSES IDISTR AT RANDOM FROM THE SET +C (0,1,2, . . . , NBREMS - 1 ) + P=PWR2I(IDISTR+1) +C SELECT SCREENING REJECTION FUNCTION +C LVL=1 UNCOULOMB CORRECTED A(DELTA) +C LVL=2 UNCOULOMB CORRECTED B(DELTA) +C LVL=3 UNCOULOMB CORRECTED C(DELTA) +C LVL=4 COULOMB CORRECTED A(DELTA) +C LVL=5 COULOMB CORRECTED B(DELTA) +C LVL=6 COULOMB CORRECTED C(DELTA) + LVL=LVL0+1 +C USE A(DELTA), EITHER BORN OR COULOMB CORRECTED, DEPENDING ON +C WHETHER LVL HAS BEEN PREVIOUSLY SET TO 0 OR 3. +C ALL SUBDISTRIBUTIONS ARE SAMPLED BY FIRST SAMPLING FROM +C (1./LOG(2.))*(1.-BR)/BR IF 0.5 .LE. BR .LE. 1. +C 1./LOG(2.) IF BR.LT. 0.5 +C AND THEN TAKING BR = BR*P +C AI2LN2 IS ACTUALLY 1./(2.*LOG(2.)), WHICH IS THE PROBABILITY +C THAT BR IS LESS THAN 0.5 IN THE ELEMENTARY DISTRIBUTION ABOVE. + RNNO08=RNDM(IXX) + IF ((RNNO08.GE.AI2LN2)) THEN +161 CONTINUE + RNNO09=RNDM(IXX) +C IF REJECTED FOR SUBDISTRIBUTION + RNNO10=RNDM(IXX) + RNNO11=RNDM(IXX) + H=AMAX1(RNNO10,RNNO11) + BR=1.0-0.5*H + IF((RNNO09.LE.0.5/BR))GO TO162 +C REJECTION CONDITION + GO TO 161 +162 CONTINUE +C END BR.GE.0.5 PART +C SAMPLE BR.LT.0.5 PART + ELSE + RNNO12=RNDM(IXX) + BR=RNNO12*0.5 + END IF +C END OF BR.LT.0.5 PART +C PRODUCT ENERGY FRACTION CHOSEN + BR=BR*P +C END (1-BR)/BR PART + ELSE +C USE THE 2*BR PART + RNNO13=RNDM(IXX) + RNNO14=RNDM(IXX) + BR=AMAX1(RNNO13,RNNO14) + LVL=LVL0+2 +C USE B(DELTA) FOR SCREENING FUNCTION + END IF +C END OF 2*BR PART OF DISTRIBUTION +C ENERGY OF NEW PHOTON +C ENERGY OF SECONDARY GAMMA +C AP=0.256 MEV --- RM=0.511 MEV +C AP IS SELECTED IN THE ROUTINE PEGS. +C MINIMUM HARDNESS REQUIREMENT, CORRESPONDING TO LOWER BOUND +C CHOICE FOR TOTAL CROSS SECTION INTEGRAL +C TRY + ESG=EIE*BR + IF((ESG.LT.AP(MEDIUM)))GO TO151 + PESG=ESG +C PRECISE ENERGY OF SECONDARY GAMMA + PESE=PEIE-PESG +C PRECISE ENERGY OF SECONDARY 'ELECTRON' +C ENERGY OF SECONDARY 'ELECTRON' +C THE ELECTRON MUST HAVE A MINIMUM ENERGY EQUAL TO 0.511 MEV +C TRY + ESE=PESE + IF((ESE.LT.RM))GO TO151 +C DELTA=136.0*EXP(ZG)*RM*EE/(E*(1.0-EE)) +C =DELCM*EE/(E*(1.0-EE))=DELCM*DEL +C WHERE E=ELECTRON INCIDENT ENERGY(MEV), AND EE=(PHOTON ENERGY)/E +C ZG IS DEFINED IN THE PROGRAM SHINP, AND IS A WEIGHTED AVERAGE +C OF LOG(Z**(-1./3.)) OVER THE VARIOUS TYPES OF ATOMS IN THE +C MOLECULE (BUTCHER AND MESSEL, OP.CIT., P.17-19,22-24). +C A(DELTA) AND B(DELTA) MUST ALWAYS BE POSITIVE +C TRY + DEL = BR/ESE + IF((DEL.GE.DELPOS(LVX,MEDIUM)))GO TO151 + DELTA = DELCM(MEDIUM)*DEL + IF ((DELTA.LT.1.0)) THEN + REJF=DL1(LVL,MEDIUM)+DELTA*(DL2(LVL,MEDIUM)+DELTA*DL3(LVL,MEDIUM)) + ELSE + REJF=DL4(LVL,MEDIUM)+DL5(LVL,MEDIUM)*ALOG(DELTA+DL6(LVL,MEDIUM)) + END IF + RNSCRN=RNDM(IXX) +C GET RANDOM NUMBER FOR SCREENING REJECTION + IF((RNSCRN.LE.REJF))GO TO152 + GO TO 151 +152 CONTINUE +C LOOP UNTIL VALUE ACCEPTED +C SET UP THE NEW PHOTON + THETA=RM/EIE +C DEFAULT IS: THETA=RM/EIE; +C NOW GET LOWEST ENERGY PARTICLE ON TOP OF STACK AND SET CHARGES + CALL UPHI(1,3) + IF ((ESG.LE.ESE)) THEN + IQ(NP)=0 +C SET PHOTON CHARGE + E(NP)=PESG + E(NP-1)=PESE +C PHOTON TOP,ELECTRON BOTTOM +C MUST PUT ELECTRON ON TOP + ELSE + IQ(NP)=IQ(NP-1) +C TRANSFER CHARGE FROM INCOMING 'ELECTRON' + IQ(NP-1)=0 + E(NP)=PESE + E(NP-1)=PESG +C ELECTRON TOP,PHOTON BOTTOM + T=U(NP) + U(NP)=U(NP-1) + U(NP-1)=T +C SWAP U + T=V(NP) + V(NP)=V(NP-1) + V(NP-1)=T +C SWAP V + T=W(NP) + W(NP)=W(NP-1) + W(NP-1)=T +C SWAP W + END IF +C END OF SWAPPING + RETURN +C END OF SUBROUTINE BREMS + END + SUBROUTINE COMPT +C VERSION 4.XX -- 7 MAR 1985/2345 +C ****************************************************************** +C BUTCHER AND MESSEL'S CROSS SECTION EXPRESSION IS USED +C (BUTCHER AND MESSEL, OP.CIT., P. 17-19,25), BUT THE +C 1/EPSILON PART IS NOT SAMPLED IN THE WAY THAT THEY DO. +C THIS ROUTINE CALLS THEIR 'EPSILON' VARIABLE BY THE NAME 'BR'. +C BR=FINAL PHOTON ENERGY /INITIAL PHOTON ENERGY. +C BR0 = MINIMUM BR = 1./(1.+2.*(E(NP)/RM)) +C MAXIMUM BR IS 1. +C BUTCHER AND MESSEL'S EXPRESSION FOR THE DIFFERENTIAL CROSS +C SECTION IS PROPORTIONAL TO +C (1./BR+BR)*(1.-BR*SINTHE**2/(1.+BR*BR)) +C WE SHALL SAMPLE FROM THE FIRST FACTOR FROM THE INTERVAL (BR0,1) +C AND USE THE SECOND FACTOR AS A REJECTION FUNCTION. +C ****************************************************************** + DOUBLE PRECISION PEIG,PESG,PESE + COMMON/QDEBUG/QDEBUG + LOGICAL QDEBUG + COMMON/STACK/E(40),X(40),Y(40),Z(40),U(40),V(40),W(40),DNEAR(40),W + *T(40),IQ(40),IR(40),NP + DOUBLE PRECISION E + COMMON/THRESH/RMT2,RMSQ,ESCD2,AP(10),AE(10),UP(10),UE(10),TE(10),T + *HMOLL(10) + COMMON/UPHIOT/THETA,SINTHE,COSTHE,SINPHI, COSPHI,PI,TWOPI,PI5D2 + COMMON/USEFUL/PZERO,PRM,PRMT2,RM,MEDIUM,MEDOLD,IBLOBE + DOUBLE PRECISION PZERO,PRM,PRMT2 + COMMON/RANDOM/IXX +C DEFAULT REPLACEMENT PRODUCES THE FOLLOWING: +C COMIN/DEBUG,STACK,THRESH,UPHIOT,USEFUL,RANDOM/; + PEIG=E(NP) +C PRECISE ENERGY OF INCIDENT GAMMA + EIG=PEIG +C ENERGY OF INCIDENT GAMMA + EGP=EIG/RM +C BR0I IS THE INVERSE OF BR0 + BR0I=1.+2.*EGP + ALPH1=ALOG(BR0I) + ALPH2=EGP*(BR0I+1.)/(BR0I*BR0I) +C DUE TO REJECTION, SOME EXPRESSIONS WHICH ONLY APPEAR ONCE IN +C THE CODE BELOW, MAY ACTUALLY BE NEEDED MORE THAN ONCE. +C RETRY IF REJECTED + SUMALP = ALPH1+ALPH2 +171 CONTINUE +C WHICH PART OF 1./BR + BR TO SAMPLE FROM ? + RNNO15=RNDM(IXX) + IF ((ALPH1.GE.SUMALP*RNNO15)) THEN +C USE 1/BR PART OF DISTRIBUTION + RNNO16=RNDM(IXX) + BR=EXP(ALPH1*RNNO16)/BR0I +C END OF 1/BR PART + ELSE +C USE LINEAR ( BR ) PART OF DISTRIBUTION + BRP=RNDM(IXX) + RNNO18=RNDM(IXX) + IF ((EGP.GE.(EGP+1.)*RNNO18)) THEN + RNNO19=RNDM(IXX) + BRP=AMAX1(BRP,RNNO19) + END IF + BR=((BR0I-1.)*BRP+1.)/BR0I + END IF +C END SAMPLING OF LINEAR PART +C BR=FINAL PHOTON ENERGY FRACTION + ESG=BR*EIG +C ENERGY OF SECONDARY GAMMA +C THE COMPTON ANGLES FOR PHOTON AND RECOIL ELECTRON ARE UNIQUELY +C DETERMINED BY THE CONSERVATION LAWS + A1MIBR = 1.-BR + TEMP=RM*A1MIBR/ESG +C THE AMAX1 IN THE FF. IS TO PREVENT SINTHE.LT.0 FROM TRUNC ERROR + SINTHE=AMAX1(0.0,TEMP*(2.0-TEMP)) +C COMPARE REJECTION FUNCTION WITH RANDOM NUMBER. + RNNO20=RNDM(IXX) + REJF3=1.0-BR*SINTHE/(1.0+BR*BR) + IF((RNNO20.LE.REJF3))GO TO172 + GO TO 171 +172 CONTINUE +C LOOP UNTIL ACCEPTED + SINTHE=SQRT(SINTHE) + COSTHE=1.0-TEMP +C THE RECOIL ELECTRON IS ADDED TO THE SHOWER MEMORY. THE EXTRA +C REST MASS ENERGY WILL BE DISCARDED WHEN THE +C ELECTRON IS THROWN AWAY. + PESG=ESG +C PRECISE ENERGY OF SECONDARY GAMMA + PESE=PEIG-PESG+PRM +C PRECISE ENERGY OF SECONDARY ELECTRON + ESE=PESE +C ENERGY OF SECONDARY ELECTRON +C DIRECTION COSINE CHANGE FOR OLD PHOTON + CALL UPHI(2,1) +C RELATED CHANGE AND (X,Y,Z) SETUP FOR NEW ELECTRON + NP=NP+1 +C WE NOW POINT AT ELECTRON +C PSQ HERE IS THE MOMENTUM SQUARED. + PSQ=ESE**2-RMSQ + IF ((PSQ.LE.0.0)) THEN +C THE ABOVE IS TO AVOID DIVISION BY ZERO IN CASE TRUNCATION +C ERRORS MAKE BR=1 AND HENCE E(NP)=RM, AND PSQ=0 + COSTHE=0. + SINTHE=-1. +C END PSQ.LE.0 CASE +C OK TO DIVIDE, PSQ IS POSITIVE + ELSE + COSTHE=(ESE+ESG)*A1MIBR/SQRT(PSQ) + SINTHE=-SQRT(AMAX1(0.0,1.0-COSTHE*COSTHE)) + END IF +C END OF PSQ.GT.0 CASE +C NOW GET LOWEST ENERGY PARTICLE ON TOP OF STACK AND SET CHARGES + CALL UPHI(3,2) + IF ((ESE.LE.ESG)) THEN + IQ(NP)=-1 +C ELECTRON IS ON TOP + E(NP)=PESE + E(NP-1)=PESG +C SET ENERGIES +C MUST PUT GAMMA ON TOP + ELSE + IQ(NP)=0 + IQ(NP-1)=-1 + E(NP)=PESG + E(NP-1)=PESE +C SET ENERGIES + T=U(NP) + U(NP)=U(NP-1) + U(NP-1)=T +C SWAP U + T=V(NP) + V(NP)=V(NP-1) + V(NP-1)=T +C SWAP V + T=W(NP) + W(NP)=W(NP-1) + W(NP-1)=T +C SWAP W + END IF +C END OF SWAPPING + RETURN +C END OF SUBROUTINE COMPT + END + SUBROUTINE ELECTR(IRCODE) +C VERSION 4.XX -- 7 MAR 1985/2345 +C ****************************************************************** + DOUBLE PRECISION PEIE + COMMON/QDEBUG/QDEBUG + LOGICAL QDEBUG + COMMON/BOUNDS/ECUT(200),PCUT(200),VACDST + COMMON/ELECIN/EKELIM,ICOMP,EKE0(10),EKE1(10),CMFP0(10),CMFP1(10),R + *ANGE0(10),RANGE1(10), XR0(10),TEFF0(10),BLCC(10),XCC(10),PICMP0(1, + *10),PICMP1(1,10),EICMP0(1,10),EICMP1(1,10),MPEEM(1,10), ESIG0(150, + *10),ESIG1(150,10),PSIG0(150,10),PSIG1(150,10),EDEDX0(150,10),EDEDX + *1(150,10),PDEDX0(150,10),PDEDX1(150,10),EBR10(150,10),EBR11(150,10 + *),PBR10(150,10),PBR11(150,10),PBR20(150,10),PBR21(150,10),TMXS0(15 + *0,10),TMXS1(150,10),CMFPE0(1,10),CMFPE1(1,10),CMFPP0(1,10),CMFPP1( + *1,10),ERANG0(1,10),ERANG1(1,10),PRANG0(1,10),PRANG1(1,10),CXC2E0(1 + *,10),CXC2E1(1,10),CXC2P0(1,10),CXC2P1(1,10),CLXAE0(1,10),CLXAE1(1, + *10),CLXAP0(1,10),CLXAP1(1,10), THR0(1,1),THR1(1,1),THR2(1,1),THRI0 + *(1,1),THRI1(1,1),THRI2(1,1),FSTEP(16),FSQR(16),MSMAP(200), VERT1(1 + *000),VERT2(100,16),MSTEPS,JRMAX,MXV1, MXV2,NBLC,NRNTH,NRNTHI,BLC0, + *BLC1,RTHR0,RTHR1,RTHRI0,RTHRI1 + COMMON/EPCONT/EDEP,TSTEP,TUSTEP,USTEP,TVSTEP,VSTEP, IDISC,IROLD,IR + *NEW,RHOF,EOLD,ENEW,EKE,ELKE,BETA2,BETA, GLE,TSCAT,IAUSFL(25) + DOUBLE PRECISION EDEP + COMMON/MEDIA/NMED,RLC(10),RLDU(10),RHO(10),MSGE(10),MGE(10),MSEKE( + *10),MEKE(10),MLEKE(10),MCMFP(10),MRANGE(10),IRAYLM(10) + COMMON/MEDIAC/MEDIA(24,10) + CHARACTER*4 MEDIA + COMMON/MISC/KMPI,KMPO,DUNIT,NOSCAT,MED(200),RHOR(200),IRAYLR(200) + COMMON/PATHCM/NPTH,B0PTH,B1PTH,PTH0(6),PTH1(6),PTH2(6) + COMMON/STACK/E(40),X(40),Y(40),Z(40),U(40),V(40),W(40),DNEAR(40),W + *T(40),IQ(40),IR(40),NP + DOUBLE PRECISION E + COMMON/THRESH/RMT2,RMSQ,ESCD2,AP(10),AE(10),UP(10),UE(10),TE(10),T + *HMOLL(10) + COMMON/UPHIIN/SINC0,SINC1,SIN0(1002),SIN1(1002) + COMMON/UPHIOT/THETA,SINTHE,COSTHE,SINPHI, COSPHI,PI,TWOPI,PI5D2 + COMMON/USEFUL/PZERO,PRM,PRMT2,RM,MEDIUM,MEDOLD,IBLOBE + DOUBLE PRECISION PZERO,PRM,PRMT2 + COMMON/RANDOM/IXX +C DEFAULT REPLACEMENT PRODUCES THE FOLLOWING: +C COMIN/DEBUG,BOUNDS,ELECIN,EPCONT,MEDIA,MISC,PATHCM, +C STACK,THRESH,UPHIIN,UPHIOT,USEFUL,USER,RANDOM/; + IRCODE=1 +C SET UP NORMAL RETURN-WHICH MEANS THERE IS A PHOTON +C WITH LESS AVAILABLE ENERGY THAN THE LOWEST ENERGY ELECTRON, +C SO RETURN TO SHOWER SO IT CAN CALL PHOTON TO FOLLOW IT. + IROLD=IR(NP) +C INITIALIZE PREVIOUS REGION + IRL=IR(NP) +C REGION NUMBER IN LOCAL VARIABLE. +C GET MEDIUM OF CURRENT PARTICLE +C GO ONCE THROUGH THIS LOOP FOR EACH + MEDIUM=MED(IRL) +180 CONTINUE +181 CONTINUE +C 'NEW' ELECTRON WHOSE CHARGE AND ENERGY HAS NOT BEEN CHECKED + LELEC=IQ(NP) +C SAVE CHARGE IN LOCAL VARIABLE + PEIE=E(NP) +C PRECISE ENERGY OF INCIDENT ELECTRON +C ENERGY INCIDENT ELECTRON + EIE=PEIE + IF ((EIE.LE.ECUT(IRL))) THEN + GO TO 190 + END IF +C GO THROUGH THIS LOOP EACH TIME WE RECOMPUTE + MEDIUM=MED(IRL) +200 CONTINUE +C DISTANCE TO AN INTERACTION. +C NOT VACUUM. MUST SAMPLE TO SEE HOW FAR +201 CONTINUE + IF ((MEDIUM.NE.0)) THEN +C TO NEXT INTERACTION + RNNE1=RNDM(IXX) + IF ((RNNE1.EQ.0.0)) THEN + RNNE1=1.E-30 + END IF + DEMFP=-ALOG(RNNE1) +C THE DEFAULT (MACRO) FOR THE ABOVE IS: $RANDOMSET RNNE1; +C DEMFP=-ALOG(RNNE1); + EKE=EIE-RM + ELKE=ALOG(EKE) +C PREPARE TO APPROXIMATE CROSS SECTION + LELKE=EKE1(MEDIUM)*ELKE+EKE0(MEDIUM) + IF ((LELEC.LT.0)) THEN + SIG0=ESIG1(LELKE,MEDIUM)*ELKE+ESIG0(LELKE,MEDIUM) +C E+ + ELSE + SIG0=PSIG1(LELKE,MEDIUM)*ELKE+PSIG0(LELKE,MEDIUM) + END IF + END IF +C END NON-VACUUM TEST +C HERE FOR EACH CHECK WITH USER GEOMETRY +210 CONTINUE +C COMPUTE SIZE OF MAXIMUM ACCEPTABLE STEP, WHICH IS LIMITED +C BY MULTIPLE SCATTERING OR OTHER APPROXIMATIONS. +C VACUUM +211 CONTINUE + IF ((MEDIUM.EQ.0)) THEN + TSTEP=VACDST + USTEP=TSTEP + TUSTEP=USTEP +C NON-VACUUM + ELSE + RHOF=RHOR(IRL)/RHO(MEDIUM) +C DENSITY RATIO SCALING TEMPLATE +C THIS CAN HAPPEN IF THE THRESHOLD FOR BREMS, + SIG=SIG0*RHOF + IF ((SIG.LE.0.0)) THEN +C (AP+RM), IS GREATER THAN AE. MOLLER THRESHOLD IS 2*AE-RM. +C IF SIG IS ZERO, WE ARE BELOW THE THRESHOLDS FOR BOTH +C BREMSSTRAHLUNG AND MOLLER. IN THIS CASE WE WILL JUST LOSE +C ENERGY BY IONIZATION LOSS UNTIL WE GO BELOW CUT-OFF. +C DO NOT ASSUME RANGE IS AVAILABLE, SO JUST ASK FOR STEP SAME AS +C VACUUM. ELECTRON TRANSPORT WILL REDUCE INTO LITTLE STEPS. + TSTEP=VACDST + ELSE + TSTEP=DEMFP/SIG + END IF +C END SIG IF-ELSE + TMXS=TMXS1(LELKE,MEDIUM)*ELKE+TMXS0(LELKE,MEDIUM) + TP=200.*TEFF0(MEDIUM) + TMXS=AMIN1(TMXS,TP) + TMXS=TMXS/RHOF +C COMPUTE THE RANGE TO ECUT(IRL)-$ENEPS. DO NOT GO MORE +C THAN RANGE. +C ELECTRON + TUSTEP=AMIN1(TSTEP,TMXS) + IF ((LELEC.LT.0)) THEN + DEDX0=EDEDX1(LELKE,MEDIUM)*ELKE+EDEDX0(LELKE,MEDIUM) +C POSITRON + ELSE + DEDX0=PDEDX1(LELKE,MEDIUM)*ELKE+PDEDX0(LELKE,MEDIUM) + END IF + DEDX=RHOF*DEDX0 + RANGE=(EIE-ECUT(IRL)+0.001)/DEDX + BETA2=AMAX1(1.E-8,1.-RMSQ/EIE**2) + TSCAT=RLDU(MEDIUM)*(EIE*BETA2*0.094315)**2 +C 0.094315=2/ESUBS + TSCAT=TSCAT/RHOF + TUSTEP=AMIN1(TUSTEP,0.3*TSCAT,RANGE) +C TUSTEP RESTRICTION MACRO TEMPLATE + USTEP=TUSTEP*(1.-TUSTEP/TSCAT) +C PATH LENGTH CORRECTION MACRO TEMPLATE + END IF +C END NON-VACUUM TEST +C ADDITIONAL TUSTEP RESTRICTION IN EM FIELD +C ADDITIONAL USTEP RESTRICTION IN EM FIELD + IRNEW=IR(NP) +C DEFAULT NEW REGION IS OLD REGION + IDISC=0 +C DEFAULT IS NO DISCARD + USTEP0=USTEP + IF ((USTEP.GT.DNEAR(NP))) THEN + CALL HOWFAR + END IF +C DEFAULT IS NULL +C NOW SEE IF USER REQUESTED DISCARD +C USER REQUESTED IMMEDIATE DISCARD + IF ((IDISC.GT.0)) THEN + GO TO 220 + END IF +C NEGATIVE USTEP---PROBABLE TRUNCATION PROBLEM AT + IF ((USTEP.LE.0.0)) THEN +C A BOUNDARY, WHICH MEANS WE ARE NOT IN THE REGION WE THINK WE +C ARE IN. THE DEFAULT MACRO ASSUMES THAT USER HAS SET IRNEW TO +C THE REGION WE ARE REALLY MOST LIKELY TO BE IN. A MESSAGE IS +C WRITTEN OUT WHENEVER USTEP IS LESS THAN -1.E-4 + IF ((USTEP.LT.-1.E-4)) THEN + WRITE(6,230)USTEP +230 FORMAT(' NEGATIVE USTEP=',G20.10) + END IF + USTEP=0.0 + END IF +C DO FAST STEP +C STEP IN VACUUM + IF ((USTEP.EQ.0.0.OR.MEDIUM.EQ.0)) THEN + IF ((USTEP.NE.0.0)) THEN + VSTEP=USTEP + TVSTEP=VSTEP + EDEP=PZERO +C NO ENERGY LOSS IN VACUUM +C ADDITIONAL VACUUM TRANSPORT IN EM FIELD + IARG=0 + IF ((IAUSFL(IARG+1).NE.0)) THEN + CALL AUSGAB(IARG) + END IF + X(NP)=X(NP)+U(NP)*VSTEP + Y(NP)=Y(NP)+V(NP)*VSTEP + Z(NP)=Z(NP)+W(NP)*VSTEP + DNEAR(NP)=DNEAR(NP)-VSTEP + IROLD=IR(NP) +C SAVE PREVIOUS REGION +C END OF VACUUM STEP + END IF + IR(NP)=IRNEW +C GET NEW REGION IF ANY. + IRL=IRNEW +C GET NEW MEDIUM, IF ANY. + MEDIUM=MED(IRL) + IF ((EIE.LE.ECUT(IRL))) THEN + GO TO 190 + END IF + IF ((USTEP.NE.0.0)) THEN + IARG=5 + IF ((IAUSFL(IARG+1).NE.0)) THEN + CALL AUSGAB(IARG) + END IF + END IF + GO TO 201 + END IF +C GO TRY ANOTHER BIG STEP IN (POSSIBLY) NEW MEDIUM + VSTEP=USTEP + IF ((USTEP.EQ.USTEP0)) THEN + TVSTEP=TUSTEP + ELSE + VSTP=VSTEP/TSCAT + IPTH=B0PTH+B1PTH*VSTP + IF ((IPTH.GT.NPTH)) THEN + WRITE(6,240)VSTP,IPTH,NPTH +240 FORMAT(' OUT OF BOUNDS IPTH: VSTP,IPTH,NPTH=',1PG15.6,2I10) + STOP + END IF + PTH=PTH0(IPTH)+VSTP*(PTH1(IPTH)+VSTP*PTH2(IPTH)) + TVSTEP=PTH*VSTEP + END IF +C PATH LENGTH CORRECTION MACRO TEMPLATE +C ADDITIONAL PATH LENGTH CORRECTION IN EM FIELD +C NOW TAKE IONIZATION LOSSES INTO ACCOUNT +C DEFAULT IS NULL + DE=DEDX*TVSTEP +C THE FOLLOWING MACRO TEMPLATE ALLOWSS THE USER TO CHANGE THE +C IONIZATION LOSS (E.G., TO INCLUDE 'LANDAU SAMPLING'). +C DEFAULT IS NULL. + EDEP=DE +C ENERGY DEPOSITION VARIABLE FOR USER +C E-LOSS OR -GAIN IN EM FIELD + EKEF=EKE-DE + EOLD=EIE +C SAVE OLD VALUE + ENEW=EOLD-DE +C ENERGY AT END OF TRANSPORT +C NOW MULTIPLE SCATTERING + CALL MSCAT +C SAMPLE THE MULTIPLE SCATTERING ANGLE +C WE NOW KNOW DISTANCE AND AMOUNT OF ENERGY LOSS FOR THIS STEP, +C AND THE ANGLE BY WHICH THE ELECTRON WILL BE SCATTERED. HENCE, +C IT IS TIME TO CALL THE USER AND INFORM HIM OF THIS TRANSPORT, +C AFTER WHICH WE WILL DO IT. +C NOW TRANSPORT,DEDUCT ENERGY LOSS, AND DO MULTIPLE SCATTER. + IARG=0 + IF ((IAUSFL(IARG+1).NE.0)) THEN + CALL AUSGAB(IARG) + END IF + X(NP)=X(NP)+U(NP)*VSTEP + Y(NP)=Y(NP)+V(NP)*VSTEP + Z(NP)=Z(NP)+W(NP)*VSTEP + DNEAR(NP)=DNEAR(NP)-VSTEP + IROLD=IR(NP) +C SAVE PREVIOUS REGION + CALL UPHI(2,1) +C NOW DONE WITH MULTIPLE SCATTERING_ +C NOW UPDATE ENERGY AND SEE IF BELOW CUT + PEIE=PEIE-EDEP + EIE=PEIE + E(NP)=PEIE + IF ((EIE.LE.ECUT(IRL))) THEN + GO TO 190 + END IF + MEDOLD=MEDIUM + IF ((MEDIUM.NE.0)) THEN + EKEOLD=EKE + EKE=EIE-RM +C UPDATE KINETIC ENERGY + ELKE=ALOG(EKE) + LELKE=EKE1(MEDIUM)*ELKE+EKE0(MEDIUM) +C GET UPDATED INTERVAL + END IF +C REGION CHANGE + IF ((IRNEW.NE.IROLD)) THEN + IR(NP)=IRNEW + IRL=IRNEW + MEDIUM=MED(IRL) + END IF + IF ((EIE.LE.ECUT(IRL))) THEN + GO TO 190 + END IF +C AFTER TRANSPORT CALL TO USER + IARG=5 + IF ((IAUSFL(IARG+1).NE.0)) THEN + CALL AUSGAB(IARG) + END IF +C NOW CHECK FOR DEFERRED DISCARD REQUEST. MAY HAVE BEEN SET +C BY EITHER HOWFAR, OR ONE OF THE TRANSPORT AUSGAB CALLS + IF((IDISC.LT.0))GO TO 220 + IF((MEDIUM.NE.MEDOLD))GO TO 201 + DEMFP=AMAX1(0.,DEMFP-TVSTEP*SIG) + IF(((DEMFP.LE.1.E-6)))GO TO212 + GO TO 211 +212 CONTINUE +C END USTEP LOOP +C COMPUTE FINAL SIGMA TO SEE IF RESAMPLE IS NEEDED. +C THIS WILL TAKE THE ENERGY VARIATION OF THE SIGMA INTO +C ACCOUNT USING THE FICTITIOUS SIGMA METHOD. +C ELECTRON + IF ((LELEC.LT.0)) THEN + SIGF=ESIG1(LELKE,MEDIUM)*ELKE+ESIG0(LELKE,MEDIUM) +C POSITRON + ELSE + SIGF=PSIG1(LELKE,MEDIUM)*ELKE+PSIG0(LELKE,MEDIUM) + END IF + RFICT=RNDM(IXX) + IF(((RFICT.LE.SIGF/SIG0)))GO TO202 + GO TO 201 +202 CONTINUE +C END TSTEP LOOP +C NOW SAMPLE ELECTRON INTERACTION +C E-,CHECK BRANCHING RATIO. + IF ((LELEC.LT.0)) THEN +C =BREMS/TOTAL + EBR1=EBR11(LELKE,MEDIUM)*ELKE+EBR10(LELKE,MEDIUM) +C IT WAS BREMSSTRAHLUNG + RNNO24=RNDM(IXX) + IF ((RNNO24.LE.EBR1)) THEN + GO TO 250 +C IT WAS MOLLER, BUT FIRST CHECK THE KINEMATICS. +C NOT ENOUGH ENERGY FOR MOLLER, SO + ELSE +C FORCE IT TO BE A BREMSSTRAHLUNG---PROVIDED OK KINEMATICALLY. + IF ((E(NP).LE.THMOLL(MEDIUM))) THEN + IF ((EBR1.LE.0.0)) THEN + GO TO 180 + END IF +C BREMS. NOT ALLOWED EITHER + GO TO 250 + END IF + IARG=8 + IF ((IAUSFL(IARG+1).NE.0)) THEN + CALL AUSGAB(IARG) + END IF + CALL MOLLER +C THE FOLLOWING MACRO TEMPLATE ALLOWS THE USER TO CHANGE THE +C PARTICLE SELECTION SCHEME (E.G., ADDING IMPORTANCE SAMPLING +C SUCH AS SPLITTING, LEADING PARTICLE SELECTION, ETC.). +C (DEFAULT MACRO IS TEMPLATE $PARTICLE-SELECTION-ELECTR' +C WHICH IN TURN HAS THE 'NULL' REPLACEMENT ';') + IARG=9 + IF ((IAUSFL(IARG+1).NE.0)) THEN + CALL AUSGAB(IARG) + END IF + END IF + GO TO 180 + END IF +C ELECTRON IS LOWEST ENERGY-FOLLOW IT +C ELSE E+ INTERACTION. PBR1=BREMS/(BREMS+BHABHA+ANNIH) + PBR1=PBR11(LELKE,MEDIUM)*ELKE+PBR10(LELKE,MEDIUM) + RNNO25=RNDM(IXX) + IF ((RNNO25.LT.PBR1)) THEN + GO TO 250 + END IF +C IT WAS BREMSSTRAHLUNG +C ELSE DECIDE BETWEEN BHABHA AND ANNIHILATION +C PBR2 IS (BREMS+BHABHA)/(BREMS+BHABHA+ANNIH) +C IT IS BHABHA + PBR2=PBR21(LELKE,MEDIUM)*ELKE+PBR20(LELKE,MEDIUM) + IF ((RNNO25.LT.PBR2)) THEN + IARG=10 + IF ((IAUSFL(IARG+1).NE.0)) THEN + CALL AUSGAB(IARG) + END IF + CALL BHABHA +C THE FOLLOWING MACRO TEMPLATE ALLOWS THE USER TO CHANGE THE +C PARTICLE SELECTION SCHEME (E.G., ADDING IMPORTANCE SAMPLING +C SUCH AS SPLITTING, LEADING PARTICLE SELECTION, ETC.). +C (DEFAULT MACRO IS TEMPLATE $PARTICLE-SELECTION-ELECTR' +C WHICH IN TURN HAS THE 'NULL' REPLACEMENT ';') + IARG=11 + IF ((IAUSFL(IARG+1).NE.0)) THEN + CALL AUSGAB(IARG) + END IF +C IT IS IN-FLIGHT ANNIHILATION + ELSE + IARG=12 + IF ((IAUSFL(IARG+1).NE.0)) THEN + CALL AUSGAB(IARG) + END IF + CALL ANNIH +C THE FOLLOWING MACRO TEMPLATE ALLOWS THE USER TO CHANGE THE +C PARTICLE SELECTION SCHEME (E.G., ADDING IMPORTANCE SAMPLING +C SUCH AS SPLITTING, LEADING PARTICLE SELECTION, ETC.). +C (DEFAULT MACRO IS TEMPLATE $PARTICLE-SELECTION-ELECTR' +C WHICH IN TURN HAS THE 'NULL' REPLACEMENT ';') + IARG=13 + IF ((IAUSFL(IARG+1).NE.0)) THEN + CALL AUSGAB(IARG) + END IF + GO TO 182 +C I.E., IN ORDER TO RETURN TO SHOWER +C AFTER ANNIHILATION THE GAMMAS ARE BOUND TO BE THE LOWEST ENERGY +C PARTICLES, SO RETURN AND FOLLOW THEM. + END IF +C END PBR2 ELSE + GO TO 181 +182 CONTINUE +C :NEWELECTRON: +C I.E., RETURN TO SHOWER +C --------------------------------------------- +C BREMSSTRAHLUNG-CALL SECTION +C --------------------------------------------- + RETURN +250 IARG=6 + IF ((IAUSFL(IARG+1).NE.0)) THEN + CALL AUSGAB(IARG) + END IF + CALL BREMS +C THE FOLLOWING MACRO TEMPLATE ALLOWS THE USER TO CHANGE THE +C PARTICLE SELECTION SCHEME (E.G., ADDING IMPORTANCE SAMPLING +C SUCH AS SPLITTING, LEADING PARTICLE SELECTION, ETC.). +C (DEFAULT MACRO IS TEMPLATE $PARTICLE-SELECTION-ELECTR' +C WHICH IN TURN HAS THE 'NULL' REPLACEMENT ';') + IARG=7 + IF ((IAUSFL(IARG+1).NE.0)) THEN + CALL AUSGAB(IARG) + END IF +C PHOTON WAS SELECTED. + IF ((IQ(NP).EQ.0)) THEN + RETURN +C I.E., RETURN TO SHOWER +C ELECTRON WAS SELECTED + ELSE + GO TO 180 + END IF +C --------------------------------------------- +C ELECTRON CUTOFF ENERGY DISCARD SECTION +C --------------------------------------------- +190 IF ((EIE.GT.AE(MEDIUM))) THEN + IDR=1 + IF ((LELEC.LT.0)) THEN + EDEP=PEIE-PRM + ELSE + EDEP=PEIE-PRM + END IF + ELSE + IDR=2 + EDEP=PEIE-PRM + END IF + IARG=IDR + IF ((IAUSFL(IARG+1).NE.0)) THEN + CALL AUSGAB(IARG) + END IF +C IT'S A POSITRON. PRODUCE ANNIH. GAMMAS IF EDEP LT PEIE +C FIRST PICK RANDOM DIRECTION FOR GAMMA + IF ((LELEC.GT.0)) THEN + IF ((EDEP.LT.PEIE)) THEN + COSTHE=RNDM(IXX) + FLIP=RNDM(IXX) + IF ((FLIP.LE.0.5)) THEN + COSTHE=-COSTHE + END IF + SINTHE=SQRT(1.0-COSTHE**2) + E(NP)=PRM + IQ(NP)=0 + U(NP)=0. + V(NP)=0. + W(NP)=1. +C MAKE GO ALONG Z-AXIS + CALL UPHI(2,1) +C UPHI WILL PICK RANDOM AZIMUTHAL ANGLE +C THE FOLLOWING MACRO TEMPLATE ALLOWS THE USER TO CHANGE THE +C PARTICLE SELECTION SCHEME (E.G., ADDING IMPORTANCE SAMPLING +C SUCH AS SPLITTING, LEADING PARTICLE SELECTION, ETC.). +C (DEFAULT MACRO IS TEMPLATE $PARTICLE-SELECTION-ELECTR' +C WHICH IN TURN HAS THE 'NULL' REPLACEMENT ';') +C NOW SET UP SECOND GAMMA IN OPPOSITE DIRECTION. + NP=NP+1 + E(NP)=PRM + IQ(NP)=0 + X(NP)=X(NP-1) + Y(NP)=Y(NP-1) + Z(NP)=Z(NP-1) + IR(NP)=IR(NP-1) + WT(NP)=WT(NP-1) + DNEAR(NP)=DNEAR(NP-1) + U(NP)=-U(NP-1) + V(NP)=-V(NP-1) + W(NP)=-W(NP-1) + IARG=14 + IF ((IAUSFL(IARG+1).NE.0)) THEN + CALL AUSGAB(IARG) + END IF +C NOW DISCARD THE POSITRON AND TAKE NORMAL RETURN TO FOLLOW +C THE ANNIHILATION GAMMAS. + RETURN +C I.E., RETURN TO SHOWER + END IF + END IF +C END OF POSITRON BLOCK + NP=NP-1 + IRCODE=2 +C TELL SHOWER A NEGATRON OR UN-ANNIHILATED +C POSITRON HAS BEEN DISCARDED +C I.E., RETURN TO SHOWER +C --------------------------------------------- +C USER REQUESTED ELECTRON DISCARD SECTION +C --------------------------------------------- + RETURN +220 IF ((LELEC.LT.0)) THEN + EDEP=PEIE-PRM + ELSE + EDEP=PEIE+PRM + END IF + IARG=3 + IF ((IAUSFL(IARG+1).NE.0)) THEN + CALL AUSGAB(IARG) + END IF + IRCODE=2 + NP=NP-1 + RETURN +C I.E., RETURN TO SHOWER +C END OF SUBROUTINE ELECTR + END + SUBROUTINE HATCH +C VERSION 4.XX -- 7 MAR 1985/2345 +C ****************************************************************** +C SETUP WHICH THE USER IS EXPECTED TO DO BEFORE CALLING HATCH IS: +C 1. SET 'NMED' TO THE NUMBER OF MEDIA TO BE USED. +C 2. SET THE ARRAY 'MEDIA', WHICH CONTAINS THE NAMES OF THE +C MEDIA THAT ARE DESIRED. THE CHARACTER FORMAT IS A1, SO +C THAT MEDIA(IB,IM) CONTAINS THE IB'TH BYTE OF THE NAME OF +C THE IM'TH MEDIUM IN A1 FORMAT. +C 3. SET 'DUNIT', THE DISTANCE UNIT TO BE USED. +C DUNIT.GT.0 MEANS VALUE OF DUNIT IS LENGTH OF DISTANCE UNIT +C CENTIMETERS. DUNIT.LT.0 MEANS USE THE RADIATION LENGTH OF +C THE ABS(DUNIT)'TH MEDIUM FOR THE DISTANCE UNIT. +C 4. FILL THE ARRAY 'MED' WITH THE MEDIUM INDICES FOR THE +C REGIONS. +C 5. FILL ARRAYS 'ECUT' AND 'PCUT' WITH THE ELECTRON AND PHOTON +C CUT-OFF ENERGIES FOR EACH REGION RESPECTIVELY. SETUP WILL +C RAISE THESE IF NECESSARY TO MAKE THEM AT LEAST AS LARGE AS +C THE REGION'S MEDIUM'S AE AND AP RESPECTIVELY. +C 6. FILL 'MED' ARRAY. MED(IR) IS THE MEDIUM INDEX FOR REGION +C IR. A ZERO MEDIUM INDEX MEANS THE REGION IS IN A VACUUM. +C 7. FILL THE ARRAY 'IRAYLR' WITH 1 FOR EACH REGION IN WHICH +C RAYLEIGH (COHERENT) SCATTERING IS TO BE INCLUDED. +C ****************************************************************** + CHARACTER*4 MBUF(72),MDLABL(8) + DIMENSION ZEROS(3),LOK(10) +C NOTE: ABOVE IS ZEROS OF SINE, 0,PI,TWOPI + COMMON/QDEBUG/QDEBUG + LOGICAL QDEBUG + COMMON/BOUNDS/ECUT(200),PCUT(200),VACDST + COMMON/BREMPR/DL1(6,10),DL2(6,10),DL3(6,10),DL4(6,10),DL5(6,10),DL + *6(6,10),DELCM(10), ALPHI(2,10),BPAR(2,10),DELPOS(2,10),PWR2I(50) + COMMON/ELECIN/EKELIM,ICOMP,EKE0(10),EKE1(10),CMFP0(10),CMFP1(10),R + *ANGE0(10),RANGE1(10), XR0(10),TEFF0(10),BLCC(10),XCC(10),PICMP0(1, + *10),PICMP1(1,10),EICMP0(1,10),EICMP1(1,10),MPEEM(1,10), ESIG0(150, + *10),ESIG1(150,10),PSIG0(150,10),PSIG1(150,10),EDEDX0(150,10),EDEDX + *1(150,10),PDEDX0(150,10),PDEDX1(150,10),EBR10(150,10),EBR11(150,10 + *),PBR10(150,10),PBR11(150,10),PBR20(150,10),PBR21(150,10),TMXS0(15 + *0,10),TMXS1(150,10),CMFPE0(1,10),CMFPE1(1,10),CMFPP0(1,10),CMFPP1( + *1,10),ERANG0(1,10),ERANG1(1,10),PRANG0(1,10),PRANG1(1,10),CXC2E0(1 + *,10),CXC2E1(1,10),CXC2P0(1,10),CXC2P1(1,10),CLXAE0(1,10),CLXAE1(1, + *10),CLXAP0(1,10),CLXAP1(1,10), THR0(1,1),THR1(1,1),THR2(1,1),THRI0 + *(1,1),THRI1(1,1),THRI2(1,1),FSTEP(16),FSQR(16),MSMAP(200), VERT1(1 + *000),VERT2(100,16),MSTEPS,JRMAX,MXV1, MXV2,NBLC,NRNTH,NRNTHI,BLC0, + *BLC1,RTHR0,RTHR1,RTHRI0,RTHRI1 + COMMON/MEDIA/NMED,RLC(10),RLDU(10),RHO(10),MSGE(10),MGE(10),MSEKE( + *10),MEKE(10),MLEKE(10),MCMFP(10),MRANGE(10),IRAYLM(10) + COMMON/MEDIAC/MEDIA(24,10) + CHARACTER*4 MEDIA + COMMON/MISC/KMPI,KMPO,DUNIT,NOSCAT,MED(200),RHOR(200),IRAYLR(200) + COMMON/PHOTIN/EBINDA(10),GE0(10),GE1(10), MPGEM(1,10),GMFP0(200,10 + *),GMFP1(200,10),GBR10(200,10),GBR11(200,10),GBR20(200,10),GBR21(20 + *0,10), NGR(10),RCO0(10),RCO1(10),RSCT0(100, 10),RSCT1(100, 10),COH + *E0(200,10),COHE1(200,10) + COMMON/STACK/E(40),X(40),Y(40),Z(40),U(40),V(40),W(40),DNEAR(40),W + *T(40),IQ(40),IR(40),NP + DOUBLE PRECISION E + COMMON/THRESH/RMT2,RMSQ,ESCD2,AP(10),AE(10),UP(10),UE(10),TE(10),T + *HMOLL(10) + COMMON/UPHIIN/SINC0,SINC1,SIN0(1002),SIN1(1002) + COMMON/UPHIOT/THETA,SINTHE,COSTHE,SINPHI, COSPHI,PI,TWOPI,PI5D2 + COMMON/USEFUL/PZERO,PRM,PRMT2,RM,MEDIUM,MEDOLD,IBLOBE + DOUBLE PRECISION PZERO,PRM,PRMT2 + COMMON/RANDOM/IXX +C DEFAULT REPLACEMENT PRODUCES THE FOLLOWING: +C COMIN/DEBUG,BOUNDS,BREMPR,ELECIN,MEDIA,MISC,PHOTIN,STACK, +C THRESH,UPHIIN,UPHIOT,USEFUL,USER,RANDOM/; + DATA MDLABL/' ','M','E','D','I','U','M','='/,LMDL/8/,LMDN/24/,DUNI + *TO/1./ +C FORMAT STATEMENTS USED MULTIPLE TIMES IN SETUP + DATA I1ST/1/,NSINSS/37/,MXSINC/1002/,ISTEST/0/,NRNA/1000/ +260 FORMAT(1X,14I5) +270 FORMAT(1X,1P5E14.5) +280 FORMAT(72A1) + IF ((I1ST.NE.0)) THEN + I1ST=0 +C RESET FIRST TIME FLAG +C DO FIRST TIME INITIALIZATION +C DEFAULT IS NULL +C SET UP ENERGY PRECISION VARIABLES + PRM=RM +C PRECISE REST MASS + PRMT2=2.D0*PRM +C TWICE THE PRECISION REST MASS + PZERO=0.0D0 +C PRECISE ZERO +C NOW CONSTRUCT PIECEWISE LINEAR FIT TO SINE FUNCTION OVER THE +C INTERVAL (0,5*PI/2). DIVIDE THIS INTERVAL INTO MXSINC SUB- +C INTERVALS. EACH OF THESE SUBINTERVALS IS THEN SUBDIVIDED INTO +C NSINSS SUB-SUB-INTERVALS. THE ANGLES AT THE BOUNDARIES OF +C THESE SUB-SUB-INTERVALS AND THEIR SINES ARE USED TO COMPUTE +C LEAST SQUARES COEFFICIENTS FOR THE SUBINTERVAL. AN EXTRA +C SUBINTERVAL ON EACH SIDE OF THE INTERVAL (0,5*PI/2) IS INCLUDED +C FOR GOOD MEASURE. + NISUB=MXSINC-2 + FNSSS=NSINSS + WID=PI5D2/FLOAT(NISUB) + WSS=WID/(FNSSS-1.0) + ZEROS(1)=0. + ZEROS(2)=PI +C LOOP OVER SUBINTERVALS + ZEROS(3)=TWOPI + DO 291 ISUB=1,MXSINC + SX=0. + SY=0. + SXX=0. + SXY=0. +C ZERO SUMS + XS0=WID*FLOAT(ISUB-2) + XS1=XS0+WID +C LOWER & UPPER LIMITS +C NOW CHECK TO SEE IF ANY ZEROS ARE IN THE INTERVAL + IZ=0 + DO 301 IZZ=1,3 + IF (((XS0.LE.ZEROS(IZZ)).AND.(ZEROS(IZZ).LE.XS1))) THEN + IZ=IZZ + GO TO302 + END IF +301 CONTINUE +302 CONTINUE +C END OF LOOP OVER ZEROS + IF ((IZ.EQ.0)) THEN + XSI=XS0 + ELSE + XSI=ZEROS(IZ) + END IF +C LOOP OVER SUB-SUBINTERVALS + DO 311 ISS=1,NSINSS + XS=WID*FLOAT(ISUB-2)+WSS*FLOAT(ISS-1)-XSI +C ANGLE VALUE + YS=SIN(XS+XSI) +C SINE OF ANGLE + SX=SX+XS +C ACCUMULATE SUMS + SY=SY+YS + SXX=SXX+XS*XS + SXY=SXY+XS*YS +311 CONTINUE +312 CONTINUE +C END SUB-SUBINTERVAL LOOP +C NOW COMPUTE LEAST SQUARES COEFFICIENTS +C FORCE FIT THROUGH SINES' ZEROS, + IF ((IZ.NE.0)) THEN +C FOR SMALL REL.ERR.&GOOD +C VALUES OF SINTHE/THETA NEAR ZERO + SIN1(ISUB)=SXY/SXX + SIN0(ISUB)=-SIN1(ISUB)*XSI +C DO FULL LEAST SQUARES + ELSE + DEL=FNSSS*SXX-SX*SX + SIN1(ISUB)=(FNSSS*SXY-SY*SX)/DEL + SIN0(ISUB)=(SY*SXX-SX*SXY)/DEL - SIN1(ISUB)*XSI + END IF +291 CONTINUE +292 CONTINUE +C END SUB-INTERVAL LOOP + SINC0=2.0 +C SET COEFFICIENTS WHICH DETERMINE INTERVAL +C NOW TEST FIT, IF REQUESTED + SINC1=1.0/WID + IF ((ISTEST.NE.0)) THEN +C FIRST TEST AT POINTS PREVIOUSLY COMPUTED, EXCLUDING +C END SUBINTERVALS + ADEV=0. + RDEV=0. + S2C2MN=10. + S2C2MX=0. + DO 321 ISUB=1,NISUB + DO 331 ISS=1,NSINSS + THETA=WID*FLOAT(ISUB-1)+WSS*FLOAT(ISS-1) + CTHET=PI5D2-THETA + SINTHE=SIN(THETA) + COSTHE=SIN(CTHET) + SINT=SIN(THETA) + COST=COS(THETA) + ASD=ABS(SINTHE-SINT) + ACD=ABS(COSTHE-COST) + ADEV=AMAX1(ADEV,ASD,ACD) + IF((SINT.NE.0.0))RDEV=AMAX1(RDEV,ASD/ABS(SINT)) + IF((COST.NE.0.0))RDEV=AMAX1(RDEV,ACD/ABS(COST)) + S2C2=SINTHE**2+COSTHE**2 + S2C2MN=AMIN1(S2C2MN,S2C2) + S2C2MX=AMAX1(S2C2MX,S2C2) + IF ((ISUB.LT.11)) THEN + WRITE(6,340)THETA,SINTHE,SINT,COSTHE,COST +340 FORMAT(1P5E20.7) + END IF +331 CONTINUE +332 CONTINUE +321 CONTINUE +322 CONTINUE +C END OF FIXED INTERVAL TEST-OUTPUT RESULTS + WRITE(6,350)MXSINC,NSINSS +350 FORMAT(' SINE TESTS,MXSINC,NSINSS=',2I5) + WRITE(6,360)ADEV,RDEV,S2C2MN,S2C2MX +360 FORMAT(' ADEV,RDEV,S2C2(MN,MX) =',1P4E16.8) +C NOW DO RANDOM TEST + ADEV=0. + RDEV=0. + S2C2MN=10. + S2C2MX=0. + DO 371 IRN=1,NRNA + THETA=RNDM(IXX) + THETA=THETA*PI5D2 + CTHET=PI5D2-THETA + SINTHE=SIN(THETA) + COSTHE=SIN(CTHET) + SINT=SIN(THETA) + COST=COS(THETA) + ASD=ABS(SINTHE-SINT) + ACD=ABS(COSTHE-COST) + ADEV=AMAX1(ADEV,ASD,ACD) + IF((SINT.NE.0.0))RDEV=AMAX1(RDEV,ASD/ABS(SINT)) + IF((COST.NE.0.0))RDEV=AMAX1(RDEV,ACD/ABS(COST)) + S2C2=SINTHE**2+COSTHE**2 + S2C2MN=AMIN1(S2C2MN,S2C2) + S2C2MX=AMAX1(S2C2MX,S2C2) +371 CONTINUE +372 CONTINUE +C END RANDOM ANGLE LOOP + WRITE(6,380)NRNA +380 FORMAT(' TEST AT ',I7,' RANDOM ANGLES IN (0,5*PI/2)') + WRITE(6,390)ADEV,RDEV,S2C2MN,S2C2MX +390 FORMAT(' ADEV,RDEV,S2C2(MN,MX) =',1P4E16.8) + END IF +C END OF SINE TABLE TEST +C NOW FILL IN POWER OF TWO TABLE. PWR2I(I)=1/2**(I-1) + P=1. + DO 401 I=1,50 + PWR2I(I)=P + P=P/2. +401 CONTINUE +402 CONTINUE + END IF +C END OF FIRST TIME INITIALIZATION +C FILL IRAYLM ARRAY BASED ON IRAYLR INPUTS + DO 411 J=1,NMED +420 CONTINUE + DO 421 I=1,200 + IF ((IRAYLR(I).EQ.1.AND.MED(I).EQ.J)) THEN +C REGION I = MEDIUM J AND WE WANT RAYLEIGH SCATTERING, SO +C SET FLAG TO PICK UP DATA FOR MEDIUM J AND TRY NEXT MEDIUM. + IRAYLM(J)=1 + GO TO 422 + END IF +C END OF REGION-LOOP +421 CONTINUE +422 CONTINUE +C END OF MEDIA-LOOP +411 CONTINUE +412 CONTINUE +C NOW SEARCH FILE FOR DATA FOR REQUESTED MATERIALS + OPEN ( UNIT=KMPI, STATUS='OLD', READONLY, SHARED ) + REWIND KMPI +C NUMBER OF MEDIA FOUND + NM=0 + DO 431 IM=1,NMED +C SET FLAG TELLING WHICH MEDIA ARE OK +C NOW TELL USER IF RAYLEIGH OPTION HAS BEEN REQUESTED + LOK(IM)=0 + IF ((IRAYLM(IM).EQ.1)) THEN + WRITE(6,440)IM +440 FORMAT(' RAYLEIGH OPTION REQUESTED FOR MEDIUM NUMBER',I3,/) + END IF +431 CONTINUE +432 CONTINUE +C MEDIUM SEARCH LOOP +450 CONTINUE +C MEDIUM HEADER SEARCH LOOP +451 CONTINUE +460 CONTINUE +461 CONTINUE +C FIRST LOOK FOR MEDIUM HEADER + READ(KMPI,280,END=470)MBUF + DO 481 IB=1,LMDL + IF((MBUF(IB).NE.MDLABL(IB)))GO TO 461 +481 CONTINUE +482 CONTINUE +C HEADER MATCHES. NOW SEE IF IT IS ONE OF REQUESTED MEDIA +490 CONTINUE + DO 491 IM=1,NMED + DO 501 IB=1,LMDN + IL=LMDL+IB + IF((MBUF(IL).NE.MEDIA(IB,IM)))GO TO 491 + IF((IB.EQ.LMDN))GO TO 462 +501 CONTINUE +502 CONTINUE +491 CONTINUE +492 CONTINUE +C END :MDNAME: DO +C NOT IN NAME TABLE, SO IGNORE IT + GO TO 461 +462 CONTINUE +C MDLOOK +C 'IM' IS THE INDEX OF THE MEDIUM READY TO BE READ + IF((LOK(IM).NE.0))GO TO 460 +C WE ALREADY HAVE THIS ONE + LOK(IM)=1 +C SET FOUND FLAG AND STEP MEDIUM COUNTER +C NOW READY TO READ IN DATA FOR THIS MEDIUM + NM=NM+1 + WRITE(KMPO,510)IM,MBUF +C NOW PUT OUT LINES SHOWING COMPOSITION OF MEDIUM +510 FORMAT(' DATA FOR MEDIUM #',I3,', WHICH IS:',72A1) + READ(KMPI,520)(MBUF(I),I=1,5),RHO(IM),NE +520 FORMAT(5A1,5X,F11.0,4X,I2) + WRITE(KMPO,530)(MBUF(I),I=1,5),RHO(IM),NE +530 FORMAT(5A1,',RHO=',1PG11.4, ',NE=',I2,',COMPOSITION IS :') + DO 541 IE=1,NE + READ(KMPI,280)MBUF + WRITE(KMPO,280)MBUF +541 CONTINUE +542 CONTINUE +C MEDIA AND THRESH + WRITE(KMPO,550) +550 FORMAT(' ECHO READ:$LGN(RLC,AE,AP,UE,UP(IM))') + READ(KMPI,270)RLC(IM),AE(IM),AP(IM),UE(IM),UP(IM) + WRITE(KMPO,270)RLC(IM),AE(IM),AP(IM),UE(IM),UP(IM) + TE(IM)=AE(IM)-RM +C ACTUAL ARRAY SIZES FROM PEGS + THMOLL(IM)=TE(IM)*2. + RM + WRITE(KMPO,560) +560 FORMAT(' ECHO READ:$LGN(MSGE,MGE,MSEKE,MEKE,MLEKE,MCMFP,MRANGE(IM) + *),IRAYL') + READ(KMPI,260)MSGE(IM),MGE(IM),MSEKE(IM),MEKE(IM),MLEKE(IM),MCMFP( + *IM),MRANGE(IM),IRAYL + WRITE(KMPO,260)MSGE(IM),MGE(IM),MSEKE(IM),MEKE(IM),MLEKE(IM),MCMFP + *(IM),MRANGE(IM),IRAYL + NSGE=MSGE(IM) + NGE=MGE(IM) + NSEKE=MSEKE(IM) + NEKE=MEKE(IM) + NLEKE=MLEKE(IM) + NCMFP=MCMFP(IM) +C BREMPR + NRANGE=MRANGE(IM) + WRITE(KMPO,570) +570 FORMAT(' ECHO READ:($LGN(DL(I,IM)/1,2,3,4,5,6/),I=1,6)') + READ(KMPI,270)(DL1(I,IM),DL2(I,IM),DL3(I,IM),DL4(I,IM),DL5(I,IM),D + *L6(I,IM),I=1,6) + WRITE(KMPO,270)(DL1(I,IM),DL2(I,IM),DL3(I,IM),DL4(I,IM),DL5(I,IM), + *DL6(I,IM),I=1,6) + WRITE(KMPO,580) +580 FORMAT(' ECHO READ:DELCM(IM),($LGN(ALPHI,BPAR, DELPOS(I,IM)),I=1,2 + *)') + READ(KMPI,270)DELCM(IM),(ALPHI(I,IM),BPAR(I,IM),DELPOS(I,IM),I=1,2 + *) +C ELECIN + WRITE(KMPO,270)DELCM(IM),(ALPHI(I,IM),BPAR(I,IM),DELPOS(I,IM),I=1, + *2) + WRITE(KMPO,590) +590 FORMAT(' ECHO READ:$LGN(XR0,TEFF0,BLCC,XCC(IM))') + READ(KMPI,270)XR0(IM),TEFF0(IM),BLCC(IM),XCC(IM) + WRITE(KMPO,270)XR0(IM),TEFF0(IM),BLCC(IM),XCC(IM) + WRITE(KMPO,600) +600 FORMAT(' ECHO READ:$LGN(EKE(IM)/0,1/)') + READ(KMPI,270)EKE0(IM),EKE1(IM) + WRITE(KMPO,270)EKE0(IM),EKE1(IM) + WRITE(KMPO,610) +610 FORMAT(' ECHO READ:($LGN(ESIG,PSIG,EDEDX,PDEDX,EBR1,PBR1,PBR2, TMX + *S(I,IM)/0,1/),I=1,NEKE)') + READ(KMPI,270)(ESIG0(I,IM),ESIG1(I,IM),PSIG0(I,IM),PSIG1(I,IM),EDE + *DX0(I,IM),EDEDX1(I,IM),PDEDX0(I,IM),PDEDX1(I,IM),EBR10(I,IM),EBR11 + *(I,IM),PBR10(I,IM),PBR11(I,IM),PBR20(I,IM),PBR21(I,IM),TMXS0(I,IM) + *,TMXS1(I,IM),I=1,NEKE) +C PHOTIN + WRITE(KMPO,270)(ESIG0(I,IM),ESIG1(I,IM),PSIG0(I,IM),PSIG1(I,IM),ED + *EDX0(I,IM),EDEDX1(I,IM),PDEDX0(I,IM),PDEDX1(I,IM),EBR10(I,IM),EBR1 + *1(I,IM),PBR10(I,IM),PBR11(I,IM),PBR20(I,IM),PBR21(I,IM),TMXS0(I,IM + *),TMXS1(I,IM),I=1,NEKE) + WRITE(KMPO,620) +620 FORMAT(' ECHO READ:EBINDA(IM),$LGN(GE(IM)/0,1/)') + READ(KMPI,270)EBINDA(IM),GE0(IM),GE1(IM) + WRITE(KMPO,270)EBINDA(IM),GE0(IM),GE1(IM) + WRITE(KMPO,630) +630 FORMAT(' ECHO READ:($LGN(GMFP,GBR1,GBR2(I,IM)/0,1/),I=1,NGE)') + READ(KMPI,270)(GMFP0(I,IM),GMFP1(I,IM),GBR10(I,IM),GBR11(I,IM),GBR + *20(I,IM),GBR21(I,IM),I=1,NGE) +C PHOTIN (CONTINUED)---OPTIONAL RAYLEIGH SCATTERING INPUT + WRITE(KMPO,270)(GMFP0(I,IM),GMFP1(I,IM),GBR10(I,IM),GBR11(I,IM),GB + *R20(I,IM),GBR21(I,IM),I=1,NGE) + IF ((IRAYLM(IM).EQ.1.AND.IRAYL.NE.1)) THEN + WRITE(6,640)IM +640 FORMAT(' STOPPED IN HATCH: REQUESTED RAYLEIGH OPTION FOR MEDIUM',I + *3, /,' BUT RAYLEIGH DATA NOT INCLUDED IN DATA CREATED BY PEGS.') + STOP + END IF + IF ((IRAYL.EQ.1)) THEN + WRITE(KMPO,650) +650 FORMAT(' ECHO READ:NGR(IM)') + READ(KMPI,260)NGR(IM) + WRITE(KMPO,260)NGR(IM) + NGRIM=NGR(IM) + WRITE(KMPO,660) +660 FORMAT(' ECHO READ:$LGN(RCO(IM)/0,1/)') + READ(KMPI,270)RCO0(IM),RCO1(IM) + WRITE(KMPO,270)RCO0(IM),RCO1(IM) + WRITE(KMPO,670) +670 FORMAT(' ECHO READ:($LGN(RSCT(I,IM)/0,1/),I=1,NGRIM)') + READ(KMPI,270)(RSCT0(I,IM),RSCT1(I,IM),I=1,NGRIM) + WRITE(KMPO,270)(RSCT0(I,IM),RSCT1(I,IM),I=1,NGRIM) + WRITE(KMPO,680) +680 FORMAT(' ECHO READ:($LGN(COHE(I,IM)/0,1/),I=1,NGE)') + READ(KMPI,270)(COHE0(I,IM),COHE1(I,IM),I=1,NGE) + WRITE(KMPO,270)(COHE0(I,IM),COHE1(I,IM),I=1,NGE) + IF ((IRAYLM(IM).NE.1)) THEN + WRITE(6,690)IM +690 FORMAT(' RAYLEIGH DATA AVAILABLE FOR MEDIUM',I3, ' BUT OPTION NOT + *REQUESTED.',/) + END IF + END IF +C THAT'S ALL FOR THIS MEDIUM + IF((NM.GE.NMED))GO TO452 + GO TO 451 +452 CONTINUE +C LOOP UNTIL WE HAVE ENOUGH. END :MEDIUM: LOOP +C WE NOW HAVE DATA FOR ALL MEDIA REQUESTED. NOW DO DISTANCE UNIT +C CHANGE. DATA FROM PEGS IS IN UNITS OF RADIATION LENGTHS. +C EGS IS RUN IN UNITS OF 'DUNIT' CENTIMETERS, IF DUNIT.GT.0 +C OR IN UNITS OF RLC(-DUNIT) CENTIMETERS IF DUNIT.LT.0. +C THAT IS, A NEGATIVE DUNIT MEANS UNIT IS TO BE THE RADIATION +C LENGTH OF THE MEDIUM WHOSE INDEX IS -DUNIT +C SAVE REQUESTED + DUNITR=DUNIT + IF ((DUNIT.LT.0.0)) THEN + ID=MAX0(1,MIN0(10,IFIX(-DUNIT))) + DUNIT=RLC(ID) + END IF + IF ((DUNIT.NE.1.0)) THEN + WRITE(6,700)DUNITR,DUNIT +700 FORMAT(' DUNIT REQUESTED&USED ARE:',1P2E14.5,'(CM.)') + END IF + DO 711 IM=1,NMED + DFACT=RLC(IM)/DUNIT +C CONVERTS RL TO DUNITS +C CONVERT RL**-1 TO DUNITS**-1 + DFACTI=1.0/DFACT + I=1 + GO TO 723 +721 I=I+1 +723 IF(I-(MEKE(IM)).GT.0)GO TO 722 + ESIG0(I,IM)=ESIG0(I,IM)*DFACTI + ESIG1(I,IM)=ESIG1(I,IM)*DFACTI + PSIG0(I,IM)=PSIG0(I,IM)*DFACTI + PSIG1(I,IM)=PSIG1(I,IM)*DFACTI + EDEDX0(I,IM)=EDEDX0(I,IM)*DFACTI + EDEDX1(I,IM)=EDEDX1(I,IM)*DFACTI + PDEDX0(I,IM)=PDEDX0(I,IM)*DFACTI + PDEDX1(I,IM)=PDEDX1(I,IM)*DFACTI + TMXS0(I,IM)=TMXS0(I,IM)*DFACT + TMXS1(I,IM)=TMXS1(I,IM)*DFACT + GO TO 721 +722 CONTINUE + I=1 + GO TO 733 +731 I=I+1 +733 IF(I-(MLEKE(IM)).GT.0)GO TO 732 + ERANG0(I,IM)=ERANG0(I,IM)*DFACT + ERANG1(I,IM)=ERANG1(I,IM)*DFACT + PRANG0(I,IM)=PRANG0(I,IM)*DFACT + PRANG1(I,IM)=PRANG1(I,IM)*DFACT + GO TO 731 +732 CONTINUE + TEFF0(IM)=TEFF0(IM)*DFACT + BLCC(IM)=BLCC(IM)*DFACTI + XCC(IM)=XCC(IM)*SQRT(DFACTI) + RLDU(IM)=RLC(IM)/DUNIT + I=1 + GO TO 743 +741 I=I+1 +743 IF(I-(MGE(IM)).GT.0)GO TO 742 + GMFP0(I,IM)=GMFP0(I,IM)*DFACT + GMFP1(I,IM)=GMFP1(I,IM)*DFACT + GO TO 741 +742 CONTINUE +711 CONTINUE +712 CONTINUE +C END IM DO +C SCALE VACDST. UNDO PREVIOUS SCALE, THEN DO NEW. + VACDST=VACDST*DUNITO/DUNIT +C SAVE OLD DUNIT +C NOW MAKE SURE ECUT AND PCUT ARE NOT LOWER THAN ANY AE OR AP +C ALSO SET DEFAULT DENSITIES + DUNITO=DUNIT + DO 751 JR=1,200 +C IT IS LEGAL NON-VACUUM MEDIUM. + MD=MED(JR) + IF (((MD.GE.1).AND.(MD.LE.NMED))) THEN + ECUT(JR)=AMAX1(ECUT(JR),AE(MD)) +C USE STANDARD DENSITY FOR REGIONS NOT SPECIALLY SET UP + PCUT(JR)=AMAX1(PCUT(JR),AP(MD)) + IF ((RHOR(JR).EQ.0.0)) THEN + RHOR(JR)=RHO(MD) + END IF + END IF +751 CONTINUE +752 CONTINUE +C SETUP IS NOW COMPLETE + IF ((NMED.EQ.1)) THEN + WRITE(6,760) +760 FORMAT(' EGS4 SUCCESSFULLY ''HATCHED'' FOR ONE MEDIUM.') + ELSE + WRITE(6,770)NMED +770 FORMAT(' EGS4 SUCCESSFULLY ''HATCHED'' FOR ',I5,' MEDIA.') + END IF + RETURN +470 WRITE(6,780)KMPI +780 FORMAT(' END OF FILE ON UNIT ',I2,//, ' PROGRAM STOPPED IN HATCH B + *ECAUSE THE',/, ' FOLLOWING NAMES WERE NOT RECOGNIZED:',/) + DO 791 IM=1,NMED + IF ((LOK(IM).NE.1)) THEN + WRITE(6,800)(MEDIA(I,IM),I=1,LMDN) +800 FORMAT(40X,'''',24A1,'''') + END IF +791 CONTINUE +792 CONTINUE + STOP +C END OF SUBROUTINE HATCH + END + SUBROUTINE MOLLER +C VERSION 4.XX -- 7 MAR 1985/2345 +C ****************************************************************** +C DISCRETE MOLLER SCATTERING (A CALL TO THIS ROUTINE) HAS BEEN +C ARBITRARILY DEFINED AND CALCULATED TO MEAN MOLLER SCATTERINGS +C WHICH IMPART TO THE SECONDARY ELECTRON SUFFICIENT ENERGY THAT +C IT BE TRANSPORTED DISCRETELY. THE THRESHOLD TO TRANSPORT AN +C ELECTRON DISCRETELY IS A TOTAL ENERGY OF AE OR A KINETIC ENERGY +C OF TE=AE-RM. SINCE THE KINETIC ENERGY TRANSFER IS ALWAYS, BY +C DEFINITION, LESS THAN HALF OF THE INCIDENT KINETIC ENERGY, THIS +C IMPLIES THAT THE INCIDENT ENERGY, EIE, MUST BE LARGER THAN +C THMOLL=TE*2+RM. THE REST OF THE COLLISION CONTRIBUTION IS +C SUBTRACTED CONTINUOUSLY FROM THE ELECTRON AS IONIZATION +C LOSS DURING TRANSPORT. +C ****************************************************************** + DOUBLE PRECISION PEIE,PEKSE2,PESE1,PESE2 + DOUBLE PRECISION PEKIN,H1,DCOSTH + COMMON/QDEBUG/QDEBUG + LOGICAL QDEBUG + COMMON/STACK/E(40),X(40),Y(40),Z(40),U(40),V(40),W(40),DNEAR(40),W + *T(40),IQ(40),IR(40),NP + DOUBLE PRECISION E + COMMON/THRESH/RMT2,RMSQ,ESCD2,AP(10),AE(10),UP(10),UE(10),TE(10),T + *HMOLL(10) + COMMON/UPHIOT/THETA,SINTHE,COSTHE,SINPHI, COSPHI,PI,TWOPI,PI5D2 + COMMON/USEFUL/PZERO,PRM,PRMT2,RM,MEDIUM,MEDOLD,IBLOBE + DOUBLE PRECISION PZERO,PRM,PRMT2 + COMMON/RANDOM/IXX +C DEFAULT REPLACEMENT PRODUCES THE FOLLOWING: +C COMIN/DEBUG,STACK,THRESH,UPHIOT,USEFUL,RANDOM/; + IRCODE=1 +C SET FOR NORMAL RETURN + PEIE=E(NP) +C PRECISE ENERGY OF INCIDENT ELECTRON + EIE=PEIE +C ENERGY OF INCIDENT ELECTRON + PEKIN=PEIE-PRM +C PRECISE K.E. OF INCIDENT ELECTRON + EKIN=PEKIN + T0=EKIN/RM + E0=T0+1.0 + EXTRAE = EIE - THMOLL(MEDIUM) + E02=E0*E0 + BETAI2=E02/(E02-1.0) + EP0=TE(MEDIUM)/EKIN + G1=(1.-2.*EP0)*BETAI2 + G2=T0*T0/E02 +C H.H.NAGEL HAS CONSTRUCTED A FACTORIZATION OF THE FREQUENCY +C DISTRIBUTION FUNCTION FOR THE MOLLER DIFFERENTIAL CROSS +C SECTION USED AS SUGGESTED BY BUTCHER AND MESSEL. +C (H.H.NAGEL, OP.CIT., P. 53-55) +C HOWEVER, A MUCH SIMPLER SAMPLING METHOD WHICH DOES NOT BECOME +C VERY INEFFICIENT NEAR THMOLL IS THE FOLLOWING. . . +C LET BR=EKS/EKIN, WHERE EKS IS KINETIC ENERGY TRANSFERED TO THE +C SECONDARY ELECTRON AND EKIN IS THE INCIDENT KINETIC ENERGY. +C MODIFIED (7 FEB 1974) TO USE THE TRUE MOLLER CROSS SECTION. +C THAT IS, INSTEAD OF THE E+ E- AVERAGE GIVEN IN THE ROSSI +C FORMULA USED BY NAGEL. THE SAMPLING SCHEME IS THAT +C USED BY MESSEL AND CRAWFORD (EPSDF 1970 P.13) +C FIRST SAMPLE (1/BR**2) OVER (TE/EKIN,1/2) . . . +C TO RETRY IF REJECTED + G3=(2.*T0+1.)/E02 +811 CONTINUE + RNNO27=RNDM(IXX) + BR = TE(MEDIUM)/(EKIN-EXTRAE*RNNO27) +C USE MESSEL AND CRAWFORDS REJECTION FUNCTION. + R=BR/(1.-BR) + RNNO28=RNDM(IXX) + REJF4=G1*(1.+G2*BR*BR+R*(R-G3)) + IF((RNNO28.LE.REJF4))GO TO812 + GO TO 811 +812 CONTINUE +C TRY UNTIL ACCEPTED. END REJECTION LOOP + PEKSE2=BR*EKIN +C PRECISE KINETIC ENERGY OF SECONDARY ELECTRON #2 + PESE1=PEIE-PEKSE2 +C PRECISE ENERGY OF SECONDARY ELECTRON #1 + PESE2=PEKSE2+PRM +C PRECISE ENERGY OF SECONDARY ELECTRON #2 + ESE1=PESE1 +C ENERGY OF SECONDARY ELECTRON 1 + ESE2=PESE2 +C ENERGY OF SECONDARY ELECTRON 2 + E(NP)=PESE1 + E(NP+1)=PESE2 +C SINCE BR.LE.0.5, E(NP+1) MUST BE .LE. E(NP). +C MOLLER ANGLES ARE UNIQUELY DETERMINED BY KINEMATICS + H1=(PEIE+PRM)/PEKIN +C DIRECTION COSINE CHANGE FOR 'OLD' ELECTRON + DCOSTH=H1*(PESE1-PRM)/(PESE1+PRM) + SINTHE=DSQRT(1.D0-DCOSTH) + COSTHE=DSQRT(DCOSTH) + CALL UPHI(2,1) +C RELATED CHANGE AND (X,Y,Z) SETUP FOR 'NEW' ELECTRON + NP=NP+1 + IQ(NP)=-1 + DCOSTH=H1*(PESE2-PRM)/(PESE2+PRM) + SINTHE=-DSQRT(1.D0-DCOSTH) + COSTHE=DSQRT(DCOSTH) + CALL UPHI(3,2) + RETURN +C END OF SUBROUTINE MOLLER + END + SUBROUTINE MSCAT +C VERSION 4.XX -- 7 MAR 1985/2345 +C ****************************************************************** + COMMON/QDEBUG/QDEBUG + LOGICAL QDEBUG + COMMON/ELECIN/EKELIM,ICOMP,EKE0(10),EKE1(10),CMFP0(10),CMFP1(10),R + *ANGE0(10),RANGE1(10), XR0(10),TEFF0(10),BLCC(10),XCC(10),PICMP0(1, + *10),PICMP1(1,10),EICMP0(1,10),EICMP1(1,10),MPEEM(1,10), ESIG0(150, + *10),ESIG1(150,10),PSIG0(150,10),PSIG1(150,10),EDEDX0(150,10),EDEDX + *1(150,10),PDEDX0(150,10),PDEDX1(150,10),EBR10(150,10),EBR11(150,10 + *),PBR10(150,10),PBR11(150,10),PBR20(150,10),PBR21(150,10),TMXS0(15 + *0,10),TMXS1(150,10),CMFPE0(1,10),CMFPE1(1,10),CMFPP0(1,10),CMFPP1( + *1,10),ERANG0(1,10),ERANG1(1,10),PRANG0(1,10),PRANG1(1,10),CXC2E0(1 + *,10),CXC2E1(1,10),CXC2P0(1,10),CXC2P1(1,10),CLXAE0(1,10),CLXAE1(1, + *10),CLXAP0(1,10),CLXAP1(1,10), THR0(1,1),THR1(1,1),THR2(1,1),THRI0 + *(1,1),THRI1(1,1),THRI2(1,1),FSTEP(16),FSQR(16),MSMAP(200), VERT1(1 + *000),VERT2(100,16),MSTEPS,JRMAX,MXV1, MXV2,NBLC,NRNTH,NRNTHI,BLC0, + *BLC1,RTHR0,RTHR1,RTHRI0,RTHRI1 + COMMON/EPCONT/EDEP,TSTEP,TUSTEP,USTEP,TVSTEP,VSTEP, IDISC,IROLD,IR + *NEW,RHOF,EOLD,ENEW,EKE,ELKE,BETA2,BETA, GLE,TSCAT,IAUSFL(25) + DOUBLE PRECISION EDEP + COMMON/MISC/KMPI,KMPO,DUNIT,NOSCAT,MED(200),RHOR(200),IRAYLR(200) + COMMON/MULTS/NG21,B0G21,B1G21,G210(7),G211(7),G212(7), NG22,B0G22, + *B1G22,G220(8),G221(8),G222(8), NG31,B0G31,B1G31,G310(11),G311(11), + *G312(11), NG32,B0G32,B1G32,G320(25),G321(25),G322(25), NBGB,B0BGB, + *B1BGB,BGB0(8),BGB1(8),BGB2(8) + COMMON/STACK/E(40),X(40),Y(40),Z(40),U(40),V(40),W(40),DNEAR(40),W + *T(40),IQ(40),IR(40),NP + DOUBLE PRECISION E + COMMON/THRESH/RMT2,RMSQ,ESCD2,AP(10),AE(10),UP(10),UE(10),TE(10),T + *HMOLL(10) + COMMON/UPHIIN/SINC0,SINC1,SIN0(1002),SIN1(1002) + COMMON/UPHIOT/THETA,SINTHE,COSTHE,SINPHI, COSPHI,PI,TWOPI,PI5D2 + COMMON/USEFUL/PZERO,PRM,PRMT2,RM,MEDIUM,MEDOLD,IBLOBE + DOUBLE PRECISION PZERO,PRM,PRMT2 + COMMON/RANDOM/IXX +C DEFAULT REPLACEMENT PRODUCES THE FOLLOWING: +C COMIN/DEBUG,ELECIN,EPCONT,MISC,MULTS,STACK,THRESH,UPHIIN, +C UPHIOT,USEFUL,RANDOM/; + VSTEFF=TVSTEP*RHOF +C ACCOUNT FOR ALTERED DENSITY +C GET MOLIERE'S LOWER CASE B PARAMETER, BLC + OMEGA0=BLCC(MEDIUM)*VSTEFF/BETA2 + IF ((OMEGA0.LE.1.0)) THEN + SINTHE=0.0 + COSTHE=1.0 + THETA=0.0 + NOSCAT=NOSCAT+1 + RETURN + END IF +C DEFAULT IS A CHECK ON OMEGA0.LE.1.0 IF TRUE, +C THEN [SINTHE=0.0; COSTHE=1.0; THETA=0.0; RETURN;] +C OTHERWISE, CONTINUE ON. +C NOW CONVERT TO MOLIERE'S BIG B +C 1.30685=2-LN 2, 1.530394=2/(2-LN 2) + BLC=ALOG(OMEGA0) + IF ((BLC.LE.1.30685)) THEN + B=1.530394*BLC +C BELOW TRANSCENDENTAL LIMIT + ELSE + IB=B0BGB+BLC*B1BGB + IF ((IB.GT.NBGB)) THEN + WRITE(6,820)IB +820 FORMAT(' NBGB ') +20 format ('+ ',i3,' - ',70a) +21 format ('+ ',i3,' - ') + return + end +c +c +C************************************************************************ + subroutine make_hist (id,n,xx,yy,title) + +C************************************************************************ +C + include 'comm_cyltot_2.for' +C + character*80 title + integer id,n + real*4 xx(2),yy(500) + call hgive(id,title,n,xx(1),xx(2),xp,ymi,yma,nwt,loc) + call hunpak(id,yy,'HIST',1) +c + return + end +c +C************************************************************************ + SUBROUTINE UPCASE(C) +C************************************************************************ +C ----------------------------------------------------- +C Change string C to upcase letters +C --------------------------------------------------------- + CHARACTER*(*) C +C +C Transform lowercase to upcase ASCII characters by adding +C the constant value 32 to the ASCII value. 32 il the +C distance between upcase and lowercase in the ASCII +C collating sequence + DO 10 I=1,LEN(C) + IF(C(I:I).GE.'a'.AND.C(I:I).LE.'z') THEN + IC=ICHAR(C(I:I)) + IC=IC-32 + C(I:I)=CHAR(IC) + ENDIF + 10 CONTINUE + RETURN + END + diff --git a/code/source/histin_cyl_2.for b/code/source/histin_cyl_2.for new file mode 100644 index 0000000..6097559 --- /dev/null +++ b/code/source/histin_cyl_2.for @@ -0,0 +1,143 @@ +C file histin_cyl_2.for del 5-sept-1994 +C + SUBROUTINE HISTIN_CYL +C -------------------- +C +C-------------------------------------------------------C +C POSITRONS, CYL. GEOM. HISTOGRAM INITIALIZATION +C-------------------------------------------------------C + INCLUDE 'COMM_CYLtot_2.FOR' +C-------------------------------------------------------C + CHARACTER*80 TITLE + CHARACTER*8 TAGS(10) + CHARACTER*80 TITLEy + CHARACTER*8 TAGSy(20) +C-------------------------------------------------------C +C-------------------------------------------------------C +C WRITE(LTYOUT,6080) +C 6080 FORMAT(1X,' ENTERING HISTIN_CYL ') +C-------------------------------------------------------C +C SET PARAMETER FOR HBOOK PACKAGE --------------C +C +C CALL HLIMIT( 100000 ) ! memory allocation for hbook + CALL HLIMIT( mspazio_paw ) ! parameter def. in comm_cyl + CALL HOUTPU( LTYHIS ) ! hbook output unit (10) + CALL HERMES( LTYHIS ) ! hbook error output unit (10) +C------------------------------------------------------- +C ALLOCATION FOR OUTPUT TO PAW ( UNIT 20 )---- +C + OPEN(UNIT=HISLUN,FORM='UNFORMATTED',RECL=1024, ! opening file + 1 ACCESS='DIRECT',STATUS='NEW') +C CALL HROPEN(HISLUN,'topw','HBOOK.OUT','N',1024,ISTAT) + CALL HRFILE(HISLUN,'topw','N') ! assegna il file ad hbook +C definendo il nome hbook del file( top directory) topw + CALL HCDIR(DIRETTORIO,'R') ! metto in direttorio il nome dir +C corrente del file (il main dir: //PAWC ) + CALL HCDIR('//PAWC',' ') ! Ripunto al dir del comm /pawc/ +C +C------------------------------------------------------- +C LOOP PER LETTURA SPECIFICHE ISTOGRAMMI 1 -DIM +C + 100 READ(LTYFIL,*,ERR=990,END=992) + A ID,NCHX,XMIN,XMAX,VALMAX +C TYPE *,ID,NCHX,XMIN,XMAX,VALMAX + IF(ID) 120,120,110 + 110 READ(LTYFIL,9000,ERR=990,END=992) TITLE +C-------------------------------------------------------C +C-------- BOOK MONODIMENSIONAL HISTOGRAMS IN //PAWC ----C +C-------------------------------------------------------C + CALL HBOOK1(ID,TITLE,NCHX,XMIN,XMAX,VALMAX) + GOTO 100 +C ---------------------------------------------- +C LOOP PER LETTURA SPECIFICHE ISTOGRAMMI 2 -DIM +C + 120 READ(LTYFIL,*,ERR=990,END=992) + A ID,NCHX,XMIN,XMAX,NCHY,YMIN,YMAX,VALMAX +C TYPE *,ID,NCHX,XMIN,XMAX,NCHY,YMIN,YMAX,VALMAX + IF(ID) 140,140,130 + 130 READ(LTYFIL,9000,ERR=990,END=992) TITLE +C-------------------------------------------------------C +C------- BOOK ISTOGRAMMI 2-D IN //PAWC ----------------C +C-------------------------------------------------------C + CALL HBOOK2(ID,TITLE,NCHX,XMIN,XMAX, + A NCHY,YMIN,YMAX,VALMAX) +C-------------------------------------------------------C + GOTO 120 + 140 CONTINUE +C ------------------------------------------ +C ------ LOOP PER LETTURA SPECIFICHE N-TUPLE +C ------------------------------------------ + if(noutflag3.le.0) goto 160 +C + OPEN(UNIT=HISLUN1,FORM='UNFORMATTED',RECL=1024, + 1 ACCESS='DIRECT',STATUS='NEW') + CALL HRFILE(HISLUN1,'topw1','N') ! assegna il file ad hbook + CALL HCDIR(DIRETTORIO1,'R') ! metto in direttorio il dir + CALL HCDIR('//PAWC',' ') ! Ripunto al dir del comm /pawc/ +C + READ(LTYFIL,*,ERR=990,END=992) ID,NVAR,NPRIME + READ(LTYFIL,9000,ERR=990,END=992) TITLE + READ(LTYFIL,9990,ERR=990,END=992) (TAGS(J),J=1,NVAR) + + IF(NVAR.GT.10) THEN + WRITE(LTYOUT,*) ' ERROR, N-TUPLE MAX:',I2 + NVAR=10 + ENDIF +c ............................. booking con overflow su topw1 + CALL HBOOKN(ID,TITLE,NVAR,direttorio1,NPRIME,TAGS) + 160 CONTINUE +c ----------------------------------------- yaps events + if(noutflag4.le.0) goto 170 + OPEN(UNIT=HISLUNy,FORM='UNFORMATTED',RECL=1024, + 1 ACCESS='DIRECT',STATUS='NEW') + CALL HRFILE(HISLUNy,'topwy','N') ! assegna il file ad hbook + CALL HCDIR(DIRETTORIOy,'R') ! metto in direttorio il dir + CALL HCDIR('//PAWC',' ') ! Ripunto al dir del comm /pawc/ +C + 165 READ(LTYFIL,*,ERR=990,END=992) ID,NVAR,NPRIME +C + READ(LTYFIL,9000,ERR=990,END=992) TITLEy + READ(LTYFIL,9990,ERR=990,END=992) (TAGSy(J),J=1,NVAR) + if(id.eq.800) then + type *,' Warning ! Flag for positron decay n-tuple if OFF' + type *,' but I found paw ID=800 in histogram input file' + type *,' Skipping some lines ....... ' + goto 165 + endif + IF(NVAR.GT.20) THEN + WRITE(LTYOUT,*) ' ERROR, N-TUPLE MAX:',I2 + NVAR=10 + ENDIF +c ................................. booking con overflow su topwy + CALL HBOOKN(ID,TITLEy,NVAR,direttorioy,NPRIME,TAGSy) + 170 CONTINUE +C +C --------------------------- lista dati del file GZ DI HBOOK + CALL HLDIR('//PAWC',' ') +C CALL HLDIR(DIRETTORIO,' ') ! sono ancora vuoti +C CALL HLDIR(DIRETTORIO1,' ') +C CALL HLDIR(DIRETTORIOy,' ') + CALL HCDIR('//PAWC',' ') ! Ripunto al dir del comm /pawc/ +C +C WRITE(LTYOUT,6081) +C 6081 FORMAT(1X,' END OF HISTIN_CYL ') +C-------------------------------------------------------C + RETURN +C-------------------------------------------------------C +C------ ERROR SECTION --------------------------------C + 990 WRITE(LTYOUT,9900) + 9900 FORMAT(1H ,' ERROR IN READING FILE LTYFIL ',//) + TYPE *,ID,NCHX,XMIN,XMAX,NCHY,YMIN,YMAX,VALMAX + TYPE *,TITLE + RETURN +C + 992 WRITE(LTYOUT,9920)LTYFIL + 9920 FORMAT(1H ,' EOF IN READING FILE LTYFIL: ',I3,// ) + RETURN +C-------------------------------------------------------C +C 9001 FORMAT(I10,I10,2F10.0,F10.0) +C 9004 FORMAT(I10,I10,2F10.0,I10,2F10.0,F10.0) + 9000 FORMAT(A80) + 9990 format(A8) +C-------------------------------------------------------C + END diff --git a/code/source/howfar_cyl_2.for b/code/source/howfar_cyl_2.for new file mode 100644 index 0000000..6535acf --- /dev/null +++ b/code/source/howfar_cyl_2.for @@ -0,0 +1,678 @@ + SUBROUTINE HOWFAR +C----------------------------------------------------------C +C versione 6 june 1994 +C howfar_cyl_2 : modificato per includere i rivelatori +C howfar_cyl_1 : modified to draw particle's tracks +C CASE FOR CYL GEOMETRY - SEPT 93 BY M.GALLI +C----------------------------------------------------------C + INCLUDE 'DOUBLEDE.FOR' + INCLUDE 'COMM_CYL.FOR' +C INCLUDE 'EGS4COMM.FOR' + dimension traccia1(3),traccia2(3) +C + DATA ALITTLE/0.0000/ ! 1mm/1000 +C ....ALITTLE is a little quantity, used to put a particle +C crossing a boundary into the new region, instead of +C on the boundary. Altrimenti si dovrebbe lavorare in +C doppia precisione nel calcolo delle intersezioni coi +C cilindri, per evitare che si ritrovi la particella +C per sbaglio nella vecchia regione, anche dopo il boundary +C crossing.(se sbaglia regione va anche in loop) +C THIS CAN BE A BAD APPROX. we must have : +C alittle << mean free path in the new region. + DATA USTEPMIN/1.E-6/ +C se ustep << x,y , = precisione macchina, +C lo step e' in ogni modo nullo. Allora metto uno step +C minimo= dimensioni apparato/precisione + LOGICAL NONESCE /.FALSE./ +C Questo flag, se true, attiva un meccanismo che ritarda +C l'uscita delle particelle dal sistema, una particella +C che sta uscendo e' mantenuta nel sistema, dandogli un +C ustep=distanza dal confine * escestep, +C la seconda volta che cerca di uscire la si lascia andare. +C Ci sono delle ambiguita' in questo meccanismo, non ho +C modo di riconoscere veramente la particella al successivo +C ingresso in howfar, ad esempio se ho vietato l'uscita ad +C un positrone e lui annichila, permettero' a uno dei fotoni +C prodotti di uscire subito, scambiandolo per il positrone, +C poiche' ha nello stack la sua posizione. + DATA ESCESTEP /0.5 / +C fattore di cui e' ridotto ustep + DATA NPESCE /0/ +C contatore del vettore delle tentate uscite (USCI) + PARAMETER MSTACK=40 + LOGICAL USCI(MSTACK) + DATA USCI /MSTACK*.FALSE./ +C vettore associato allo stack, per ogni particella si +C ricorda se ha tentato una uscita +C +C -------------------------------------------- + LOGICAL TOOUTR,TOOUTZ,TONEXTR,TOPREVR,TOSAMER +C + TOOUTR=.FALSE. + TOOUTZ=.FALSE. + TONEXTR=.FALSE. + TOPREVR=.FALSE. + TOSAMER=.FALSE. +C +C reset del vettore delle tentate uscite, elimina particelle +C non piu' esistenti perche' assorbite dal mezzo + IF(NONESCE.AND.NP.GT.NPESCE) THEN + DO 1 I=NP+1,NPESCE + 1 USCI(I)=.FALSE. + ENDIF +C ..................................... + ERRESQ=X(NP)*X(NP)+Y(NP)*Y(NP) + ERRE=SQRT(ERRESQ) +C +C ##################################### ******** for testing + if(noutflag2.gt.0) then + write(50,8000)np,e(np),iq(np),ir(np),erre + 8000 format(' HOWFAR : part.:===>',I2,' E=',G13.7,' q=',I2, + 1 ' region:',I2,17X,' r:',G13.7) + write(50,8010) x(np),y(np),z(np),u(np),v(np),w(np),ustep + 8010 format(' x,y,z,u,v,w,step=',10X,G14.8,1x,g14.8,1x,5G14.8) + endif +C +C I due casi estremi di particella gia' fuori sono considerati subito +C----------------------TEST MIN.Z AND MAX.Z ---------------C + IF(ABS(Z(NP)).GE.ZMAX) THEN +c IDISC=1 +c IRNEW=NCYL+2 + call howyaps + goto 500 ! RETURN + ENDIF +C----------------------TEST MAX-R -------------------------C +C IF(ERRE.GE.RCYL(NCYL)) THEN + IF(ERREsq.GE.RCYLsq(NCYL)) THEN +c IDISC=1 +c IRNEW=NCYL+1 + call howyaps + goto 500 ! RETURN + ENDIF +C +C----------------------LOOKS FOR ACTUAL REGION-------------C +C Dovrebbe essere nella regione IR(NP) +C the external boundary of each region belongs to the region + DO 10 I=1,NCYL +C IF(ERRE.LE.RCYL(I)) THEN + IF(ERREsq.LE.RCYLsq(I)) THEN + NR=I + GOTO 100 + ENDIF + 10 CONTINUE +C Allora e' fuori cilindro +c IDISC=1 +c IRNEW=NCYL+1 + call howyaps + goto 500 ! RETURN + 100 CONTINUE +C case of wrong region, happens on cyl. boundary, where the +C particles position is ambiguous. The particles is returned to +C Howfar in the real region, whitout mouving. + IF(NR.NE.IR(NP)) THEN + IF(IR(NP).GT.1) THEN + IF(ERREsq.EQ.RCYLsq(IR(NP)-1)) THEN +C .......... exactly on boundary, and assigned to the next region, +C this may be right, if the particles is set here by howfar, +C due to boundary crossing. + NR=IR(NP) + type*,' Warning, particle on int. boundary but in region',NR + if(noutflag2.gt.0) then + write(50,*)' Warning, particle on int.bound. but in region',NR + endif + ELSE +C ................. WRONG REGION ! + TYPE *,' WARNING ! region:',NR,' instead of',IR(NP) + if(noutflag2.gt.0) then + write(50,*)' WARNING ! region:',NR,' instead of',IR(NP) + endif + IRNEW=NR + USTEP=0. + goto 500 ! RETURN + ENDIF + ELSE + TYPE *,' WARNING ! region:',NR,' instead of',IR(NP) + if(noutflag2.gt.0) then + write(50,*)' WARNING ! region:',NR,' instead of',IR(NP) + endif + IRNEW=NR + USTEP=0. + goto 500 ! RETURN + ENDIF + ENDIF +C +C ...................... COMPUTE POSITION AT NEXT STEP +C ...................... U,V,W are the projections of ustep +C .....normalizing U,V,W ( obtained by tables) serve ???? + ANORM=1./SQRT(U(NP)*U(NP)+V(NP)*V(NP)+W(NP)*W(NP)) + UN=U(NP)*ANORM + VN=V(NP)*ANORM + WN=W(NP)*ANORM +C --------------------- test +C if(anorm.ne.1.) then +C type 5559,ANORM,U(NP),V(NP),W(NP),UN,vn,wn +C 5559 format(' anorm,'G20.12/' u,v,w, new u,v,w:',3(1x,G20.12)) +C endif + U(NP)=UN ! in questo modo quando ricalcola il nuovo step + V(NP)=VN ! egs4 e' coerente con howfar + W(NP)=WN +C +C UN=U(NP) +C VN=V(NP) +C WN=W(NP) +C + XNEW=X(NP)+USTEP*UN + YNEW=Y(NP)+USTEP*VN + ZNEW=Z(NP)+USTEP*WN +C + RNEWSQ=XNEW*XNEW+YNEW*YNEW + RNEW=SQRT(RNEWSQ) +C +C ##################################### ******** for testing + if(noutflag2.gt.0) then + write(50,8030)xnew,ynew,znew,rnew + 8030 format(' going to:xnew,ynew,znew,r=',4G15.8) + endif + 9999 continue ! per l'uso del debugger +C +C -------------------------------- FIND DESTINATION REGION +C +C ..................... test z destination + IF(ABS(ZNEW).GE.ZMAX) THEN + TOOUTZ=.TRUE. +C ........ distance, along the fly-line, to ZMAX:z cyl. limits + ZMAX1=SIGN(ZMAX,ZNEW) + IF(WN.NE.0.0) THEN + RZINT=(ZMAX1-Z(NP))/WN + ELSE + TYPE *,' error! can''t go out with W=0' + RZINT=ZMAX1*RCYL(NCYL) + TOOUTZ=.FALSE. + ENDIF + ENDIF +C +C ..................... test radial destination +C intersect with near cyl. surfaces + 9100 continue ! ===========> LOOK AT RZINT +C ##################################### ******** for testing + if(tooutz.and.noutflag2.gt.0) + 1 write(50,*)' totoutz,rzint=',tooutz,rzint +C +C ......................... if going out of the region looks +C for intersection with cyl. boundary +C GE: don't look for intersec if going on boundary( belong to prev.reg. + IF(RNEW.GT.RCYL(NR)) + 1 TONEXTR=INTERSEC(X(NP),Y(NP),Z(NP),XNEW,YNEW,ZNEW, + 2 RCYLSQ(NR),XX1,YY1,ZZ1,DISTAN1) + 9200 continue ! ===========> LOOK AT INTRSEC. +C +C ##################################### ******** for testing + if(tonextr.and.noutflag2.gt.0) + 1 write(50,8050)tonextr,xx1,yy1,zz1,distan1 + 8050 format(' tonextr,xx1,yy1,zz1,distan1',L,2x,4G14.8) +C + IF(NR.NE.1) THEN + IF(RNEW.LE.RCYL(NR-1)) + 1 TOPREVR=INTERSEC(X(NP),Y(NP),Z(NP),XNEW,YNEW,ZNEW, + 2 RCYLSQ(NR-1),XX2,YY2,ZZ2,DISTAN2) + ENDIF +C + 9300 continue ! ===========> LOOK AT INTRSEC. +C +C ##################################### ******** for testing + if(toprevr.and.noutflag2.gt.0) + 1 write(50,8060)toprevr,xx2,yy2,zz2,distan2 + 8060 format(' toprevr,xx2,yy2,zz2,distan2',L,1x,4G13.7) +C +C IF INTERSECTING 2 CYL CHOSES THE NEARER + IF(TONEXTR.AND.TOPREVR) THEN + IF(DISTAN1.LT.DISTAN2) THEN + TOPREVR=.FALSE. + STEPNEW=DISTAN1 + ELSE + TONEXTR=.FALSE. + STEPNEW=DISTAN2 + ENDIF + ENDIF +C ....................... assign new step.. + IF(TONEXTR) THEN + XX=XX1 + YY=YY1 + ZZ=ZZ1 + STEPNEW=DISTAN1 + ELSE IF(TOPREVR) THEN + XX=XX2 + YY=YY2 + ZZ=ZZ2 + STEPNEW=DISTAN2 + ELSE +C ....................... else same r region + TOSAMER=.TRUE. + IF(TOOUTZ) STEPNEW=SQRT( + 1 (XX-X(NP))**2 + (YY-Y(NP))**2 +(ZZ-Z(NP))**2 ) + ENDIF +C -------------------- The case of going out of Z and also +C in a new R region is considered below +C (the case of going out of r , and also going out of z in +C the same point is considered as an r exit.) +C + IF(TOOUTZ) THEN + IF(ABS(RZINT).LE.STEPNEW) THEN + TOOUTZ=.TRUE. + TOPREVR=.FALSE. + TONEXTR=.FALSE. + TOSAMER=.FALSE. + ELSE + TOOUTZ=.FALSE. + ENDIF + ENDIF +C +C +C ------------------------------------- EXIT DELAY +C meccanismo che ritarda l'uscita di particelle dal sistema, +C quando una particella ( riconosciuta dalla sua posizione +C nello stack) cerca di uscire dal sistema e' tenuta dentro +C per forza, dandogli uno step pari alla distanza dal bordo +C del sistema * escestep, la seconda volta che cerca di uscire +C la si lascia andare. USCI e' un vettore logico che e' true +C se ad una particella e' gia' stato vietato di uscire una volta. + IF(NONESCE) THEN + IF(USCI(NP).OR.TOSAMER.OR.TONEXTR.OR.TOPREVR) THEN +C casi in cui il meccanismo non si usa, reset del flag + USCI(NP)=.FALSE. + ELSE + IF(TOOUTZ) THEN + TOTOUTZ=.FALSE. + USTEP=RZINT*ESCESTEP + ELSE ! if(tooutr) then + TOTOUTR=.FALSE. + USTEP=STEPNEW*ESCESTEP + ENDIF + TOSAMER=.TRUE. + USCI(NP)=.TRUE. + NPESCE=NP +C redefine, using new step,all data needed for tosamer=.true + XNEW=X(NP)+USTEP*UN + YNEW=Y(NP)+USTEP*VN + ZNEW=Z(NP)+USTEP*WN + RNEWSQ=XNEW*XNEW+YNEW*YNEW + RNEW=SQRT(RNEWSQ) + ENDIF + ENDIF +C +C ------------------------------------- FINAL SETTINGS +C when crossing a boundary the particle is put INSIDE the +C new region, by adding ALITTLE, ( a little quantity), +C if the step is very little maybe alittle>>stepnew, in this +C this case we must add a quantity < stepnew to avoid +C breakdow of some approximation in subr. electr +C + IF(TOOUTZ) THEN + IDISC=-1 + IRNEW=NCYL+1 +C USTEP=RZINT + RZINT*ALITTLE + USTEP=MAX(RZINT,USTEPMIN) + goto 500 ! RETURN + ELSE IF(TOOUTR) THEN + IDISC=-1 + IRNEW=NCYL+1 +C must go a bit into new region, the boundary belongs +C to the previous one +C USTEP=STEPNEW + STEPNEW*ALITTLE + USTEP=MAX(STEPNEW,USTEPMIN) + goto 500 ! RETURN + ELSE IF(TOPREVR) THEN + IRNEW=NR-1 +C USTEP=STEPNEW + STEPNEW*ALITTLE + USTEP=MAX(STEPNEW,USTEPMIN) +C DNEAR(NP)= USTEPMIN + ELSE IF(TONEXTR) THEN + IRNEW=NR+1 +C USTEP=STEPNEW + STEPNEW*ALITTLE + USTEP=MAX(STEPNEW,USTEPMIN) +C DNEAR(NP)= USTEPMIN + ELSE IF(TOSAMER) THEN + RNEW=SQRT(RNEWSQ) + DNEAR(NP)=MIN(ZMAX-ZNEW,ZNEW+ZMAX,RCYL(NR)-RNEW) + IF(NR.NE.1) DNEAR(NP)=MIN(DNEAR(NP),RNEW-RCYL(NR-1)) + ELSE + TYPE*,' che succede ? non si verifica nulla ?' + ENDIF +C +C------------------------------- STEP TESTING AT BOUNDARY CROSSING +C ............................................................... +C correct ustep to avoid errors due to approx. +C this may be used instead of ustep=stepnew + alittle +C Siccome i casi di errore non sono statisticamente +C significativi una approx. qui non disturba, come puo' +C accadere aggiungendo alitte tutte le volte che si attraversa +C un cilindro. +C Procedo guardando che r avra' il prossimo ingresso in howfar, +C se sbaglia regione aggiungo qualcosa. + IF(TONEXTR.OR.TOPREVR) THEN + IGIRO=0 + 20 CONTINUE + XNEW=X(NP)+USTEP*UN + YNEW=Y(NP)+USTEP*VN +C ZNEW=Z(NP)+USTEP*WN + RNEWSQ=XNEW*XNEW+YNEW*YNEW + RNEW=SQRT(RNEWSQ) +C errore nel next entry in howfar nel cercare la regione + IF(TONEXTR) THEN +C regione che sta attraversando= irnew-1 + ERROR=RCYL(IRNEW-1)-RNEW + ELSE + ERROR=RNEW-RCYL(IRNEW) + ENDIF +C + if(noutflag2.gt.0) then + WRITE(50,8070) XNEW,YNEW,RNEWSQ,RNEW,USTEP,ERROR + 8070 FORMAT(' test: next x,y,r2,r,ustep,error:',6G14.8) + endif + IF(ERROR.GT.0.0) THEN +C IF(ERROR.GE.0.0) THEN +C IF(ERROR.EQ.0.) USTEP=USTEP+USTEPMIN + USTEP_ORIGINALE=USTEP + correzione=2.*ERROR/SQRT(1.-WN*WN) +C se applico una correzione dell'ordine dello step +C alcune approx in egs4 saltano e il programma si ferma + if( abs(correzione).gt.abs(ustep*0.1) ) then + type *,' WARNING :too big correction not applied!' + go to 500 + endif +C USTEPNUOVO=USTEP+2.*ERROR/SQRT(1.-WN*WN) + USTEPNUOVO=USTEP+correzione + TYPE 8075,ustep,ustepnuovo,correzione,igiro + if(noutflag2.gt.0) then + WRITE(50,8075) USTEP,USTEPNUOVO,USTEP-USTEPNUOVO,IGIRO + 8075 FORMAT(' WARN! old ustep:',G14.8,' new:',G14.8, + 1 ' corr:',G14.8,' iter.:',I3) + endif + USTEP=USTEPNUOVO + IGIRO=IGIRO+1 + IF(IGIRO.EQ.1) THEN + ERROR1=ERROR + GOTO 20 + ELSE IF(ERROR.GT.ERROR1) THEN + if(noutflag2.gt.0) then + WRITE(50,*) ' ERROR, WRONG INTERSECTION FOUND' + WRITE(50,*) ' CORRECTION IMPOSSIBLE !' + endif + TYPE*,' ERROR, WRONG INTERSECTION FOUND' + TYPE*, ' CORRECTION IMPOSSIBLE !' + USTEP=USTEP_ORIGINALE + GOTO 499 + ELSE IF(IGIRO.LT.10) THEN + GOTO 20 + ENDIF + ENDIF + ENDIF + 499 CONTINUE +C ............................................................... +C---------------------------------------------------------C +C ##################################### ******** for testing + if(noutflag2.gt.0) then + write(50,8080)tooutz,tooutr,toprevr,tonextr,tosamer + 8080 format(' outz:',L,' outr:',L,' prevr:',L, + 1 ' nextr:',L,' samer:',L) + write(50,8090)stepnew,rzint,irnew,ustep,idisc,dnear(np) + 8090 format(' stepnew:',G13.7,' rzint:',G13.7, + 2 ' irnew,ustep,idisc,dnear:',I3,1X,G13.7,I3,1X,G13.7) + endif +C +c got to 500 ! RETURN +C---------------------------------------------------------C + 500 continue + if(traccia) then ! if requested draws particle path + traccia1(1)=x(np) + traccia1(2)=y(np) + traccia1(3)=z(np) + traccia2(1)=x(np)+ustep*u(np) + traccia2(2)=y(np)+ustep*v(np) + traccia2(3)=z(np)+ustep*w(np) + + call pg_trackxyzr(traccia1,traccia2,3) + endif + RETURN + END +C + FUNCTION INTERSEC(X,Y,Z,XNEW,YNEW,ZNEW,RTOGOSQ, + 1 XX,YY,ZZ,DISTANCE) +C FUNCTION INTERSEC(Xqq,Yqq,Zqq,XNEWqq,YNEWqq,ZNEWqq,RTOGOSQqq, +C 1 XXqq,YYqq,ZZqq,DISTANCEqq) +C ================== double precision for testing +C implicit real*8 (a-h,o-z) +C real*4 xqq,yqq,zqq,xnewqq,ynewqq,znewqq,rtogosqqq +C real*4 xxqq,yyqq,zzqq,distanceqq +C ======================================================== +C ------------------------------------------------------ +C FINDS PARTICLE-PATH AND CYL SURF. INTERSECTION +C Looks for the intersection on the same side of xnew +C if 2 intersections are found on the same side +C chose the nearer to x. +C If the intersection is found xx,yy,zz is the intersection +C point, distance its distance from x, and intersec is +C TRUE, otherwise intersec is FALSE. +C -------------------------------------------------------- + LOGICAL INTERSEC,SOL1,SOL2 + DATA PRECISION /1.E-6/ +C +C ==================================== testing double precision +C x=xqq +C y=yqq +C z=zqq +C xnew=xnewqq +C ynew=ynewqq +C znew=znewqq +C rtogosq=rtogosqqq +C =========================================================== +C ------------------- Case of X .ne .XNEW, particle running in a plane +C with x = constant + XXTEST=XNEW-X ! possibile testare semplicemente V + IF(XXTEST.NE.0.0) THEN + AA=(YNEW-Y) / XXTEST + A=1.+(AA*AA) + B=(Y-AA*X) * AA + C=(Y-AA*X)**2 - RTOGOSQ + DISCR=B*B-A*C + 9999 continue +C ............................... case of two solution + IF(DISCR.GT.0.0) THEN + DISCR=SQRT(DISCR) + X1= (DISCR-B)/A + X2=-(DISCR+B)/A +C ................. looks for the good solution: +C the one in the particle direction (between x and xnew) +C (note that if x1=xnew and xnew-x <0, +C we have a wrong answer if x1=xnew is not tested ) +C x1=x in a particle on boundary, intersection = false + IF(SIGN(1.,X1-X).EQ.SIGN(1.,XNEW-X1). + 1 OR.X1.EQ.XNEW) THEN +C 1 OR.X1.EQ.X.OR.X1.EQ.XNEW) THEN + SOL1=.TRUE. +C Caso indistinguibile da x1=x + IF(ABS(X1-X).LT.PRECISION) SOL1=.FALSE. + ELSE + SOL1=.FALSE. + ENDIF + IF(SIGN(1.0,X2-X).EQ.SIGN(1.0,XNEW-X2). + 1 OR.X2.EQ.XNEW) THEN +C 1 OR.X2.EQ.X.OR.X2.EQ.XNEW) THEN + SOL2=.TRUE. +C Caso indistinguibile da x1=x + IF(ABS(X2-X).LT.PRECISION) SOL2=.FALSE. + ELSE + SOL2=.FALSE. + ENDIF +C +C .................... if both solution on the same side +C looks for the one nearer to x + IF(SOL1.AND.SOL2) THEN + Y1=Y+AA*(X1-X) + Y2=Y+AA*(X2-X) + Z1=Z+(ZNEW-Z)/XXTEST *(X1-X) + Z2=Z+(ZNEW-Z)/XXTEST *(X2-X) + DIST1=(Y1-Y)**2 + (X1-X)**2 +(Z1-Z)**2 + DIST2=(Y2-Y)**2 + (X2-X)**2 +(Z2-Z)**2 + IF(DIST1.LT.DIST2) THEN + XX=X1 + YY=Y1 + ZZ=Z1 + DISTANCE=SQRT(DIST1) + INTERSEC=.TRUE. + ELSE IF(DIST1.GT.DIST2) THEN + XX=X2 + YY=Y2 + ZZ=Z2 + DISTANCE=SQRT(DIST2) + INTERSEC=.TRUE. + ELSE + TYPE*,' something wrong,2 solution' + type*,' on same side and at same distance' + INTERSEC=.FALSE. + RETURN + ENDIF +C .............................. if only x1 on the good side + ELSE IF(SOL1) THEN + XX=X1 + YY=Y+AA*(XX-X) + ZZ=Z+(ZNEW-Z)/XXTEST *(XX-X) + DISTANCE=SQRT( (XX-X)**2 + (YY-Y)**2 + + 1 (ZZ-Z)**2 ) + INTERSEC=.TRUE. +C .............................. if only x2 on the good side + ELSE IF(SOL2) THEN + XX=X2 + YY=Y+AA*(XX-X) + ZZ=Z+(ZNEW-Z)/XXTEST *(XX-X) + DISTANCE=SQRT( (XX-X)**2 + (YY-Y)**2 + + 1 (ZZ-Z)**2 ) + INTERSEC=.TRUE. + ELSE +C .............. no good solutions, both intersections +C on the wrong side + INTERSEC=.FALSE. + RETURN + ENDIF +C ............................ only one intersection, traject. tangent +C to cyl. surf ( we are in the case of a particle +C not running along the y axis, i.e. with constant x) + ELSE IF(DISCR.EQ.0.0) THEN + 9998 continue +C + TYPE *,' Particle tangent to cyl. boundary' + XX=-B/A + IF(SIGN(1.0,XX-X).EQ.SIGN(1.0,XNEW-XX). + 1 OR.XX.EQ.X.OR.XX.EQ.XNEW) THEN + YY=Y+AA*(XX-X) + ZZ=Z+(ZNEW-Z)/XXTEST *(XX-X) + DISTANCE=SQRT( (XX-X)**2 + (YY-Y)**2 + + 1 (ZZ-Z)**2 ) + INTERSEC=.TRUE. + ELSE + INTERSEC=.FALSE. + RETURN + ENDIF + ELSE + 9997 continue +C ............ discr <0 ===> no intersection + INTERSEC=.FALSE. + RETURN + ENDIF + ELSE +C ------------------- Case of X=XNEW, particle running in a plane +C with constant X + XX=XNEW + YYTEST=YNEW-Y ! possibile testare semplicemente V + IF(YYTEST.EQ.0.0) THEN +C else running with x,y constant, along z + IF(RTOGOSQ.EQ.XX*XX+YY*YY) THEN +C .......... if running along cyl. surface + YY=Y + ZZ=Z + DISTANCE=0. + INTERSEC=.TRUE. + GOTO 999 + ELSE +C ............. no intersection + INTERSEC=.FALSE. + RETURN + ENDIF + ENDIF + YY1=RTOGOSQ-XX*XX + IF(YY1.LT.0.0) THEN +C ..................... no solution = no intersection + INTERSEC=.FALSE. + RETURN + ENDIF + YY1=SQRT(YY1) + YY2=-YY1 +C ................. looks for the solutions on the good side of y + IF(SIGN(1.0,YY1-Y).EQ.SIGN(1.0,YNEW-YY1). + 1 OR.YY1.EQ.YNEW) THEN +C 1 OR.YY1.EQ.Y.OR.YY1.EQ.YNEW) THEN + SOL1=.TRUE. +C indistinguibile da yy1=y + IF(ABS(YY1-Y).LT.PRECISION) SOL1=.FALSE. + ELSE + SOL1=.FALSE. + ENDIF + IF(SIGN(1.0,YY2-Y).EQ.SIGN(1.0,YNEW-YY2). + 1 OR.YY2.EQ.YNEW) THEN +C 1 OR.YY2.EQ.Y.OR.YNEW.EQ.YY2) THEN + SOL2=.TRUE. +C indistinguibile da yy1=y + IF(ABS(YY2-Y).LT.PRECISION) SOL2=.FALSE. + ELSE + SOL2=.FALSE. + ENDIF +C ................. two good solutions, find the nearer one + 9996 continue + IF(SOL1.AND.SOL2) THEN + ZZ1=Z+(ZNEW-Z)/YYTEST *(YY1-Y) + ZZ2=Z+(ZNEW-Z)/YYTEST *(YY2-Y) + DIST1=(ZZ1-Z)**2 + (YY1-Y)**2 + DIST2=(ZZ2-Z)**2 + (YY2-Y)**2 + IF(DIST1.LT.DIST2) THEN + YY=YY1 + ZZ=ZZ1 + DISTANCE=SQRT(DIST1) + INTERSEC=.TRUE. + ELSE IF(DIST2.LT.DIST1) THEN + YY=YY2 + ZZ=ZZ2 + DISTANCE=SQRT(DIST2) + INTERSEC=.TRUE. + ELSE + TYPE*,' something wrong 2 good sol. same side' + TYPE*,' same distance ?' + INTERSEC=.FALSE. + RETURN + ENDIF + ELSE IF(SOL1) THEN + YY=YY1 + ZZ=Z+(ZNEW-Z)/YYTEST *(YY-Y) + DISTANCE=SQRT( (XX-X)**2 + (YY-Y)**2 + (ZZ-Z)**2 ) + INTERSEC=.TRUE. + ELSE IF(SOL2) THEN + YY=YY2 + ZZ=Z+(ZNEW-Z)/YYTEST *(YY-Y) + DISTANCE=SQRT( (XX-X)**2 + (YY-Y)**2 + (ZZ-Z)**2 ) + INTERSEC=.TRUE. + ELSE + INTERSEC=.FALSE. + RETURN + ENDIF +C ---------------------------- + ENDIF +C + 999 CONTINUE +C ================================= testing double prec +C xxqq=xx +C yyqq=yy +C zzqq=zz +C distanceqq=distance +C ===================================== + RETURN + END diff --git a/code/source/howfar_cyl_2a.for b/code/source/howfar_cyl_2a.for new file mode 100644 index 0000000..c6f5ffd --- /dev/null +++ b/code/source/howfar_cyl_2a.for @@ -0,0 +1,905 @@ +c file howfar_cyl_2a del 25-oct-1994 + SUBROUTINE HOWFAR +C----------------------------------------------------------C +C 27-7-1994 +C versione howfar_cyl_2a : inserite le call per i rivelatori +C Versione howfar_cyl_1a : intersec modificata per evitare +C divisioni per numeri piccoli +C - resta solo meccanismo che evita +C di porre particelle vicino al bordo +C +C howfar_cyl_1 : modified to draw particle's tracks +C CASE FOR CYL GEOMETRY - SEPT 93 BY M.GALLI +C----------------------------------------------------------C + INCLUDE 'COMM_CYLtot_2.FOR' + include 'comm_yaps.for' + dimension traccia1(3),traccia2(3) +c +c --------------------------------------------------------- +C test della posizione ove si trova la particella: +c le regioni 1 .. ncyl sono i cilindri concentrici. +c la regione ncyl+1 e' la regione esterna ai cilindri, +c delimitata da rcyl(ncyl) e zmax +c le regioni da nctyl+1 a ncyl+1+nyp sono i rivelatori +c la regione ncyl+1+nyp+1 e' fuori del sistema, +c delimitata da rultimo e zultimo +c ----------------------------------------------------------- +c se la particella e' nei cilindri viene chiamate howcyls +c se la particella e' fuori cilindri viene chiamata howyaps +c se la particella e' fuori del sistema viene eliminata +c ---------------------------- +c Il bordo esterno del cilindro ne fa parte, l'interno no +c Il limite z del cilindro ne fa parte +c Le facce dei rivelatori non ne fanno parte +c Nonostante tutto ci sono ambiguita' geometriche ai bordi, +c sono quindi introdotti meccanismi correttivi che impediscono ad una +c particella di stare troppo vicino al bordo nei cilindri. +c Nei rivelatori le particelle entranti sono poste un po' dentro il +c rivelatore, le uscenti sul bordo. +c +C ##################################### ******** for testing + if(noutflag2.gt.0) then + write(6,8000)np,e(np),iq(np),ir(np),erre + write(50,8000)np,e(np),iq(np),ir(np),erre + 8000 format(' HOWFAR : part.:===>',I2,' E=',G13.7,' q=',I2, + 1 ' region:',I2,17X,' r:',G13.7) + write(6,8010) x(np),y(np),z(np),u(np),v(np),w(np),ustep + write(50,8010) x(np),y(np),z(np),u(np),v(np),w(np),ustep + 8010 format(' x,y,z,u,v,w,step=',10X,G14.8,1x,g14.8,1x,5G14.8) + endif +C --------------------------------------- + ERRESQ=X(NP)*X(NP)+Y(NP)*Y(NP) + ERRE=SQRT(ERRESQ) +C +c ................... guardo se e' fuori sistema, nei +c cilindri oppure nella zona dei rivelatori +c + if(ABS(Z(NP)).Gt.Zultimo.or.erresq.gt.rultimosq) THEN + idisc=1 ! si trova fuori + irnew=ncyl+nyp+2 + ir(np)=irnew ! idisc=1=discard immediato, assegnerebbe alla +c regione vecchia nelle somme in ausgabe + else IF(ABS(Z(NP)).Gt.ZMAX.or.erresq.gt.rcylsq(ncyl)) THEN + call howyaps(erre,erresq) ! si trova nella zona dei yaps + else if(abs(Z(NP)).lt.ZMAX.and.erresq.lt.rcylsq(ncyl)) THEN + call howcyls(erre,erresq) ! si trova nei cilindri + else if(abs(Z(NP)).eq.ZMAX.or.erresq.eq.rcylsq(ncyl)) THEN +c sul bordo decido in base ad ir(np), cioe' ove la ho messa al giro prime + if(ir(np).eq.ncyl+1) then + call howyaps(erre,erresq) ! si trova nella zona dei yaps + else if(ir(np).le.ncyl) then + call howcyls(erre,erresq) ! si trova nei cilindri + else + type *,' WARNING :HOWFAR:confine cyl.ma ir=',ir(np) + if(noutflag5.gt.2) + 1 write(50,*)' WARNING :HOWFAR:confine cyl ma ir=',ir(np) + idisc=1 ! LA SCARTO + irnew=ncyl+nyp+2 + ir(np)=irnew ! per idisc=1 egs4 non sposta la part. + call parla(-12) + call parla(-20) + endif + else + type *,' WARNING :HOWFAR:ne fuori ne dentro cyl?',IR(NP) + if(noutflag5.gt.2) + 1 write(50,*)' WARNING :HOWFAR:ne fuori ne dentro cyl?',IR(NP) + idisc=1 ! LA SCARTO + irnew=ncyl+nyp+2 + ir(np)=irnew ! per idisc=1 egs4 non sposta la part. + call parla(12) + call parla(-20) + ENDIF + if(traccia .gt. 0) then ! if requested draws particle path + traccia1(1)=x(np) + traccia1(2)=y(np) + traccia1(3)=z(np) + traccia2(1)=x(np)+ustep*u(np) + traccia2(2)=y(np)+ustep*v(np) + traccia2(3)=z(np)+ustep*w(np) + + call pg_trackxyzr(10,traccia1,traccia2,3) + call iuwk(10,2) ! scarica su video il buffer + endif +c + return + end + SUBROUTINE HOWCYLS(erre,erresq) +c ----------------------------------------------- +c tratta la geometria per la zona a cilindri concentrici +c ------------------------------------------------------- +c + INCLUDE 'COMM_CYLtot_2.FOR' + include 'comm_yaps.for' +c ---------------------- +C +c data nsave/0/ ! per tests +c DATA ALITTLE/0.00001/ ! 1mm/1000 ! ALITTLE UNUSED NOW ! +C ....ALITTLE is a little quantity, used to put a particle +C crossing a boundary into the new region, instead of +C on the boundary. Altrimenti si dovrebbe lavorare in +C doppia precisione nel calcolo delle intersezioni coi +C cilindri, per evitare che si ritrovi la particella +C per sbaglio nella vecchia regione, anche dopo il boundary +C crossing.(se sbaglia regione va anche in loop) +C THIS CAN BE A BAD APPROX. we must have : +C alittle << mean free path in the new region. + data aminimo/1.e-3/ ! min distanza da boundary surf. + DATA USTEPMIN/1.E-6/ +C se ustep << x,y , = precisione macchina, +C lo step e' in ogni modo nullo. Allora metto uno step +C minimo= dimensioni apparato/precisione +c LOGICAL NONESCE /.FALSE./ ! UNUSED NOW +C Questo flag, se true, attiva un meccanismo che ritarda +C l'uscita delle particelle dal sistema, una particella +C che sta uscendo e' mantenuta nel sistema, dandogli un +C ustep=distanza dal confine * escestep, +C la seconda volta che cerca di uscire la si lascia andare. +C Ci sono delle ambiguita' in questo meccanismo, non ho +C modo di riconoscere veramente la particella al successivo +C ingresso in howfar, ad esempio se ho vietato l'uscita ad +C un positrone e lui annichila, permettero' a uno dei fotoni +C prodotti di uscire subito, scambiandolo per il positrone, +C poiche' ha nello stack la sua posizione. +c DATA ESCESTEP /0.5 / +C fattore di cui e' ridotto ustep +c DATA NPESCE /0/ +C contatore del vettore delle tentate uscite (USCI) +c PARAMETER MSTACK=40 +c LOGICAL USCI(MSTACK) +c DATA USCI /MSTACK*.FALSE./ +C vettore associato allo stack, per ogni particella si +C ricorda se ha tentato una uscita +C +C -------------------------------------------- + LOGICAL TOOUTR,TOOUTZ,TONEXTR,TOPREVR,TOSAMER +C +c nsave=nsave+1 ! PER TEST +c if(nsave.gt.1754) noutflag2=1 +C +C----------------------LOOKS FOR ACTUAL REGION-------------C +C Dovrebbe essere nella regione IR(NP) +C the external boundary of each region belongs to the region + DO 10 I=1,NCYL +c IF(ERRE-RCYL(I) .LE.0.0 ) THEN +c IF(ERRE.Lt.RCYL(I)) THEN + IF(ERREsq.LE.RCYLsq(I)) THEN + NR=I + GOTO 100 + ENDIF + 10 CONTINUE +C Allora e' fuori cilindro doveva accorgersene howfar + TYPE *,' WARNING ! doveva essere nei rivelatori!!!' + if(noutflag5.gt.2) + 1 write(50,*)' WARNING ! doveva essere nei rivelatori!!!' + call parla(12) + if(noutflag2.gt.0) then + write(50,*)' WARNING ! region:',NR,' instead of',IR(NP) + write(6,8000)np,e(np),iq(np),ir(np),erre + write(50,8000)np,e(np),iq(np),ir(np),erre + write(6,8010) x(np),y(np),z(np),u(np),v(np),w(np),ustep + write(50,8010) x(np),y(np),z(np),u(np),v(np),w(np),ustep + 8000 format(' HOWFAR : part.:===>',I2,' E=',G13.7,' q=',I2, + 1 ' region:',I2,17X,' r:',G13.7) + 8010 format(' x,y,z,u,v,w,step=',10X,G14.8,1x,g14.8,1x,5G14.8) + endif +c IDISC=1 +c IRNEW=NCYL+1 + if(ir(np).gt.ncyl) then + call howyaps(erre,erresq) ! for yaps + goto 500 ! RETURN + else + irnew=ncyl+1 + ustep=0 + goto 500 + endif + 100 CONTINUE +c======================= +C case of wrong region, happens on cyl. boundary, where the +C particles position is ambiguous. The particles is returned to +C Howfar in the real region, whitout mouving. +c======================= + + TOOUTR=.FALSE. + TOOUTZ=.FALSE. + TONEXTR=.FALSE. + TOPREVR=.FALSE. + TOSAMER=.FALSE. +C +c-------------------------------------------------------- ORA INATTIVO +C reset del vettore delle tentate uscite, elimina particelle +C non piu' esistenti perche' assorbite dal mezzo +c IF(NONESCE.AND.NP.GT.NPESCE) THEN +c DO 1 I=NP+1,NPESCE +c 1 USCI(I)=.FALSE. +c ENDIF +c-------------------------------------------------------- +C ..................................... +C LOOP PER CORREZIONE DA ERRATA POSIZIONE DI INPUT +c numgiri=0 +c 2 CONTINUE +C =========================== + IF(NR.NE.IR(NP)) THEN +c if(noutflag2.le.0) THEN +c noutflag2=noutflag2+1 +c TYPE *, 'ERRORE A NSAVE=',NSAVE +c write(50,*) 'ERRORE A NSAVE=',NSAVE +c TYPE *, ' WARNING NR.NE.IR(NP) attivo stampe' +c write(50,*) ' WARNING NR.NE.IR(NP) attivo stampe' +c endif + IF(IR(NP).GT.1) THEN + IF(ERREsq.EQ.RCYLsq(IR(NP)-1)) THEN +C .......... exactly on boundary, and assigned to the next region,(questa) +C this may be right, if the particles is set here by howfar, +C due to boundary crossing. + NR=IR(NP) + type*,' Warning, particle on int. boundary but in region',NR + if(noutflag2.gt.0.or.noutflag5.gt.2) then + write(50,*)' Warning, particle on int.bound. but in region',NR + endif +c + ELSE +C ................. WRONG REGION ! +c + TYPE *,' WARNING ! region:',NR,' instead of',IR(NP) + call parla(-14) + if(noutflag2.gt.0.or.noutflag5.gt.2) + 1 write(50,*)' WARNING ! region:',NR,' instead of',IR(NP) +c ----> begin if su noutflag + if(noutflag2.gt.0) then + write(6,8000)np,e(np),iq(np),ir(np),erre + write(50,8000)np,e(np),iq(np),ir(np),erre + write(6,8010) x(np),y(np),z(np),u(np),v(np),w(np),ustep + write(50,8010) x(np),y(np),z(np),u(np),v(np),w(np),ustep + XNEW=X(NP)+USTEP*U(np) + YNEW=Y(NP)+USTEP*V(np) + ZNEW=Z(NP)+USTEP*W(np) + RNEWSQ=XNEW*XNEW+YNEW*YNEW + RNEW=SQRT(RNEWSQ) + write(6,8030)xnew,ynew,znew,rnew + write(50,8030)xnew,ynew,znew,rnew +C type *,' ----------------' +C write(6,*) ' PARTICELLA PRECEDENTE:' +C write(50,*)' PARTICELLA PRECEDENTE:' +C write(6,8010) xsav,ysav,zsav,usav,vsav,wsav,ustepsav +C write(50,8010)xsav,ysav,zsav,usav,vsav,wsav,ustepsav +C write(6,8030)xnewsav,ynewsav,znewsav,rnewsav +C write(50,8030)xnewsav,ynewsav,znewsav,rnewsav +C write(6,*) ' prec errore:',errorsav,' =========' +C write(50,*) ' prec errore:',errorsav,' =========' +c ---------------------------------------------------- + endif ! SU NOUTFLAG2 +c ----> ending if su noutflag +c +c ---------------> scarto la particella fuori posto + idisc=1 + irnew=ncyl+nyp+2 + ir(np)=irnew ! per idisc=1 egs4 non sposta la part. + call parla(-20) +c +c IRNEW=NR +c USTEP=ustepmin +c USTEP=0. ! interagisce di nuovo ove era e rientra qui + goto 500 ! RETURN + ENDIF + ELSE ! crede di essere nella regione 1 + TYPE *,' WARNING ! region:',NR,' instead of',IR(NP) + call parla(-14) + if(noutflag2.gt.0.or.noutflag5.gt.2) + 1 write(50,*)' WARNING ! region:',NR,' instead of',IR(NP) +c +c ----> begin if su noutflag + if(noutflag2.gt.0) then + write(6,8000)np,e(np),iq(np),ir(np),erre + write(50,8000)np,e(np),iq(np),ir(np),erre + write(6,8010) x(np),y(np),z(np),u(np),v(np),w(np),ustep + write(50,8010) x(np),y(np),z(np),u(np),v(np),w(np),ustep + XNEW=X(NP)+USTEP*U(np) + YNEW=Y(NP)+USTEP*V(np) + ZNEW=Z(NP)+USTEP*W(np) + RNEWSQ=XNEW*XNEW+YNEW*YNEW + RNEW=SQRT(RNEWSQ) + write(6,8030)xnew,ynew,znew,rnew + write(50,8030)xnew,ynew,znew,rnew + type *,' ----------------' + endif ! di noutflag2 +c ----> ending if su noutflag +c ---------------> scarto la particella fuori posto + idisc=1 + irnew=ncyl+nyp+2 + ir(np)=irnew ! per idisc=1 egs4 non sposta la part. + call parla(-20) +c +c IRNEW=NR +c ustep=0. ! interagisce di nuovo ove era e rientra qui +c USTEP=ustepmin + goto 500 ! RETURN + ENDIF ! if su nr=1 oppure ne 1 + ENDIF ! end di if su wrong region +C +C ...................... COMPUTE POSITION AT NEXT STEP +C ...................... U,V,W are the projections of ustep +C .....normalizing U,V,W ( obtained by tables) serve ???? +c ANORM=1./SQRT(U(NP)*U(NP)+V(NP)*V(NP)+W(NP)*W(NP)) +c UN=U(NP)*ANORM +c VN=V(NP)*ANORM +c WN=W(NP)*ANORM +C --------------------- test +C if(anorm.ne.1.) then +C type 5559,ANORM,U(NP),V(NP),W(NP),UN,vn,wn +C 5559 format(' anorm,'G20.12/' u,v,w, new u,v,w:',3(1x,G20.12)) +C endif +c U(NP)=UN ! in questo modo quando ricalcola il nuovo step +c V(NP)=VN ! egs4 e' coerente con howfar +c W(NP)=WN +C ------------------------------------------------------ + UN=U(NP) + VN=V(NP) + WN=W(NP) +C + XNEW=X(NP)+USTEP*UN + YNEW=Y(NP)+USTEP*VN + ZNEW=Z(NP)+USTEP*WN +C + RNEWSQ=XNEW*XNEW+YNEW*YNEW + RNEW=SQRT(RNEWSQ) +C +C ##################################### ******** for testing + if(noutflag2.gt.0) then + write(6,8030)xnew,ynew,znew,rnew + write(50,8030)xnew,ynew,znew,rnew + 8030 format(' going to:xnew,ynew,znew,r=',4G15.8) + endif + 9999 continue ! per l'uso del debugger +C +C -------------------------------- FIND DESTINATION REGION +C +C ..................... test z destination + IF(ABS(ZNEW).GE.ZMAX) THEN + TOOUTZ=.TRUE. +C ........ distance, along the fly-line, to ZMAX:z cyl. limits + ZMAX1=SIGN(ZMAX,ZNEW) + IF(WN.NE.0.0) THEN + RZINT=(ZMAX1-Z(NP))/WN + ELSE + TYPE *,' error! can''t go out with W=0' + RZINT=ZMAX1*RCYL(NCYL) + TOOUTZ=.FALSE. + ENDIF + ENDIF +C +C ..................... test radial destination +C intersect with near cyl. surfaces + 9100 continue ! ===========> LOOK AT RZINT +C ##################################### ******** for testing + if(tooutz.and.noutflag2.gt.0) then + write(6,*)' totoutz,rzint=',tooutz,rzint + write(50,*)' totoutz,rzint=',tooutz,rzint + endif +C +C ......................... if going out of the region looks +C for intersection with cyl. boundary +C GE: don't look for intersec if going on boundary( belong to prev.reg. + IF(RNEW.GT.RCYL(NR)) + 1 TONEXTR=INTERSEC(X(NP),Y(NP),Z(NP),XNEW,YNEW,ZNEW, + 2 RCYLSQ(NR),XX1,YY1,ZZ1,DISTAN1) + 9200 continue ! ===========> LOOK AT INTRSEC. +C +C ##################################### ******** for testing + if(tonextr.and.noutflag2.gt.0) then + write(6,8050)tonextr,xx1,yy1,zz1,distan1 + write(50,8050)tonextr,xx1,yy1,zz1,distan1 + endif + 8050 format(' tonextr,xx1,yy1,zz1,distan1',L,2x,4G14.8) +C + IF(NR.NE.1) THEN + IF(RNEW.LE.RCYL(NR-1)) + 1 TOPREVR=INTERSEC(X(NP),Y(NP),Z(NP),XNEW,YNEW,ZNEW, + 2 RCYLSQ(NR-1),XX2,YY2,ZZ2,DISTAN2) + ENDIF +C + 9300 continue ! ===========> LOOK AT INTRSEC. +C +C ##################################### ******** for testing + if(toprevr.and.noutflag2.gt.0) then + write(6,8060)toprevr,xx2,yy2,zz2,distan2 + write(50,8060)toprevr,xx2,yy2,zz2,distan2 + endif + 8060 format(' toprevr,xx2,yy2,zz2,distan2',L,1x,4G13.7) +C +C IF INTERSECTING 2 CYL CHOSES THE NEARER + IF(TONEXTR.AND.TOPREVR) THEN + IF(DISTAN1.LT.DISTAN2) THEN + TOPREVR=.FALSE. + STEPNEW=DISTAN1 + ELSE + TONEXTR=.FALSE. + STEPNEW=DISTAN2 + ENDIF + ENDIF +C ....................... assign new step.. + IF(TONEXTR) THEN + XX=XX1 + YY=YY1 + ZZ=ZZ1 + STEPNEW=DISTAN1 + ELSE IF(TOPREVR) THEN + XX=XX2 + YY=YY2 + ZZ=ZZ2 + STEPNEW=DISTAN2 + ELSE +C ....................... else same r region + TOSAMER=.TRUE. + IF(TOOUTZ) STEPNEW=SQRT( + 1 (XX-X(NP))**2 + (YY-Y(NP))**2 +(ZZ-Z(NP))**2 ) + ENDIF +C -------------------- The case of going out of Z and also +C in a new R region is considered below +C (the case of going out of r , and also going out of z in +C the same point is considered as an r exit.) +C + IF(TOOUTZ) THEN + IF(ABS(RZINT).LE.STEPNEW) THEN + TOOUTZ=.TRUE. + TOPREVR=.FALSE. + TONEXTR=.FALSE. + TOSAMER=.FALSE. + ELSE + TOOUTZ=.FALSE. + ENDIF + ENDIF +C +C +C ------------------------------------- EXIT DELAY +C meccanismo che ritarda l'uscita di particelle dal sistema, +C quando una particella ( riconosciuta dalla sua posizione +C nello stack) cerca di uscire dal sistema e' tenuta dentro +C per forza, dandogli uno step pari alla distanza dal bordo +C del sistema * escestep, la seconda volta che cerca di uscire +C la si lascia andare. USCI e' un vettore logico che e' true +C se ad una particella e' gia' stato vietato di uscire una volta. +c IF(NONESCE) THEN +c IF(USCI(NP).OR.TOSAMER.OR.TONEXTR.OR.TOPREVR) THEN +cC casi in cui il meccanismo non si usa, reset del flag +c USCI(NP)=.FALSE. +c ELSE +c IF(TOOUTZ) THEN +c TOTOUTZ=.FALSE. +c USTEP=RZINT*ESCESTEP +c ELSE ! if(tooutr) then +c TOTOUTR=.FALSE. +c USTEP=STEPNEW*ESCESTEP +c ENDIF +c TOSAMER=.TRUE. +c USCI(NP)=.TRUE. +c NPESCE=NP +cC redefine, using new step,all data needed for tosamer=.true +c XNEW=X(NP)+USTEP*UN +c YNEW=Y(NP)+USTEP*VN +c ZNEW=Z(NP)+USTEP*WN +c RNEWSQ=XNEW*XNEW+YNEW*YNEW +c RNEW=SQRT(RNEWSQ) +c ENDIF +c ENDIF +C +C ------------------------------------- FINAL SETTINGS +c THE FOLLOWING OLD CORRECTION HAS BEEN SUPERSEEDED BY NEW ONE ! +C when crossing a boundary the particle is put INSIDE the +C new region, by adding ALITTLE, ( a little quantity), +C if the step is very little maybe alittle>>stepnew, in this +C this case we must add a quantity < stepnew to avoid +C breakdow of some approximation in subr. electr +C + IF(TOOUTZ) THEN +c va nella zona fuori cilindri + IRNEW=NCYL+1 +c USTEP=RZINT + RZINT*ALITTLE + USTEP=MAX(RZINT,USTEPMIN) + call parla(15) +c goto 500 ! RETURN + ELSE IF(TOOUTR) THEN +c va nella zona fuori cilindri + IRNEW=NCYL+1 +C must go a bit into new region, the boundary belongs +C to the previous one +c USTEP=STEPNEW + STEPNEW*ALITTLE + USTEP=MAX(STEPNEW,USTEPMIN) + call parla(16) +c goto 500 ! RETURN + ELSE IF(TOPREVR) THEN + IRNEW=NR-1 +c USTEP=STEPNEW + STEPNEW*ALITTLE + USTEP=MAX(STEPNEW,USTEPMIN) +C DNEAR(NP)= USTEPMIN + call parla(17) + ELSE IF(TONEXTR) THEN + IRNEW=NR+1 +c USTEP=STEPNEW + STEPNEW*ALITTLE + USTEP=MAX(STEPNEW,USTEPMIN) +C DNEAR(NP)= USTEPMIN + call parla(18) + ELSE IF(TOSAMER) THEN + RNEW=SQRT(RNEWSQ) +c DNEAR(NP)=MIN(ZMAX-ZNEW,ZNEW+ZMAX,RCYL(NR)-RNEW) +c IF(NR.NE.1) DNEAR(NP)=MIN(DNEAR(NP),RNEW-RCYL(NR-1)) + DNEAR(NP)=MIN(abs(ZMAX-ZNEW),abs(ZNEW+ZMAX), + 1 abs(RCYL(NR)-RNEW)) + IF(NR.NE.1) DNEAR(NP)=MIN(DNEAR(NP), + 1 abs(RNEW-RCYL(NR-1)) ) + 1 + ELSE + TYPE*,' che succede ? non si verifica nulla ?' + ENDIF +C +C ............................................................... +C ------------------------------------ altra correzione (z) +C vieto ad una particelle che esce da sopra o sotto i cilindri +c di essere appiccicata al bordo zmax + if(tooutz) then + z(np)=z(np)+sign(aminimo,w(np)) ! se uso z(np) ho ambiguita' per z=0 + goto 498 ! non fa le correzioni sotto + else ! se non sta uscendo lo tengo dentro z + zmaxsegno=sign(zmax,z(np)) + errore=abs(z(np)-zmaxsegno) + if(errore.lt.aminimo) z(np)=z(np)-sign(aminimo,z(np)) + endif +C ------------------------------------ altra correzione (radiale) +C per particelle entro la zona dei cilindri o uscenti di lato: +c vieto ad una particella di essere piu' vicina di +C tanto al limite di un cilindro + XNEW=X(NP)+USTEP*UN + YNEW=Y(NP)+USTEP*VN + ZNEW=Z(NP)+USTEP*WN + RNEWSQ=XNEW*XNEW+YNEW*YNEW + RNEW=SQRT(RNEWSQ) + if(noutflag2.gt.0) then + write(6,8030)xnew,ynew,znew,rnew + write(50,8030)xnew,ynew,znew,rnew + endif +c + if(tosamer) then ! prossimo r + irpross=nr + else + irpross=irnew + endif +c + angolo=atan2(ynew,xnew) ! aggiungo o tolgo vettore radiale +c + if(.not.tooutr) then ! se esce non c'e' rcyl(ncyl+1) + errore=abs(rcyl(irpross)-rnew) ! dist da superficie esterna + if(errore.lt.aminimo) then + x(np)=x(np)-aminimo*cos(angolo) + y(np)=y(np)-aminimo*sin(angolo) + endif + endif + if(irpross.ne.1) then + errore=abs(rnew-rcyl(irpross-1)) ! dist da sup. interna + if(errore.lt.aminimo) then ! se troppo vicino allontano + x(np)=x(np)+aminimo*cos(angolo) + y(np)=y(np)+aminimo*sin(angolo) + endif + endif + 498 continue +c + if(noutflag2.gt.0) then + XNEW=X(NP)+USTEP*UN + YNEW=Y(NP)+USTEP*VN + ZNEW=Z(NP)+USTEP*WN + RNEWSQ=XNEW*XNEW+YNEW*YNEW + RNEW=SQRT(RNEWSQ) + write(6,8030)xnew,ynew,znew,rnew + write(50,8030)xnew,ynew,znew,rnew + endif +C---------------------------------------------------------C +C ##################################### ******** for testing + if(noutflag2.gt.0) then + write(6,8080)tooutz,tooutr,toprevr,tonextr,tosamer + write(50,8080)tooutz,tooutr,toprevr,tonextr,tosamer + 8080 format(' outz:',L,' outr:',L,' prevr:',L, + 1 ' nextr:',L,' samer:',L) + write(6,8090)stepnew,rzint,irnew,ustep,idisc,dnear(np) + write(50,8090)stepnew,rzint,irnew,ustep,idisc,dnear(np) + 8090 format(' stepnew:',G13.7,' rzint:',G13.7, + 2 ' irnew,ustep,idisc,dnear:',I3,1X,G13.7,I3,1X,G13.7) + endif +C +c got to 500 ! RETURN +C---------------------------------------------------------C + 500 continue +C --------------------------> saves input values for testing purposes +c xsav=x(np) +c ysav=y(np) +c zsav=z(np) +c erresav=erre +c usav=u(np) +c vsav=v(np) +c wsav=w(np) +c ustepsav=ustep +c xnewsav=xnew +c ynewsav=ynew +c znewsav=znew +c rnewsav=rnew +c errorsav=errore +c ------------------------------------------------------------ + if(noutflag2.gt.5) noutflag2=0 + if(noutflag2.gt.0) noutflag2=noutflag2+1 + RETURN + END +C + FUNCTION INTERSEC(X,Y,Z,XNEW,YNEW,ZNEW,RTOGOSQ, + 1 XX,YY,ZZ,DISTANCE) +C ======================================================== +C riscritta jun-1994 +C ------------------------------------------------------ +C FUNCTION INTERSEC(Xqq,Yqq,Zqq,XNEWqq,YNEWqq,ZNEWqq,RTOGOSQqq, +C 1 XXqq,YYqq,ZZqq,DISTANCEqq) +C ================== double precision for testing +C implicit real*8 (a-h,o-z) +C real*4 xqq,yqq,zqq,xnewqq,ynewqq,znewqq,rtogosqqq +C real*4 xxqq,yyqq,zzqq,distanceqq +C ======================================================== +C ------------------------------------------------------ +C FINDS PARTICLE-PATH AND CYL SURF. INTERSECTION +C Looks for the intersection on the same side of xnew +C if 2 intersections are found on the same side +C chose the nearer to x. +C If the intersection is found xx,yy,zz is the intersection +C point, distance its distance from x, and intersec is +C TRUE, otherwise intersec is FALSE. +C -------------------------------------------------------- + LOGICAL INTERSEC,SOL1,SOL2 + DATA PRECISION /1.E-6/ ! WARNING distances < precision are zero ! +C +C ==================================== testing double precision +C x=xqq +C y=yqq +C z=zqq +C xnew=xnewqq +C ynew=ynewqq +C znew=znewqq +C rtogosq=rtogosqqq +C =========================================================== + YYTEST=YNEW-Y + XXTEST=XNEW-X + ABSXT=ABS(XXTEST) + ABSYT=ABS(YYTEST) + IF(ABSXT.GT.ABSYT.AND.ABSXT.gt.precision) THEN +C IF(abs(XXTEST).gt.precision) THEN +c IF(XXTEST.NE.0.0) THEN ! cosi' il test su precision sotto non fa +c trovare alcuna intersezione se xxtext<1.e-6 + AA=(YYTEST) / XXTEST + A=1.+(AA*AA) + B=(Y-AA*X) * AA + C=(Y-AA*X)**2 - RTOGOSQ + DISCR=B*B-A*C + 9999 continue +C ............................... case of two solution + IF(DISCR.GT.0.0) THEN + DISCR=SQRT(DISCR) + X1= (DISCR-B)/A + X2=-(DISCR+B)/A +C ................. looks for the good solution: +C the one in the particle direction (between x and xnew) +C (note that if x1=xnew and xnew-x <0, +C we have a wrong answer if x1=xnew is not tested ) +C x1=x in a particle on boundary, intersection = false + IF(SIGN(1.,X1-X).EQ.SIGN(1.,XNEW-X1). + 1 OR.X1.EQ.XNEW) THEN +C 1 OR.X1.EQ.X.OR.X1.EQ.XNEW) THEN + SOL1=.TRUE. +C Caso indistinguibile da x1=x + IF(ABS(X1-X).LT.PRECISION) SOL1=.FALSE. + ELSE + SOL1=.FALSE. + ENDIF + IF(SIGN(1.0,X2-X).EQ.SIGN(1.0,XNEW-X2). + 1 OR.X2.EQ.XNEW) THEN +C 1 OR.X2.EQ.X.OR.X2.EQ.XNEW) THEN + SOL2=.TRUE. +C Caso indistinguibile da x1=x + IF(ABS(X2-X).LT.PRECISION) SOL2=.FALSE. + ELSE + SOL2=.FALSE. + ENDIF +C +C .................... if both solution on the same side +C looks for the one nearer to x + IF(SOL1.AND.SOL2) THEN + Y1=Y+AA*(X1-X) + Y2=Y+AA*(X2-X) + Z1=Z+(ZNEW-Z)/XXTEST *(X1-X) + Z2=Z+(ZNEW-Z)/XXTEST *(X2-X) + DIST1=(Y1-Y)**2 + (X1-X)**2 +(Z1-Z)**2 + DIST2=(Y2-Y)**2 + (X2-X)**2 +(Z2-Z)**2 + IF(DIST1.LT.DIST2) THEN + XX=X1 + YY=Y1 + ZZ=Z1 + DISTANCE=SQRT(DIST1) + INTERSEC=.TRUE. + ELSE IF(DIST1.GT.DIST2) THEN + XX=X2 + YY=Y2 + ZZ=Z2 + DISTANCE=SQRT(DIST2) + INTERSEC=.TRUE. + ELSE + TYPE*,' something wrong,2 solution' + type*,' on same side and at same distance' + INTERSEC=.FALSE. + RETURN + ENDIF +C .............................. if only x1 on the good side + ELSE IF(SOL1) THEN + XX=X1 + YY=Y+AA*(XX-X) + ZZ=Z+(ZNEW-Z)/XXTEST *(XX-X) + DISTANCE=SQRT( (XX-X)**2 + (YY-Y)**2 + + 1 (ZZ-Z)**2 ) + INTERSEC=.TRUE. +C .............................. if only x2 on the good side + ELSE IF(SOL2) THEN + XX=X2 + YY=Y+AA*(XX-X) + ZZ=Z+(ZNEW-Z)/XXTEST *(XX-X) + DISTANCE=SQRT( (XX-X)**2 + (YY-Y)**2 + + 1 (ZZ-Z)**2 ) + INTERSEC=.TRUE. + ELSE +C .............. no good solutions, both intersections +C on the wrong side + INTERSEC=.FALSE. + RETURN + ENDIF +C ............................ only one intersection, traject. tangent +C to cyl. surf ( we are in the case of a particle +C not running along the y axis, i.e. with constant x) + ELSE IF(DISCR.EQ.0.0) THEN + 9998 continue +C + TYPE *,' Particle tangent to cyl. boundary' + XX=-B/A + IF(SIGN(1.0,XX-X).EQ.SIGN(1.0,XNEW-XX). + 1 OR.XX.EQ.X.OR.XX.EQ.XNEW) THEN + YY=Y+AA*(XX-X) + ZZ=Z+(ZNEW-Z)/XXTEST *(XX-X) + DISTANCE=SQRT( (XX-X)**2 + (YY-Y)**2 + + 1 (ZZ-Z)**2 ) + INTERSEC=.TRUE. + ELSE + INTERSEC=.FALSE. + RETURN + ENDIF + ELSE + 9997 continue +C ............ discr <0 ===> no intersection + INTERSEC=.FALSE. + RETURN + ENDIF + ELSE IF(ABSYT.GT.PRECISION) THEN !solves in Y + AA=XXTEST/YYTEST + A=1.+(AA*AA) + B=(X-AA*Y) * AA + C=(X-AA*Y)**2 - RTOGOSQ + DISCR=B*B-A*C +C ............................... case of two solution + IF(DISCR.GT.0.0) THEN + DISCR=SQRT(DISCR) + Y1= (DISCR-B)/A + Y2=-(DISCR+B)/A +C ................. looks for the good solution: +C ................. looks for the solutions on the good side of y + IF(SIGN(1.0,Y1-Y).EQ.SIGN(1.0,YNEW-Y1). + 1 OR.Y1.EQ.YNEW) THEN +C 1 OR.Y1.EQ.Y.OR.Y1.EQ.YNEW) THEN + SOL1=.TRUE. +C indistinguibile da y1=y + IF(ABS(Y1-Y).LT.PRECISION) SOL1=.FALSE. + ELSE + SOL1=.FALSE. + ENDIF + IF(SIGN(1.0,Y2-Y).EQ.SIGN(1.0,YNEW-Y2). + 1 OR.Y2.EQ.YNEW) THEN +C 1 OR.Y2.EQ.Y.OR.YNEW.EQ.Y2) THEN + SOL2=.TRUE. +C indistinguibile da y1=y + IF(ABS(Y2-Y).LT.PRECISION) SOL2=.FALSE. + ELSE + SOL2=.FALSE. + ENDIF +C ................. two good solutions, find the nearer one + 9996 continue + IF(SOL1.AND.SOL2) THEN + X1=X+AA*(y1-y) + X2=X+AA*(y2-y) + Z1=Z+(ZNEW-Z)/YYTEST *(Y1-Y) + Z2=Z+(ZNEW-Z)/YYTEST *(Y2-Y) + DIST1=(X1-X)**2 + (Z1-Z)**2 + (Y1-Y)**2 + DIST2=(X2-X)**2 + (Z2-Z)**2 + (Y2-Y)**2 + IF(DIST1.LT.DIST2) THEN + YY=Y1 + XX=X1 + ZZ=Z1 + DISTANCE=SQRT(DIST1) + INTERSEC=.TRUE. + ELSE IF(DIST1.GT.DIST2) THEN + YY=Y2 + XX=X2 + ZZ=Z2 + DISTANCE=SQRT(DIST2) + INTERSEC=.TRUE. + ELSE + TYPE*,' something wrong 2 good sol. same side' + TYPE*,' same distance ?' + INTERSEC=.FALSE. + RETURN + ENDIF + ELSE IF(SOL1) THEN + YY=Y1 + XX=X+AA*(Y1-Y) + ZZ=Z+(ZNEW-Z)/YYTEST *(Y1-Y) + DISTANCE=SQRT( (XX-X)**2 + (YY-Y)**2 + (ZZ-Z)**2 ) + INTERSEC=.TRUE. + ELSE IF(SOL2) THEN + YY=Y2 + XX=X+AA*(Y2-Y) + ZZ=Z+(ZNEW-Z)/YYTEST *(Y2-Y) + DISTANCE=SQRT( (XX-X)**2 + (YY-Y)**2 + (ZZ-Z)**2 ) + INTERSEC=.TRUE. + ELSE + INTERSEC=.FALSE. + RETURN + ENDIF + ELSE IF(DISCR.EQ.0.0) THEN +C + TYPE *,' Particle tangent to cyl. boundary' + YY=-B/A + IF(SIGN(1.0,YY-Y).EQ.SIGN(1.0,YNEW-YY). + 1 OR.YY.EQ.Y.OR.YY.EQ.YNEW) THEN + XX=X+AA*(YY-Y) + ZZ=Z+(ZNEW-Z)/YYTEST *(YY-Y) + DISTANCE=SQRT( (XX-X)**2 + (YY-Y)**2 + + 1 (ZZ-Z)**2 ) + INTERSEC=.TRUE. + ELSE + INTERSEC=.FALSE. + RETURN + ENDIF + ELSE +C ............ discr <0 ===> no intersection + INTERSEC=.FALSE. + RETURN + ENDIF +C ---------------------------- + ELSE ! XXTEST AND YYTEST < PRECISION +c lo step e' inosservabile ..... +C faccio un semplice test per decidere se interseca, +C se la trovo metto l'intersezione nel nel nuovo punto +c Questo semplice test non considera il caso in cui la +C traiettoria incontra piu volte la superficie. + RSQ=XX*XX+YY*YY + RSQNEW=XNEW*XNEW+YNEW*YNEW + IF(( RSQ.LE.RTOGOSQ. AND. + 1 RTOGOSQ.LE.RSQNEW) .OR. + 2 (RSQ.GT.RTOGOSQ .AND. + 3 RTOGOSQ.GT.RSQNEW) ) THEN + XX=XNEW + YY=YNEW + ZZ=ZNEW + DISTANCE=SQRT( (XX-X)**2 + (YY-Y)**2 + + 1 (ZZ-Z)**2 ) + INTERSEC=.TRUE. + ELSE + INTERSEC=.FALSE. + ENDIF + ENDIF +C + 999 CONTINUE +C ================================= testing double prec +C xxqq=xx +C yyqq=yy +C zzqq=zz +C distanceqq=distance +C ===================================== + RETURN + END diff --git a/code/source/howyaps.for b/code/source/howyaps.for new file mode 100644 index 0000000..0099f31 --- /dev/null +++ b/code/source/howyaps.for @@ -0,0 +1,831 @@ +c file howyaps.for del 14-sept-1994 + subroutine howyaps(erre,erresq) +C----------------------------------------------------------C +C parte di howfar che descrive la geometria fuori del +C cilindro, nella zona dei rivelatori +c +c Il bordo dei rivelatori non ne fa parte, +c per evitare ambiguita' al bordo : +c - una particella entrante la metto dentro il yap +c della grandezza PRECISION ( precisione macchina ) +c ( tolgo ad x(np),y(np),z(np) un vettorino +c che nel sistema del rivelatore punta a 45 gradi +c dagli assi, nel quadrante ove c'e' l'intersezione +c della traiettoria colla superficie del rivelatore +c - una particella uscente la metto sul bordo. +c non posso metterla fuori del rivelatore perche' potrebbe +c finire in un rivelatore vicino se i rivelatori +c si toccano o quasi. +C----------------------------------------------------------C + INCLUDE 'COMM_CYLtot_2.FOR' + include 'comm_yaps.for' +c + data precision/1.e-4/ ! definisce una min distanza dal bordo +c per particelle che escono od +c entrano nei rivelatori + data aminimo /1.e-4/ ! min dist da bordo cyl per part. rientranti +c nel cyl ( vedi howcyls routine ) +c + logical rientra ! vero se rientra nei cilindri dal lato + logical cyldatop ! vero se rientra nei cilindri da sopra + logical cyldabot ! vero se rientra nei cilindri da sotto + logical attravz ! routine che definisce cyldator e cyldabot +c + LOGICAL INYAP(mypmax) ! yaps trovati in traiettoria +c mypmax: parametro del common + dimension distyap(mypmax) ! distanze dal bordo del yap + dimension xdist(mypmax),ydist(mypmax),zdist(mypmax) ! ove incontra il bordo + dimension xdistp(mypmax),ydistp(mypmax),zdistp(mypmax) ! questo nel rif.yap + dimension x1p(mypmax),y1p(mypmax),z1p(mypmax) ! point x in yap coord + dimension x2p(mypmax),y2p(mypmax),z2p(mypmax) ! point xnew in yap coord + dimension intersyap(mypmax) ! faccia yap attraversata +C +c ..................... flag per correggere una sola volta errori di +c regione ed evitare eventuali loop +c data hocorretto/0/ +c +c real*8 distyap,xdist,ydist,zdist +c real*8 xdistp,ydistp,zdistp +c real*8 erre,erresq +c REAL*8 RNEW,RNEWSQ +C +c ERRESQ=X(NP)*X(NP)+Y(NP)*Y(NP) +c ERRE=SQRT(ERRESQ) +c ---------------------------- CASO DI PARTICELLA FUORI +C LO FA GIA LA HOWFAR ? +c IF(ERRESQ.GE.RULTIMOSQ) THEN +c IDISC=1 +c IRNEW=NCYL+NYP+2 +c ir(np)=irnew ! egs4 non lo fa per discard immediato +c GOTO 500 +c ENDIF +c +C -------------------------- CALCOLO DOVE VA LA PARTICELLA + UN=U(NP) + VN=V(NP) + WN=W(NP) +C + XNEW=X(NP)+USTEP*UN + YNEW=Y(NP)+USTEP*VN + ZNEW=Z(NP)+USTEP*WN +C + RNEWSQ=XNEW*XNEW+YNEW*YNEW + RNEW=SQRT(RNEWSQ) +C +c ----------------------------------------- calcolo le coord x ed xnew +C nel sistema del yap + do 5 ip=1,nyp + call inyapsys(ip,x(np),y(np),z(np),x1p(ip),y1p(ip),z1p(ip)) + call inyapsys(ip,xnew,ynew,znew,x2p(ip),y2p(ip),z2p(ip)) + 5 continue +C --------------------------------- guardo se parte da dentro un yap + idove=0 + do 10 ip=1,nyp + if(abs(x1p(ip)).lt.dxypi(ip).and. + 1 abs(y1p(ip)).lt.dyypi(ip).and. + 1 abs(z1p(ip)).lt.dzypi(ip) ) then + if(idove.gt.0) then + write(ltyout,*) ' warning! part. in 2 yaps:',ip,idove + if(noutflag5.ge.2) + 1 write(ltyout,*) ' warning! part. in 2 yaps:',ip,idove + endif + idove=ip + endif + 10 continue + nr=ncyl+1 + idove ! il yap in cui e' oppure ncyl+1 +c controllo la posizione +c +c ---------> begin if on nr +c + if(nr.ne.ir(np)) then + irnp=ir(np) +c se e' sul bordo di un yap per uscirne allora idove .ne. 0 +c ma ir(np)=ncyl+1 , non ncyl+1+idove, tuttavia non e' un errore. +c Controllo se siamo in questo caso. +c ---------> begin if on idove .......................... + if(idove.gt.0.and. + 1 (abs(x1p(idove)).eq.dxypi(idove).or. + 1 abs(y1p(idove)).eq.dyypi(idove).or. + 1 abs(z1p(idove)).eq.dzypi(idove) ) )then +c ORA CHE IL BORDO FA PARTE DELLA ZONA FUORI +C QUESTO CASO NON SI DEVE PIU' VERIFICARE + type *,' Particella al bordo yap ENTRANTE ?' + type *,' WARNING ! questo non dovrebbe succedere!' +c + if(noutflag5.gt.2) then + write(50,*)' Particella al bordo yap ENTRANTE ?' + write(50,*)' WARNING ! questo non dovrebbe succedere!' + endif + nr=ir(np) + idove=0 +c ............... else su posizione part. idove +c se e' in un yap e' ammesso che capiti sul +c bordo senza accorgersene +c + else if( idove.eq.0.and. ! lo trova fuori + 1 (irnp.gt.ncyl+1.and.irnp.le.ncyl+1+nyp).and. ! e' nei yaps + 1 (abs(x1p(irnp-ncyl-1)).eq.dxypi(irnp-ncyl-1).or. ! e' sul bordo + 1 abs(y1p(irnp-ncyl-1)).eq.dyypi(irnp-ncyl-1).or. + 1 abs(z1p(irnp-ncyl-1)).eq.dzypi(irnp-ncyl-1)) )then +c + type *,' Warning! : particella al bordo yap: pos.ambigua ' + if(noutflag5.gt.2) then + write(50,*)' Warning! : particella al bordo yap: pos.ambigua ' + endif + call parla(23) + irnew= ncyl+1 ! provo a correggere + ustep=0.0 + goto 500 +c ------ > else on idove + else ! qui si e' un errore + write(ltyout,*)' warning, wrong region',nr,' instead of:',ir(np) + call parla(-21) + if(noutflag5.gt.2) + 1write(50,*)' warning, wrong region',nr,' instead of:',ir(np) +c +c hocorretto=hocorretto+1 +c if(hocorretto.lt.3) then +c irnew=nr ! cerco di correggere, dicendogli di cambiare zona +c ustep=0 +c else + hocorretto=0 + idisc=1 ! se ho gia corretto la scarto + irnew=ncyl+nyp+2 + ir(np)=irnew ! egs4 non lo fa per discard immediato + call parla(-20) +c endif + goto 500 +c +c -----------> ending if on idove + endif +c -----------> ending if on nr +c else +c hocorretto=0 ! if nr is OK resets this flag + endif +c --------------------------------------- guardo se attraversa un yap + do 15 ip=1,nyp + 15 inyap(ip)=.false. +c + if(idove.gt.0) then !se e' dentro un yap puo attraversare solo quello + i1=idove + i2=idove + else ! altrimenti li provo tutti + i1=1 + i2=nyp + endif +c + ustep2=ustep*ustep + do 20 ip=i1,i2 + call guardaatt(x1p(ip),y1p(ip),z1p(ip),x2p(ip),y2p(ip),z2p(ip), + 1 ustep,ustep2,distyap(ip), + 1 xdistp(ip),ydistp(ip),zdistp(ip),inyap(ip),ip, + 1 intersyap(ip)) +c + 20 continue +c +c ------------------------------------ cerco il primo yap che attraversa + attrav=upperlimite ! un limite max per le dimensioni del sistema + iattrav=0 + do 30 ip=i1,i2 + if(inyap(ip)) then + if(attrav.gt.distyap(ip) ) then +c + if(distyap(ip).eq.0.0.and.nr.eq.ncyl+1) goto 30 +c caso di particella posta sul bordo del yap per uscirne, +c (nr=numero cyl+1) allora il bordo non conta come +c intersezione. +c + iattrav=ip + attrav=distyap(ip) + endif + endif + 30 continue +c +c ---------------------------controllo che non stia rientrando nella +c zona dei cilindri +c (se non e' partito da dentro un yap) +c questo caso e' in genere raro, e forse non fisico, +c vista la presenza del collimatore, ma lo devo includere +c per evitare problemi geometrici, con particelle +c trovate fuori posto etc etc . + if(idove.ne.0) then ! se e' dentro un yap deve uscirne prima +c rientra=.false. +c cyldatop=.false. +c cyldabot=.false. + goto 300 ! non controllo se rientra nei cyl + endif +c + rientra=intersec(x(np),y(np),z(np),xnew,ynew,znew,rcylsq(ncyl), + 1 xx,yy,zz,dd) + if(rientra) then + if(dd.gt.ustep.or.abs(zz).gt.zmax) rientra=.false. +c intersec non contempla questi casi , attravz invece si. + endif +c + cyldatop=attravz(x(np),y(np),z(np),xnew,ynew,znew, + 1 ustep,ustep2,dcyltp,xcyltp,ycyltp,zcyltp, + 2 zmax,rcylsq(ncyl)) + cyldabot=attravz(x(np),y(np),z(np),xnew,ynew,znew, + 1 ustep,ustep2,dcylbt,xcylbt,ycylbt,zcylbt, + 2 -zmax,rcylsq(ncyl)) +c + if(.not.(rientra.or.cyldatop.or.cyldabot)) goto 300 ! niente intesez. + call parla(1) +c inutile continuare +c ................... cerca l'intersezione piu' vicina alla partenza +c + ddmin=upperlimite ! una dimensiona max del sistema +c + if(rientra) ddmin=dd +c + if(cyldatop.and.dcyltp.lt.ddmin) then ! attravz testa ustep ed rcyl + ddmin=dcyltp + rientra=.false. + else + cyldatop=.false. + endif +c + if(cyldabot.and.dcylbt.lt.ddmin) then + ddmin=dcylbt + rientra=.false. + cyldatop=.false. + else + cyldabot=.false. + endif +c ....................... e qui 1 e 1 solo e' vero ( il primo cross) +c se interseca anche un rivelatore controllo se lo trova prima + if(iattrav.gt.0.and.distyap(iattrav).lt.ddmin) then +c rientra=.false. +c cyldabot=.false. +c cyldatop=.false. +c ddmin=attrav + goto 300 + endif +c + if (rientra) then + irnew=ncyl + ustep=dd +c ................... meccanismo correttivo per non stare sul bordo del cyl. +C stesso meccanismo di howcyls , ma qui e' tutto piu' semplice + XNEW=X(NP)+USTEP*UN + YNEW=Y(NP)+USTEP*VN + ZNEW=Z(NP)+USTEP*WN +c + angolo=atan2(ynew,xnew) ! tolgo vettore radiale + x(np)=x(np)-aminimo*cos(angolo) + y(np)=y(np)-aminimo*sin(angolo) +C ........................ + call parla(2) + goto 500 + else if(cyldatop) then + ddcp=xcyltp*xcyltp+ycyltp+ycyltp + call parla(3) + else if (cyldabot) then + ddcp=xcylbt*xcylbt+ycylbt+ycylbt + call parla(4) + endif +c .............. arriva qui solo se (cyldatop o cyldabot) +c if(cyldatop.or.cyldabot) then +c + do 35 i=1,ncyl ! cerca in che cilindro finisce + if(ddcp.le.rcylsq(i)) then + irnuovo=i + goto 350 + endif + 35 continue + type *,' WARNING : HOWYAPS:' + type*,' Entra nel cyl ma non trovo quale!' + type*,' Lo metto nell''ultimo, poi protesta' + if(noutflag5.gt.2) then + write(50,*)' WARNING : HOWYAPS:' + write(50,*)' Entra nel cyl ma non trovo quale!' + write(50,*)' Lo metto nell''ultimo, poi protesta' + endif + irnew=ncyl + ustep=ddmin + goto 500 + 350 continue + irnew=irnuovo + ustep=ddmin +c ................... meccanismo correttivo per non stare sul bordo del cyl. +C stesso meccanismo di howcyls , ma qui e' tutto piu' semplice + XNEW=X(NP)+USTEP*UN + YNEW=Y(NP)+USTEP*VN + ZNEW=Z(NP)+USTEP*WN +c + angolo=atan2(ynew,xnew) ! tolgo vettore radiale + x(np)=x(np)-aminimo*cos(angolo) + y(np)=y(np)-aminimo*sin(angolo) +C ........................ +c ....................... e in piu' non lo faccio stare esattamente +c sul bordo z + if(cyldatop) then + z(np)=z(np)-aminimo + else ! if(cyldabot) + z(np)=z(np)+aminimo + endif +c .............................................. + goto 500 +c endif +c + 300 continue +c -------------------------------- assegno il nuovo materiale + if(iattrav.le.0) then ! non attraversa rivelatori +c ! guardo se sta uscendo dal sistema + if(rnewsq.ge.rultimosq.or.znew.ge.zultimo) then + irnew=ncyl+2+nyp ! numero zona dei lost + idisc=-1 ! scarto la particella + call parla(5) + else if(idove.ne.0) then ! e' e resta in un yap, calcolo dnear. +c come min fra le dist. dalle 6 facce del rivelatore + distsurf1=abs(dxypi(idove)-x1p(idove)) + distsurf2=abs(-dxypi(idove)-x1p(idove)) + distsurf3=abs(dyypi(idove)-y1p(idove)) + distsurf4=abs(-dyypi(idove)-y1p(idove)) + distsurf5=abs(dzypi(idove)-z1p(idove)) + distsurf6=abs(-dzypi(idove)-z1p(idove)) + dnear(np)=min(distsurf1,distsurf2,distsurf3, + 1 distsurf4,distsurf5,distsurf6) +c else ! e' nella zona esterna ai yaps, non fo nulla +c irnew=ir(np) ! che non esce lo ho testato sopra... +c ustep va bene come e' + endif + else ! attraversa un yap +c ho vari casi: 1 : che stia uscendo dal yap +c 2 : che stia entrando nel yap +c uso il numero di regione di partenza per distinguere + numeroyap=iattrav + numfaccia=intersyap(iattrav) ! li passo via common per output +c + if(iattrav+ncyl+1.eq.ir(np)) then ! era gia' nel yap, ne sta uscendo +c -------------------------------- +c +c ................ test sul flag escono ,se puo' uscire o no. + if(escono.lt.0.) then ! chi esce torna nel sistema + irnew=ncyl+1 + ustep=distyap(iattrav) ! bordo +c +C qui c'e' il problema di particelle che diffondono sulla +c superficie del rivelatore ed escono restando praticamente +c sul bordo. La loro posizione non viene riconosciuta bene +c da questa routine. Una azione correttiva non e' semplice, +c non posso allontanarle dal centro del rivelatore perche' +c l'insieme dei rivelatori non e' una forma compatta, +c potrei finire in un altro rivelatore. +c Procedo cosi': per la faccia 2 avvicino al centro del +c sistema, per le faccie 1,3,4 allontano dal centro, +c per le facce 5,6 allontano dal centro in z. + if(numfaccia.eq.6) then + z(np)=z(np)-precision + else if(numfaccia.eq.5) then + z(np)=z(np)+precision + else if(numfaccia.eq.1.or.numfaccia.eq.3.or. + 1 numfaccia.eq.4) then + XNEW=X(NP)+USTEP*UN + YNEW=Y(NP)+USTEP*VN + angolo=atan2(ynew,xnew) ! aggiungo vettore radiale + x(np)=x(np)+precision*cos(angolo) + y(np)=y(np)+precision*sin(angolo) + else if(numfaccia.eq.2) then + XNEW=X(NP)+USTEP*UN + YNEW=Y(NP)+USTEP*VN + angolo=atan2(ynew,xnew) ! tolgo vettore radiale + x(np)=x(np)-precision*cos(angolo) + y(np)=y(np)-precision*sin(angolo) + endif +c + else if(escono.gt.0) then ! chi esce va nel collimatore, per cui +c e' eliminato e messo in zona nyp+ncyl+4, +c sempre, anche se il coll. non c'e' +c a limitare gli ingressi ( uscite +c inibite ) + idisc=1 ! discard immediato +c irnew=ncyl+nyp+3 + irnew=ncyl+nyp+4 ! pseudo-zona per uscite dai yaps + ir(np)=irnew ! egs4 non lo fa per discard immediato + call parla(22) + goto 500 ! salto le correzioni per non stare sulle facce +c + else ! if (escono.eq.0) then +c if(angcol.gt.0.and.numfaccia.eq.2) then ! assorbito nel coll. + if(numfaccia.eq.2) then ! assorbito nel coll. +c irnew=ncyl+nyp+3 + irnew=ncyl+nyp+4 ! questa e' la zona apposita + ir(np)=irnew ! egs4 non lo fa per discard immediato + idisc=1 + call parla(22) + goto 500 ! salto le correzioni per non stare sulle facce +c + else ! torna nel sistema + irnew=ncyl+1 + ustep=distyap(iattrav) ! bordo +c e anche qui faccio tutte le correzioni per non stare +c attaccato alle facce dei rivelatori + if(numfaccia.eq.6) then + z(np)=z(np)-precision + else if(numfaccia.eq.5) then + z(np)=z(np)+precision + else if(numfaccia.eq.1.or.numfaccia.eq.3.or. + 1 numfaccia.eq.4) then + XNEW=X(NP)+USTEP*UN + YNEW=Y(NP)+USTEP*VN + angolo=atan2(ynew,xnew) ! aggiungo vettore radiale + x(np)=x(np)+precision*cos(angolo) + y(np)=y(np)+precision*sin(angolo) + endif ! sulle facce per le correzioni + endif ! su esistenza coll. e faccia + endif ! su flag escono +c + call parla(6) +c + else ! sta entrando nel yap, lo metto sul bordo +c ------------------------------------------- + if(angcol.le.0.0) then ! il collimatore non c'e' + ustep=distyap(iattrav) + irnew=iattrav+1+ncyl + else ! collimatore geometrico, +c controllo l'angolo ammesso + if(numfaccia.eq.2) then ! il coll. e' sulla faccia 2 + cosvd=(abs(x1p(iattrav)-xdistp(iattrav)))/ + 1 distyap(iattrav) + angcosvd=acos(cosvd) !e' meglio testare il cos, risparmia acos! + if(angcosvd.gt.angcolrad) then ! assorbita dal coll. + irnew=ncyl+nyp+3 + idisc=1 + ir(np)=irnew ! egs4 non lo fa per discard immediato + call parla(19) + goto 500 ! al return + else ! entra da altri angoli + ustep=distyap(iattrav) + irnew=iattrav+1+ncyl + endif + else ! entra da altre facce + ustep=distyap(iattrav) + irnew=iattrav+1+ncyl + endif ! sulle facce del collimatore + endif ! sull'esistenza collimatore +c + call parla(7) +c .................. meccanismo correttivo per evitare +c ambiguita'. Correggo x,y,z per +c far finire la particella un po' dentro +c il rivelatore. +c Sottraggo un vettore che punta dal centro del yap +c verso il quadrante della zona di intersezione. +c + xcorrin=sign(precision,xdistp(iattrav)) + ycorrin=sign(precision,ydistp(iattrav)) + zcorrin=sign(precision,zdistp(iattrav)) +c porto il vettore nel sistema del cilindro + call incylsys0(iattrav,xcorrin,ycorrin,zcorrin, + 1 xcorr,ycorr,zcorr) +c ora correggo....... + x(np)=x(np)-xcorr + y(np)=y(np)-ycorr + z(np)=z(np)-zcorr +c ...................................... + endif ! ( se entra o esce da un rivelatore ) + ENDIF ! (se attraversa un rivelatore o no ) +c + 500 continue + return + end +c + SUBROUTINE guardaatt(x1,y1,z1,x2,y2,z2, + 1 ustep,ustep2,distyap,xdistp,ydistp,zdistp,inyap,ip,intersec) +C ------------------------------------------------------------ +c calcola l'intersezione fra il segmento per x,y,z - xnew,ynew,znew +c e il parallelepipedo ip +c Il punto di partenza e di arrivo del segmento possono essere +c punti di intersezione ( caso di una particella messa sul bordo +c nel giro precedente che torna indietro, uscendo di nuovo dal yap) ? +c +c dist e' la distanza di x,y,z dall'intersezione, +c inyap e' vero se l'intersezione esiste +c xdist,ydistzdist e' il punto di intersezione (coord del yap) +c intersec e' la faccia intersecata +c QUESTA ROUTINE NON E' OTTIMIZZATA, CI SI PUO RISPARMIARE IL LOOP 50 +C FACENDO I TEST SUBITO. +c -------------------------------------------------------------- + include 'comm_yaps.for' +c +c real*8 distyap,xdist,ydist,zdist + logical inyap,logcross + logical x2gtx1,z2gtz1,y2gty1 +c + dimension xcross(6),ycross(6),zcross(6),logcross(6),dcrosssq(6) +c real*8 xcross(6),ycross(6),zcross(6),dcrosssq(6) +c real*8 x2x1,y2y1,z2z1,t +c + d2(x,y,z,x1,y1,z1)=(x-x1)**2+(y-y1)**2+(z-z1)**2 + cp(t,x2x1,x1)=t*x2x1+x1 +c +c ---------------------------------------- +c + x2x1=x2-x1 + y2y1=y2-y1 + z2z1=z2-z1 +c + if(x2.gt.x1) then ! servono sotto per vedere + x2gtx1=.true. ! se l'intersezione e' fra x1 ed x2 + else + x2gtx1=.false. + endif + if(y2.gt.y1) then + y2gty1=.true. + else + y2gty1=.false. + endif + if(z2.gt.z1) then + z2gtz1=.true. + else + z2gtz1=.false. + endif + +c +c -- CALCOLA LE INTERSEZIONI DEL SEGMENTO FRA X,Y,X ED XNEW,YNEW,ZNEW +C CON I SEI PIANI CHE DELIMITANO IL RIVELATORE ------- +C +c ------------------- cerca intersez col piano x=-dxypi e col x=dxypi +c + if(x2x1.eq.0.0) then ! no intersection corre parallelo al piano + logcross(1)=.false. + logcross(2)=.false. + else ! calcolo intersez. piano x=dxypi + xcross(1)=dxypi(ip) + if(x2gtx1)then + if(xcross(1).lt.x1.or.xcross(1).gt.x2) goto 101 ! int.fuori segmento + else + if(xcross(1).gt.x1.or.xcross(1).lt.x2) goto 101 ! int.fuori segmento + endif + t=(dxypi(ip)-x1)/x2x1 + ycross(1)=cp(t,y2y1,y1) + if(abs(ycross(1)).gt.dyypi(ip)) goto 101 ! intersezione in y e' out + zcross(1)=cp(t,z2z1,z1) + if(abs(zcross(1)).gt.dzypi(ip)) goto 101 ! intersezione in z e' out + dcrosssq(1)=d2(xcross(1),ycross(1),zcross(1),x1,y1,z1) + if(dcrosssq(1).gt.ustep2) goto 101 ! inters. oltre ustep + logcross(1)=.true. + goto 100 + 101 logcross(1)=.false. + 100 continue + xcross(2)=-dxypi(ip) ! intersez. piano x=-dxypi + if(x2gtx1) then + if(xcross(2).lt.x1.or.xcross(2).gt.x2) goto 102 ! int.fuori segmento + else + if(xcross(2).gt.x1.or.xcross(2).lt.x2) goto 102 ! int.fuori segmento + endif + t=(-dxypi(ip)-x1)/x2x1 + ycross(2)=cp(t,y2y1,y1) + if(abs(ycross(2)).gt.dyypi(ip)) goto 102 ! intersezione in y e' out + zcross(2)=cp(t,z2z1,z1) + if(abs(zcross(2)).gt.dzypi(ip)) goto 102 ! intersezione in z e' out + dcrosssq(2)=d2(xcross(2),ycross(2),zcross(2),x1,y1,z1) + if(dcrosssq(2).gt.ustep2) goto 102 ! inters. oltre ustep + logcross(2)=.true. + goto 200 + 102 logcross(2)=.false. + 200 continue + endif +c +c ------------------- cerca intersez col piano y=-dyypi e col y=dyypi +c + if(y2y1.eq.0.0) then ! no intersection corre parallelo al piano + logcross(3)=.false. + logcross(4)=.false. + else ! intersez. piano y=dyypi + ycross(3)=dyypi(ip) + if(y2gty1) then + if(ycross(3).lt.y1.or.ycross(3).gt.y2) goto103 ! fuori segmento + else + if(ycross(3).gt.y1.or.ycross(3).lt.y2) goto103 ! fuori segmento + endif + t=(dyypi(ip)-y1)/y2y1 + xcross(3)=cp(t,x2x1,x1) + if(abs(xcross(3)).gt.dxypi(ip)) goto 103 ! x intersection fuori yap + zcross(3)=cp(t,z2z1,z1) + if( abs(zcross(3)).gt.dzypi(ip)) goto 103 ! z intersec. fuori + dcrosssq(3)=d2(xcross(3),ycross(3),zcross(3),x1,y1,z1) + if(dcrosssq(3).gt.ustep2) goto 103 !intersec.oltre ustep + logcross(3)=.true. + goto 300 + 103 logcross(3)=.false. + 300 continue + ycross(4)=-dyypi(ip) ! intersez. piano y=-dyypi + if(y2gty1) then + if(ycross(4).lt.y1.or.ycross(4).gt.y2)goto 104 + else + if(ycross(4).gt.y1.or.ycross(4).lt.y2)goto 104 + endif + t=(-dyypi(ip)-y1)/y2y1 + xcross(4)=cp(t,x2x1,x1) + if(abs(xcross(4)).gt.dxypi(ip)) goto 104 + zcross(4)=cp(t,z2z1,z1) + if(abs(zcross(4)).gt.dzypi(ip)) goto 104 ! intersez nel yap + dcrosssq(4)=d2(xcross(4),ycross(4),zcross(4),x1,y1,z1) + if(dcrosssq(4).gt.ustep2) goto 104 ! inters.oltre ustep + logcross(4)=.true. + goto 400 + 104 logcross(4)=.false. + 400 continue + endif +c +c ------------------- cerca intersez col piano z=-dzypi e col z=dzypi +c + if(z2z1.eq.0.0) then ! no intersection corre parallelo al piano + logcross(5)=.false. + logcross(6)=.false. + else ! intersez. piano x=dzypi + zcross(5)=dzypi(ip) + if(z2gtz1)then + if(zcross(5).lt.z1.or.zcross(5).gt.z2)goto 105 + else + if(zcross(5).gt.z1.or.zcross(5).lt.z2)goto 105 + endif + t=(dzypi(ip)-z1)/z2z1 + xcross(5)=cp(t,x2x1,x1) + if(abs(xcross(5)).gt.dxypi(ip)) goto 105 + ycross(5)=cp(t,y2y1,y1) + if(abs(ycross(5)).gt.dyypi(ip)) goto 105 ! intersez nel yap + dcrosssq(5)=d2(xcross(5),ycross(5),zcross(5),x1,y1,z1) + if(dcrosssq(5).gt.ustep2) goto 105 ! inters.oltre ustep + logcross(5)=.true. + goto 500 + 105 logcross(5)=.false. + 500 continue + zcross(6)=-dzypi(ip) ! intersez. piano z=-dzypi + if(z2gtz1) then + if(zcross(6).lt.z1.or.zcross(6).gt.z2) goto 106 + else + if(zcross(6).gt.z1.or.zcross(6).lt.z2) goto 106 + endif + t=(-dzypi(ip)-z1)/z2z1 + xcross(6)=cp(t,x2x1,x1) + if(abs(xcross(6)).gt.dxypi(ip)) goto 106 ! intersez nel yap + ycross(6)=cp(t,y2y1,y1) + if(abs(ycross(6)).gt.dyypi(ip)) goto 106 + dcrosssq(6)=d2(xcross(6),ycross(6),zcross(6),x1,y1,z1) + if(dcrosssq(6).gt.ustep2) goto 106 ! inters.oltre ustep + logcross(6)=.true. + goto 600 + 106 logcross(6)=.false. + 600 continue + endif +c +C ---------------------- ed ora fra tutte le intersezioni trovate +c -----------------------sceglie quella piu' vicina al punto di partenza + distminsq=upperlimite ! limite max del sistema + intersec=0 + do 50 j=1,6 + if(logcross(j).and.dcrosssq(j).lt.distminsq) then + intersec=j + distminsq=dcrosssq(j) + endif + 50 continue +c + if(intersec.gt.0) then ! passa alla subr. calling le + distyap=sqrt(distminsq) ! posizioni in cui c'e' l'intersez. + xdistp=xcross(intersec) + ydistp=ycross(intersec) + zdistp=zcross(intersec) + inyap=.true. + else + inyap=.false. + endif +c + return + end +c + function attravz(x1,y1,z1,x2,y2,z2,ustep,ustep2, + 1 ddp,xxp,yyp,zzp,zpiano,erresq) +c -------------------------------------------------------- +c Serve per guardarese una particella rientra nei cilindri da +c sopra o da sotto. Cerca l'intersezione della retta +c individuata da x1,y1,z1 ed x2,y2,z2, col piano z=zpiano. +c Se trova l'intersezione, e' piu' vicina all'origine di erresq, +c e prima di ustep, allora attravz e' vero, xxp,yyp,zzp e' +c il punto di intersezione e ddp la distanza di questo da x1,y1,z1 +c Altimenti attravz e' falso. +c --------------------------------------------------------------- +c + logical attravz +c + d2(x,y,z,x1,y1,z1)=(x-x1)**2+(y-y1)**2+(z-z1)**2 + cp(t,x2x1,x1)=t*x2x1+x1 +c ............................. +c + zzp=zpiano + if(z2.gt.z1) then + if(zzp.lt.z1.or.zzp.gt.z2) goto 100 ! fuori segmento + else ! questo test dipende da come + if(zzp.gt.z1.or.zzp.lt.z2) goto 100 ! e' orientato il segmento + endif +c + z2z1=z2-z1 + if(z2z1.eq.0.0) goto 100 ! se corre sul piano lo penso fuori, +c cosi' semplifico. Howyaps trovera' +c una intersezione col lato del cilindro + x2x1=x2-x1 + y2y1=y2-y1 + t=(zpiano-z1)/z2z1 + xxp=cp(t,x2x1,x1) + yyp=cp(t,y2y1,y1) + rp=xxp*xxp+yyp*yyp + if(rp.gt.erresq) goto 100 ! fuori raggio del cilindro + ddp=d2(x1,y1,z1,xxp,yyp,zzp) + if(ddp.gt.ustep2) goto 100 ! potrei usare solo questo test e +c non fare quello sopra su z1 e z2.In questo caso ho alcuni casi in cui +c mi risparmio conti, ma negli altri faccio in piu' il test su z1,z2 +c + attravz=.true. + ddp=sqrt(ddp) + return + 100 continue + attravz=.false. + return + end +c + subroutine parla(kparla) +c ------------------------------------ +c dice dove e' una particella e cosa sta facendo +c ( se noutflag5>0 ) +c se noutflag5=1 o >2 stampa su 6 +c se noutflag5=2 o >2 stampa su 50 +c se kparla<0 fa stampa in ogni caso ... +c --------------------------------------------- + include 'comm_cyltot_2.for' + include 'comm_yaps.for' +c + logical dico +c + parameter maxavvisi=23 + character *40,avviso(maxavvisi) + data avviso(1)/' particella che forse rientra nei cyl'/ + data avviso(2)/' particella che rientra di lato'/ + data avviso(3)/' particella che rientra da sopra'/ + data avviso(4)/' particella che rientra da sotto'/ + data avviso(5)/' particella che esce dal sistema'/ + data avviso(6)/' particella che esce da un rivelatore'/ + data avviso(7)/' particella che entra in un rivelatore'/ + data avviso(8)/' particella che va nel cyl precedente'/ + data avviso(9)/' particella che va nel cyl successivo'/ + data avviso(10)/' particella che esce di lato dai cyl'/ + data avviso(11)/' particella che esce da z dai cyl'/ + data avviso(12)/' Howyaps non sa se e'' nei cyl o fuori'/ + data avviso(13)/' howcyls trova part nei rivelatori'/ + data avviso(14)/' howcyls trova part. nel cyl sbagliato'/ + data avviso(15)/' particella esce dai cyl da z'/ + data avviso(16)/' particella esce dai cyl da r'/ + data avviso(17)/' particella va nel prec. cyl '/ + data avviso(18)/' particella va nel succ. cyl '/ + data avviso(19)/' particella nel collimatore'/ + data avviso(20)/' particella scartata .....'/ + data avviso(21)/' howyaps trova part. in reg. errata'/ + data avviso(22)/' part. che esce dai rivelatori'/ + data avviso(23)/' part. a bordo rivel., messa fuori'/ +c + if(kparla.lt.0) then + k=-kparla + dico=.true. + else + k=kparla + dico=.false. + endif +c + if(noutflag5.le.0.and.(.not.dico)) return +c + erre=x(np)**2+y(np)**2 + erre=sqrt(erre) + XNEW=X(NP)+USTEP*U(np) + YNEW=Y(NP)+USTEP*V(np) + ZNEW=Z(NP)+USTEP*W(np) + RNEWSQ=XNEW*XNEW+YNEW*YNEW + RNEW=SQRT(RNEWSQ) +c + 900 format(5x,'---->',A40) + 1000 format(5x,'part.:',I3,' ir=',I3,' irnew=',i3, + 1 ' carica:',I2,' E=',G12.5,' r=',g12.5) + 1100 format(5x,'da x=',G12.5,' y=',G12.5,' z=',g12.5, + 1 ' step=',g12.5,' u,v,w=',3g12.5) + 1200 format(5x,'a: x=',g12.5,' y=',g12.5,' z=',g12.5, + 1 'rnew=',g12.5,' angcoll=',g12.5, + 2 ' yap=',i2,' faccia yap=',i2) +c + if(noutflag5.eq.1.or.noutflag5.ge.3.or.dico) then + write(6,900) avviso(k) + write(6,1000) np,ir(np),irnew,iq(np),e(np),erre + write(6,1100) x(np),y(np),z(np),ustep,u(np),v(np),w(np) + write(6,1200) xnew,ynew,znew,rnew,angcosvd*57.29578, + 1 numeroyap,numfaccia + endif +c + if(noutflag5.eq.2.or.noutflag5.ge.3) then + write(50,900) avviso(k) + write(50,1000) np,ir(np),irnew,iq(np),e(np),erre + write(50,1100) x(np),y(np),z(np),ustep,u(np),v(np),w(np) + write(50,1200) xnew,ynew,znew,rnew,angcosvd*57.29578, + 1 numeroyap,numfaccia + endif +c + return + end diff --git a/code/source/init_cyl_2.for b/code/source/init_cyl_2.for new file mode 100644 index 0000000..3cb9e92 --- /dev/null +++ b/code/source/init_cyl_2.for @@ -0,0 +1,254 @@ +C file init_cyl_2.for del 12-sept-1994 + SUBROUTINE INIT_CYL +C-----------------------------------------------------------------C +C CYLINDER +C 26-jul-1994 : aggiunto hisluny=22 ( l.u. per n-tuple yaps) +C 11-may-1994 : aggiunto hislun1=21 ( l.u. per n-tuple ) +C VERSION INIT_CYL_1 : 9-MAY-1994 : OUTPUT OPTIONS ADDED +C-----------------------------------------------------------------C + INCLUDE 'COMM_CYLtot_2.FOR' + +c ----------------------------- +c parameter mxreg ! max num. of regions fissato in comm_cyltot_2 + dimension ecutletto(mxreg),pcutletto(mxreg) + dimension indmat(mxreg) +c +C-----------------------------------------------------------------C +C----------- I.O UNIT DEFINITION----------------------------------C +c non tutte sono definite qui, alcune sono definite nelle routine che le usano +C + DATA LTYIN,LTYOUT,LTYFIL,LTYHIS,LTYDAT,HISLUN + A /5,6,9,10,7,20/ +c ! 5 - terminal input +c ! 6 - terminal output +c ! 7 - input geometria cilindro +c ! 9 - input istogrammi +c ! 8 - output list di hatch +c ! 10 - output file +c ! 11 - ps metafile per plots +c ! 12 - input materiali da pegs4 + data n13 /13/ ! 13 - file di input geometria rivelatori +c ! 20 - output per paw-hbook + DATA HISLUN1 /21/ ! 21 - file n-tuple + DATA HISLUNy /22/ ! 22 - file n-tuple rivelatori +c ! 50 - paths particelle ( per tests ) +c +C-----------------------------------------------------------------C +C---------- PRINT EXECUTING VERSION --------------------------C + CALL EGS4T(LTYHIS) + CALL EGS4T(LTYOUT) +C. +C WRITE(LTYOUT,6080) +C 6080 FORMAT(1X,' ENTERING EGS4INIT ' ) +C +c -------------------------------------------------- +c zero some arrays ( for each region ) + do 1 i=1,mxreg + pcut(i)=0.0 + ecut(i)=0.0 + med(i)=0 +c ed azzero tutto il common /epersa/ con i final results + numerotot(i)=0 + numeropos(i)=0 + numeroele(i)=0 + numerogam(i)=0 + 1 continue +c il resto lo annullo in modo veloce: + numerofloat=(4+3*12)*mxreg ! numero di real da annullare in /epersa/ + call zero(numerofloat,esum(1)) +c +C zero tot energy + TOTKE = 0. ! solo cinetica + TOTEI = 0. ! compresa la massa +C +C-----READ RUNNING DATA ------------------------------------------C +C + READ(LTYDAT,*,ERR=700,END=700) NCASES, ICHAR, INIREG, EKIN +c WRITE(TLYOUT,7001) NCASES, ICHAR, INIREG, EKIN +c7001 FORMAT(' Numero di casi:',I3,' carica:',I2, +C 1 ' inizial.reg:',I2,' Ekin:',G15.5) + READ(LTYDAT,*,ERR=700,END=700) ITCTX, ISTSEED, ISTARTSEED +C + WRITE(LTYOUT,7002) ITCTX + WRITE(LTYHIS,7002) ITCTX + 7002 FORMAT(' print time each step ITCTX',I6) +C7000 FORMAT(3I10,E20.5) +C-- + WRITE(LTYOUT,6051) EKIN,NCASES,INIREG,ICHAR + WRITE(LTYHIS,6050) EKIN,NCASES,INIREG,ICHAR + 6050 FORMAT(1H ,' EKIN =',E20.3,3X,' NCASES = ',I8,3X,' INIREG=',I3, + A ' CHARGE = ',I3//) + 6051 FORMAT(' EKIN =',E20.3,3X,' NCASES = ',I8,3X,' INIREG=',I3, + A ' CHARGE = ',I3) +C-- +C-----------------------------------------------------------------C +C MEDIA TO BE PROCESSED IN HATCH ------------------------------C +C + READ(LTYDAT,*,ERR=700,END=700) NMED + WRITE(LTYHIS,5000) NMED + WRITE(LTYOUT,5000) NMED + 5000 FORMAT(/' Number of media:',I3) +C7002 FORMAT(I10) +C + DO 400 J=1,NMED + READ(LTYDAT,7004,ERR=700,END=700) (MEDIA(I,J),I=1,24) + 7004 FORMAT(24A1) + 400 CONTINUE + DO 402 J=1,NMED + READ(LTYDAT,*,ERR=700,END=700) + 1 MAT,PCUTletto(MAT),ECUTletto(MAT) +C7006 FORMAT(I10,2F10.3) + 402 CONTINUE +C----------------------- ADD TO THE E CUT THE MASS ENERGY -------C + WRITE(LTYOUT,5010) + WRITE(LTYHIS,5010) + 5010 FORMAT(2X,' Media',9X,' Material ',18X,' Pcut',10X,' Ecut') +C + DO 406 J=1,NMED + ECUTletto(J) = ECUTletto(J) + RM + WRITE(LTYHIS,6020) J, + 1(MEDIA(I,J),I=1,24),PCUTletto(J),ECUTletto(J) + WRITE(LTYOUT,6020) J, + 1 (MEDIA(I,J),I=1,24),PCUTletto(J),ECUTletto(J) + 6020 FORMAT(1X,I5,5X,24A1,2(3X,G12.4)) + 406 CONTINUE +c---------------------------------------------------------------C +C READ CYL GEOMETRY ----------------------------------------C +C NCYL = NUMBER OF CYL, SHELLS, ZMAX=HALF CYL. HEIGTH +C + READ(LTYDAT,*,ERR=700,END=700 ) NCYL,ZMAX +C + WRITE(LTYHIS,6010) NCYL,ZMAX + WRITE(LTYOUT,6010) NCYL,ZMAX + 6010 FORMAT(/' Number of cyls:',I5,5X,' Half cyl. height:',G12.4) +C + DO 500 K=1,NCYL + READ(LTYDAT,*,ERR=700,END=700) RTHICK(K),INDMAT(K) + 500 CONTINUE +C7020 FORMAT(2F10.0,I10) +C +C ........................ external radius for each zone +C zone 1 + RCYL(1)=RTHICK(1) + RCYLSQ(1)=RCYL(1)*RCYL(1) +C + WRITE(LTYOUT,5100) + WRITE(LTYHIS,5100) + 5100 FORMAT(' region deltaR',9X,'R',9X,'R**2',10X, + 1 ' material',22X,'pcut',9X,'ecut') + K=1 + WRITE(LTYHIS,6100) K,RTHICK(K),RCYL(K),RCYLSQ(K), + 1 INDMAT(K),(MEDIA(J,INDMAT(K)), J=1,24), + 2 PCUTletto(INDMAT(K)),ECUTletto(INDMAT(K)) + WRITE(LTYOUT,6100) K,RTHICK(K),RCYL(K),RCYLSQ(K), + 1 INDMAT(K),(MEDIA(J,INDMAT(K)), J=1,24), + 2 PCUTletto(INDMAT(K)),ECUTletto(INDMAT(K)) +C +C .............. zones 1... NCYL + DO 510 K=2,NCYL + RCYL(K)=RCYL(K-1)+RTHICK(K) + RCYLSQ(K)=RCYL(K)*RCYL(K) + WRITE(LTYHIS,6100) K,RTHICK(K),RCYL(K),RCYLSQ(K), + 1 INDMAT(K),(MEDIA(J,INDMAT(K)), J=1,24), + 2 PCUTletto(INDMAT(K)),ECUTletto(INDMAT(K)) + WRITE(LTYOUT,6100) K,RTHICK(K),RCYL(K),RCYLSQ(K), + 1 INDMAT(K),(MEDIA(J,INDMAT(K)), J=1,24), + 2 PCUTletto(INDMAT(K)),ECUTletto(INDMAT(K)) + 6100 FORMAT(1X,I4,3G12.4,3X,I3,1X,24A1,2G12.4) +C + 510 CONTINUE +C +C SELECT MAXIMUN RADIAL DIMENSION + RGRAPH = RTHICK(NCYL) ! mi serve ?????? +C +C---------------------------------------------------------------C +C fisso le grandezze per gli shower , via comm /misc/ e bounds +c pcutletto,ecutletto,indmat sono variabili locali +C + DO 600 K=1,NCYL + MED(K)=INDMAT(K) + pcut(k)=pcutletto(med(k)) + ecut(k)=ecutletto(med(k)) + 600 CONTINUE +C ....... REGION NCYL+1 TO MARK zone out of cyls, +c nyp regions for yaps, region ncyl+nyp+2 per le uscite +c ncyl+nyp+3 the collimator +c ncyl+nyl+4 uscite dai rivelatori +C all these are set in read_yaps +c NREG=NCYL+2+nyp +c MED(NCYL+2+nyp)=0 +C +C --------------------------------------- +C ....................... READS THE OUTPUT OPTIONS + READ(LTYDAT,*,ERR=700,END=700) NOUTFLAG1,NOUTFLAG2,NOUTFLAG3, + 1 NOUTFLAG4,NOUTFLAG5,NOUTFLAG6 + write(LTYout,7350) NOUTFLAG1,NOUTFLAG2,NOUTFLAG3, + 1 NOUTFLAG4,NOUTFLAG5,NOUTFLAG6 + 7350 format(' flag grafica interattiva =',I2/ + 1 ' flag stampa percorsi particelle =',i2/ + 2 ' flag produzione n-tuple =',I2/ + 2 ' flag produzione n-tuple rivelatori =',I2/ + 2 ' flag stampa attraversamento zone =',I2/ + 3 ' altri flags ( non usati ora ) =',I2) + IF(NOUTFLAG1.GT.0) THEN + N11INTER=11 + ELSE + N11INTER=0 + ENDIF + IF(NOUTFLAG2.GT.0.or.noutflag5.gt.0) THEN ! sets the logical unit number + N50PATHS=50 ! for paths printings + ELSE + N50PATHS=0 + ENDIF + IF(NOUTFLAG3.GT.0) THEN ! sets the logical unit number for + N51DECAY=51 ! e+ decay n-tuple + ELSE + N51DECAY=0 + ENDIF +C-----------------------------------------------------------------C +C DISTANCES WILL BE IN CM -----------------------------------C + DUNIT= 1.00 +C-----------------------------------------------------------------C +C--------------------------------------------------------------C +C +C DETERMINATION-OF-INCIDENT-PARTICLE-PROPERTIES +C INCIDENT PARTICLE IS A ELECTRON +C + IQI=ICHAR +C +C INCIDENT PARTICLE IS GENERATED IN REGION INIREG +C + IRI=INIREG +C +C WEIGHT FACTOR--------NOT USED IN CALCULATION, BUT +C IS A PARAMETER IN SUBROUTINE SHOWER; HENCE DEFINE +C AS UNITY +C + WTI=1.0 +C-----------------------------------------------------------C +C +C AN OUTPUTING PARAMETER, INVENTED TO MARK THE +C INCIDENT PARTICLES +C + ICODE=-1 +C +C-----------------------------------------------------------C +C + WRITE(LTYOUT,6081) + 6081 FORMAT(1X,' END OF EGS4INIT ' ) + RETURN +C--------------------------------------------------------------C + 700 WRITE(LTYOUT,6077) + 6077 FORMAT(1X,' ERROR DURING UNIT # 7 READ '//) + RETURN + END +c + subroutine zero(n,a) +c --------------------------- +c azzera un vettore +c -------------------------- + dimension a(n) + do 10 i=1,n + 10 a(i)=0.0 + return + end diff --git a/code/source/petgracom.for b/code/source/petgracom.for new file mode 100644 index 0000000..56c9aa2 --- /dev/null +++ b/code/source/petgracom.for @@ -0,0 +1,22 @@ +C + INTEGER*4 WKID ! workstation identifier + INTEGER*4 WTYPE ! workstation type + INTEGER*4 CONID ! connection identifier + INTEGER*4 ERR_FILE! unit number of the error file + INTEGER*4 TNFULL ! transformation number full screen viewport + INTEGER*4 TNVPTL ! transformation number Top Left viewport + INTEGER*4 TNVPTR ! transformation number Top Right viewport + INTEGER*4 TNVPBL ! transformation number Bottom Left viewport + INTEGER*4 TNVPBR ! transformation number Bottom Right viewport + + REAL*4 SCALE_X ! x y factor for workstation window and + REAL*4 SCALE_Y ! viewport for using the whole display + REAL*4 VPTL(4) ! points for the Top Left viewport + REAL*4 VPTR(4) ! points for the Top Right viewport + REAL*4 VPBL(4) ! points for the Bottom Left viewport + REAL*4 VPBR(4) ! points for the Bottom Right viewport +C + COMMON/PETGRA/ WKID,WTYPE,CONID,ERR_FILE, + * SCALE_X,SCALE_Y, + * TNFULL,TNVPTL,TNVPTR,TNVPBL,TNVPBR, + * VPTL,VPTR,VPBL,VPBR diff --git a/code/source/petgraf.for b/code/source/petgraf.for new file mode 100644 index 0000000..ebdfa83 --- /dev/null +++ b/code/source/petgraf.for @@ -0,0 +1,478 @@ +c + subroutine pg_init(chopt) +C +C pg_init ....... +C +C------------------------------------------------------------------------ +C + include 'petgraf_com.inc' +C +C------- +c + integer*4 iw,ih + character*2 chopt +C +c CALL MZPAW (NWPAW,'M') + + call Iginit (0) + call iopks (err_file) + call Igzset (chopt) + +C The following for the display size + + i=ixopnds(0,dummy) + if (i.eq.-1) write (6,*) '*** ERROR *** Cannot open Dispay' + call ixgetge (-1,ii,kk,iw,ih) + dspsize = jmin0 (iw,ih) + +C + return + end +C +C------------------------------------------------------------------------ +c + subroutine pg_open(kwkid,kwtype) +C +C pg_open ....... +C +C------------------------------------------------------------------------ +C + include 'petgraf_com.inc' +c +C------- +c + integer*4 conid + + call iopwk (kwkid,conid,kwtype) + return + end +C +C------------------------------------------------------------------------ +c + subroutine pg_open_name(kwkid,x0,y0,xw,yw,title) +C +C pg_open ....... +C +C------------------------------------------------------------------------ +C + include 'petgraf_com.inc' +c +C------- +c + integer*4 conid + character*20 filen + character*20 title + character*21 ttitle + integer*4 x0,y0 ! window's origin coordinates + integer*4 xw,yw ! window's dimensions + filen='higz_windows.dat' +C + lt=index(title,' ') + lt=lt+1 + ttitle='- '//title + open(unit=10,file=filen,form='formatted',status='new') + write (10,100)x0,y0,xw,yw,ttitle(1:lt) +100 format (4(1x,i4),1x,a) + close(10) + call iopwk (kwkid,conid,1) + open(unit=10,file=filen,form='formatted',status='old',dispose='delete') + close(10) + + return + end +C +C------------------------------------------------------------------------ +c + subroutine pg_close(kwkid) +C +C pg_close ....... +C +C------------------------------------------------------------------------ +C + include 'petgraf_com.inc' +c +C------- +C + real*4 status +C + call igqwk (kwkid,'OPEN',status) + if (status .eq. 0 .or. kwkid .eq. 0) return + call idawk (kwkid) + call iclwk (kwkid) + return + end +c +C------------------------------------------------------------------------ +c + subroutine pg_end() +C +C pg_close ....... +C +C------------------------------------------------------------------------ +C + include 'petgraf_com.inc' +c +C------- +C +c call Igend + + real*4 rval(11) + call igqwk (0,'NBWK',rval) + + do i=2,rval(1)+1 + j=rval(i) + call iclwk(j) + end do + call iclks + return + end +c +C +C------------------------------------------------------------------------ + subroutine pg_axis(tn,mdim) +C +C pg_axis ....... +C +C------------------------------------------------------------------------ +C + include 'petgraf_com.inc' +C +C------- +C + integer*4 tn + real*4 dim,mdim +C + + + tmsi=mdim/100. + dim=mdim/2. + call Igset ('TMSI',tmsi) + call iselnt (tn) + call Igaxis (-dim,dim,0.,0.,-dim,dim,5,'S+-') + call Igaxis (0.,0.,-dim,dim,-dim,dim,5,'S+-') + return + end +C +C------------------------------------------------------------------------ +c + subroutine pg_create_view(kwkid,title,dspfct,mdim) +C +C pg_create_view.... +C +C------------------------------------------------------------------------ +C + include 'petgraf_com.inc' +c +C------- +c + integer*4 kwkid,wsize + real*4 dspfct + character*(*) title + real*4 mdim ! dimensione in WC del lato quadranti + + real*4 vptl(4) ! points for the Top Left viewport + real*4 vptr(4) ! points for the Top Right viewport + real*4 vpbl(4) ! points for the Bottom Left viewport + real*4 vpbr(4) ! points for the Bottom Right viewport + + data vptl /0.05,0.45,0.55,0.95/ + data vptr /0.55,0.95,0.55,0.95/ + data vpbl /0.05,0.45,0.05,0.45/ + data vpbr /0.55,0.95,0.05,0.45/ + + + wsize = dspsize * dspfct + + call pg_open_name (kwkid,0,0,wsize,wsize,title) + call iscr (kwkid,1,0.,0.,0.) + call iscr (kwkid,2,1.,0.,0.) + call iacwk (kwkid) +c call iswkwn (kwkid,0.0,1.,0.0,1.) +c call iswkvp (kwkid,0.,wsize,0.,wsize) + call iselnt (0) + call Igbox (0.0,1.0,0.0,1.0) + call Igline (0.0,1.0,0.5,0.5) + call Igline (0.5,0.5,0.0,1.0) + + dim=mdim/2. + + call iacwk (kwkid) + + call iswn (tl,-dim,dim,-dim,dim) + call isvp (tl,vptl(1),vptl(2),vptl(3),vptl(4)) + call iswn (tr,-dim,dim,-dim,dim) + call isvp (tr,vptr(1),vptr(2),vptr(3),vptr(4)) + call iswn (bl,-dim,dim,-dim,dim) + call isvp (bl,vpbl(1),vpbl(2),vpbl(3),vpbl(4)) + call iswn (br,-dim,dim,-dim,dim) + call isvp (br,vpbr(1),vpbr(2),vpbr(3),vpbr(4)) + + call pg_axis (tl,mdim) + call pg_axis (tr,mdim) + call pg_axis (bl,mdim) +c call pg_axis (br,mdim) + + call iselnt (0) + + call igset ('PASS',10) + call igset ('CSHI',10) + call igtext (.25,.96,'Y',.02,0,'C') + call igtext (.48,.74,'X',.02,0,'C') + + call igtext (.75,.96,'Z',.02,0,'C') + call igtext (.98,.74,'Y',.02,0,'C') + + call igtext (.25,.46,'Z',.02,0,'C') + call igtext (.48,.24,'X',.02,0,'C') + + call iuwk (kwkid,1) + call idawk (kwkid) + return + end +C------------------------------------------------------------------------ +c + subroutine pg_refresh_view(kwkid,mdim) +C +C pg_create_view.... +C +C------------------------------------------------------------------------ +C + include 'petgraf_com.inc' +c +C------- +c + real*4 mdim ! dimensione in WC del lato quadranti + integer*4 kwkid + + call iacwk (kwkid) + call Igbox (0.0,1.0,0.0,1.0) + call Igline (0.0,1.0,0.5,0.5) + call Igline (0.5,0.5,0.0,1.0) + + dim=mdim/2. + + call pg_axis (tl,mdim) + call pg_axis (tr,mdim) + call pg_axis (bl,mdim) + + call iselnt (0) + + call igset ('PASS',10) + call igset ('CSHI',10) + call igtext (.25,.96,'Y',.02,0,'C') + call igtext (.48,.74,'X',.02,0,'C') + + call igtext (.75,.96,'Z',.02,0,'C') + call igtext (.98,.74,'Y',.02,0,'C') + + call igtext (.25,.46,'Z',.02,0,'C') + call igtext (.48,.24,'X',.02,0,'C') + + call iuwk (kwkid,1) + call idawk (kwkid) + return + end +C------------------------------------------------------------------------ + subroutine pg_trackxyzr(kwkid,p1,p2,party) +C +C pg_trackxyzr +C +C------------------------------------------------------------------------ +C + include 'petgraf_com.inc' +C------- + integer*4 kwkid,party,two + real*4 p1(3),p2(3),a(2),b(2) + integer*4 x,y,z + + data x /1/ + data y /2/ + data z /3/ + data two /2/ +C + call isclip(1) + call iacwk (kwkid) + call isln(party) + call isplci (2) + call iselnt(tl) + a(1)=p1(x) + a(2)=p2(x) + b(1)=p1(y) + b(2)=p2(y) + call ipl(two,a,b) + + call iselnt(tr) + a(1)=p1(y) + a(2)=p2(y) + b(1)=p1(z) + b(2)=p2(z) + call ipl(two,a,b) + + call iselnt(bl) + a(1)=p1(x) + a(2)=p2(x) + b(1)=p1(z) + b(2)=p2(z) + call ipl(two,a,b) + + + call isln (1) + call isplci (1) + call idawk (kwkid) + return + end +C +C------------------------------------------------------------------------ + subroutine pg_circle(kwkid,tn,xc,yc,r) +C +C pg_circlex ..... +C +C------------------------------------------------------------------------ +C + include 'petgraf_com.inc' +C------- + integer*4 tn + real*4 xc,yc,r +C + call iacwk (kwkid) + call iselnt(tn) + call Igarc(xc,yc,r,r,0,0) + call iuwk(kwkid,1) + call idawk (kwkid) + return + end +C------------------------------------------------------------------------ + subroutine pg_box(kwkid,tn,x1,x2,y1,y2) +C +C pg_circlex ..... +C +C------------------------------------------------------------------------ +C + include 'petgraf_com.inc' +C------- + integer*4 kwkid,tn + real*4 x1,x2 + real*4 y1,y2 +C + call iacwk (kwkid) + call iselnt(tn) + call Igbox(x1,x2,y1,y2) + call iuwk(kwkid,1) + call idawk (kwkid) + return + end + +C------------------------------------------------------------------------ + subroutine pg_graph(kwkid,title,n,x,y,dspfct) +C +C pg_graph ....... +C +C------------------------------------------------------------------------ +C + include 'petgraf_com.inc' +C------- + integer*4 n + real*4 x(500),y(500) +C + + integer*4 kwkid,nt,wsize,hsize + real*4 dspfct + character*(*) title + real*4 status + + + nt=kwkid + + wsize = dspsize * dspfct +c hsize = .75 * wsize + hsize = wsize + xmin=1.e23 + ymin=1.e23 + xmax=-1.e23 + ymax=-1.e23 + do i=1,n + xmin= min (xmin,x(i)) + xmax= max (xmax,x(i)) + ymin= min (ymin,y(i)) + ymax= max (ymax,y(i)) + end do + + call igqwk (kwkid,'OPEN',status) + if (status .eq. 0) then + call pg_open_name (kwkid,0,0,wsize,hsize,title) + else + call iclrwk (kwkid,1) + end if + call iacwk (kwkid) +c call iswkwn (kwkid,0.0,1.,0.0,1.) +c call iswkvp (kwkid,0.,wsize,0.,hsize) + call iswn (nt,xmin,xmax,ymin,ymax) + call isvp (nt,.1,.9,.1,.9) + + call iselnt (nt) + call Igraph (n,x,y,'CA') + call iuwk (kwkid,1) + call idawk (kwkid) + return + end + +C------------------------------------------------------------------------ + subroutine pg_hist(kwkid,caption,title,n,x,y,dspfct) +C +C pg_graph ....... +C +C------------------------------------------------------------------------ +C + include 'petgraf_com.inc' +C------- + integer*4 n + real*4 x(2),y(500) +C + + integer*4 kwkid,nt,nt1,wsize,hsize + real*4 dspfct + character*(*) title + character*(*) caption + real*4 status + + nt=kwkid + nt1=nt+100 + wsize = dspsize * dspfct +c hsize = .75 * wsize + hsize = wsize + ymin=1.e23 + ymax=-1.e23 + do i=1,n + ymin= min (ymin,y(i)) + ymax= max (ymax,y(i)) + end do + + + call igqwk (kwkid,'OPEN',status) + + if (status .eq. 0) then + call pg_open_name (kwkid,0,0,wsize,hsize,caption) + else + call iclrwk (kwkid,1) + end if + + call iacwk (kwkid) + call iswn (nt,x(1),x(2),ymin,ymax) + call isvp (nt,.1,.9,.1,.85) + call iselnt (nt) + call Ighist (n,x,y,'ABF') + + call iswn (nt1,0.,1.,0.,.15) + call isvp (nt1,.1,.9,.85,1.) + call iselnt (nt1) +c call istxci (2) + call igtext (.5,.07,title,.025,0,'C') +c call istxci (1) + + call iuwk (kwkid,1) + call idawk (kwkid) + return + end + + + diff --git a/code/source/petgraf.inc b/code/source/petgraf.inc new file mode 100644 index 0000000..3cdb524 --- /dev/null +++ b/code/source/petgraf.inc @@ -0,0 +1,18 @@ +C------ ENEA - INN-FIS ------------------------------------------------ +C +C INCLUDE FILE FOR PET GRAPHICS +C THIS FILE HAS TO BE INCLUDED AT THE BEGIN OF THE MAIN PROGRAM +C +C IT INCLUDES THREE FILE: +C +C PETGRAF_HIGZ.INC -> common for HIGZ package +C PETGRAF_COM.INC -> common for PETGRAF library +C PETGRAF_DATA.INC -> variables initialisation (DATA) +C +C------- ferriani stefano ---------------- CR 'Clementel' 1/8/1994 ---------- +C + + include 'PETGRAF_HIGZ.INC' + include 'PETGRAF_COM.INC' + include 'PETGRAF_DATA.INC' +C diff --git a/code/source/petgraf_com.inc b/code/source/petgraf_com.inc new file mode 100644 index 0000000..646d02a --- /dev/null +++ b/code/source/petgraf_com.inc @@ -0,0 +1,19 @@ +C------ ENEA - INN-FIS ------------------------------------------------ +C +C INCLUDE FILE FOR PET GRAPHICS +C +C IT CONTAINS the common for PETGRAF library +C +C------- ferriani stefano ---------------- CR 'Clementel' 1/8/1994 ---------- +C +C + INTEGER*4 ERR_FILE ! + INTEGER*4 DSPSIZE ! display size + INTEGER*4 TL ! transformation number Top Left viewport + INTEGER*4 TR ! transformation number Top Right viewport + INTEGER*4 BL ! transformation number Bottom Left viewport + INTEGER*4 BR ! transformation number Bottom Right viewport +c + COMMON /PETGRAFC/ ERR_FILE,DSPSIZE,TL,TR,BL,BR + +C diff --git a/code/source/petgraf_data.inc b/code/source/petgraf_data.inc new file mode 100644 index 0000000..8d22768 --- /dev/null +++ b/code/source/petgraf_data.inc @@ -0,0 +1,14 @@ +C------ ENEA - INN-FIS ------------------------------------------------ +C +C INCLUDE FILE FOR PET GRAPHICS +C +C IT CONTAINS the DATA for PETGRAF library variables +C +C------- ferriani stefano ---------------- CR 'Clementel' 1/8/1994 ---------- +C + DATA ERR_FILE /6/ + DATA TL /200/ + DATA TR /300/ + DATA BL /400/ + DATA BR /500/ +C diff --git a/code/source/petgraf_higz.inc b/code/source/petgraf_higz.inc new file mode 100644 index 0000000..5b1039c --- /dev/null +++ b/code/source/petgraf_higz.inc @@ -0,0 +1,11 @@ +C------ ENEA - INN-FIS ------------------------------------------------ +C +C INCLUDE FILE FOR PET GRAPHICS +C +C IT CONTAINS the common for HIGZ package +C +C------- ferriani stefano ---------------- CR 'Clementel' 1/8/1994 ---------- +C + PARAMETER (NWPAW=20000) + COMMON/PAWC/RPAW(NWPAW) +C diff --git a/code/source/petsub.for b/code/source/petsub.for new file mode 100644 index 0000000..ac42289 --- /dev/null +++ b/code/source/petsub.for @@ -0,0 +1,541 @@ +*C------------------------------------------------------------------------ + subroutine pg_init2() ! same as pginit, but no paw initializ. +C and no higz initializ. +C pg_init2 ....... +C +C------------------------------------------------------------------------ +C + include 'petgracom.for' +C +C------- + +C call Iginit (0) + call Igzset ('G') +C + return + end +*C------------------------------------------------------------------------ + subroutine pg_init1() ! same as pginit, but no paw initializ. +C +C pg_init1 ....... +C +C------------------------------------------------------------------------ +C + include 'petgracom.for' +C +C------- + + call Iginit (0) + call Igzset ('G') +C + return + end +C +*C------------------------------------------------------------------------ + subroutine pg_init(chopt) +C +C pg_init ....... +C +C------------------------------------------------------------------------ +C + include 'petgracom.for' +C +C------- + character*2 chopt + + call mzpaw (nh,'M') + call Iginit (0) + call Igzset (chopt) +C + return + end +C +C------------------------------------------------------------------------ + subroutine pg_open() +C +C pg_open ....... +C +C------------------------------------------------------------------------ +C + include 'petgracom.for' +C------- +c + call iopks (err_file) + call iopwk (wkid,conid,wtype) + call iacwk (wkid) +C + !mettere qui le inizializzazioni ! + return + end +C +C------------------------------------------------------------------------ + subroutine pg_close() +C +C pg_close ....... +C +C------------------------------------------------------------------------ +C + include 'petgracom.for' +C------- +C + call idawk(wkid) + call iclwk(wkid) + call iclks + call Izend(-1) + call Iginit(-1) + return + end +C------------------------------------------------------------------------ + subroutine pg_fullscreen() +C +C pg_fullscreen ....... +C +C------------------------------------------------------------------------ +C + include 'petgracom.for' +C------- + real*4 display_x,display_y + real*4 max_coord +C + call gqdsp(wtype,idummy,idummy,display_x,display_y,idummy,idummy) + max_coord = amax1 (display_x,display_y) + if ((display_x/max_coord) .eq. 1) then + scale_x=1.0 + scale_y=display_y/max_coord + else + scale_x=display_x/max_coord + scale_y=1.0 + endif +c call gswkwn(wkid,0.0,scale_x,0.0,scale_y) + call iswkwn(wkid,0.0,scale_x,0.0,scale_y) + call iswkvp(wkid,0.0,display_x,0.0,display_y) + return + end +C------------------------------------------------------------------------ + subroutine pg_setscreen(x0,y0,fact) +C +C pg_setscreen ....... +C +C------------------------------------------------------------------------ +C + include 'petgracom.for' +C------- + real*4 display_x,display_y + real*4 max_coord + real*4 x0,y0 ! screen coordinates of the origin + real*4 x,y ! screen coordinates of the origin + real*4 fact ! reduction factor of the screen +C + if (fact.lt.0) return + call gqdsp(wtype,idummy,idummy,display_x,display_y,idummy,idummy) + max_coord = amax1 (display_x,display_y) + if ((display_x/max_coord) .eq. 1) then + scale_x=1.0 + scale_y=display_y/max_coord + else + scale_x=display_x/max_coord + scale_y=1.0 + endif + scale_x=scale_x*fact + scale_y=scale_y*fact + x=x0*display_x + y=y0*display_y + if (x+fact*display_x.gt.display_x) x=0 + if (y+fact*display_y.gt.display_y) y=0 +c call gswkwn(wkid,0.0,scale_x,0.0,scale_y) + call iswkwn(wkid,0.0,scale_x,0.0,scale_y) + call iswkvp(wkid,x,x+fact*display_x,y,y+fact*display_y) + return + end +C------------------------------------------------------------------------ + subroutine pg_frames() +C +C pg_frames ....... +C +C------------------------------------------------------------------------ +C + include 'petgracom.for' +C------- +c + + call iswn(tnfull,0.,1.,0.,1.) + call isvp(tnfull,0.,1.*scale_x,0.,1.*scale_y) + call iselnt(tnfull) + call Igbox (0.0,1.0,0.0,1.0) + call Igline(0.0,1.0,0.5,0.5) + call Igline(0.5,0.5,0.0,1.0) + call guwk (wkid,GSUPPD) + return + end +C------------------------------------------------------------------------ + subroutine pg_initframe1(tn,xmax,ymax,cent,iso) +C +C pg_initframe ....... +C +C------------------------------------------------------------------------ +C + include 'petgracom.for' +C +C------- + integer*4 tn,cent,iso + real*4 xmax,ymax +c + ratio_vp=scale_x/scale_y + ratio_ob=xmax/ymax + + + if (iso.eq.1) then + if (ratio_vp.ge.1) then + if ( ratio_ob.le.1) then + y=ymax + x=y*ratio_vp + else if (ratio_vp.gt.ratio_ob) then + y=ymax + x=y*ratio_vp + else + x=xmax + y=x/ratio_vp + endif + end if + + if (ratio_vp.lt.1) then + if ( ratio_ob.gt.1) then + x=xmax + y=x/ratio_vp + else if (ratio_vp.gt.ratio_ob) then + y=ymax + x=y*ratio_vp + else + x=xmax + y=x/ratio_vp + endif + end if + else + x=xmax + y=ymax + endif + + if (tn.eq.tnvptl) then + vpx1=scale_x*vptl(1) + vpx2=scale_x*vptl(2) + vpy1=scale_y*vptl(3) + vpy2=scale_y*vptl(4) + + else if (tn.eq.tnvptr) then + vpx1=scale_x*vptr(1) + vpx2=scale_x*vptr(2) + vpy1=scale_y*vptr(3) + vpy2=scale_y*vptr(4) + else if (tn.eq.tnvpbl) then + vpx1=scale_x*vpbl(1) + vpx2=scale_x*vpbl(2) + vpy1=scale_y*vpbl(3) + vpy2=scale_y*vpbl(4) + else + vpx1=scale_x*vpbr(1) + vpx2=scale_x*vpbr(2) + vpy1=scale_y*vpbr(3) + vpy2=scale_y*vpbr(4) + endif + + if (cent.eq.1) then + x=x/2. + y=y/2. + call gswn(tn,-x,x,-y,y) + call iswn(tn,-x,x,-y,y) + else + call gswn(tn,0.,x,0.,y) + call iswn(tn,0.,x,0.,y) + endif + + call gsvp(tn,vpx1,vpx2,vpy1,vpy2)! the window and viewport data + call isvp(tn,vpx1,vpx2,vpy1,vpy2)! and I won't be able to retrieve + return ! them by the GQNT + end +C------------------------------------------------------------------------ + subroutine pg_axis(tn) +C +C pg_axis ....... +C +C------------------------------------------------------------------------ +C + include 'petgracom.for' +C +C------- +C + integer*4 tn + integer*4 ierr + real*4 dummy(4) + real*4 frame(4) +C + call gqnt(tn,ierr,frame,dummy) + call iselnt(tn) + tmsi=(frame(2)-frame(1))/100. + call Igset('TMSI',tmsi) + call Igaxis (frame(1),frame(2),0.,0.,frame(1),frame(2),5,'S+-') + tmsi=(frame(4)-frame(3))/100. + call Igset('TMSI',tmsi) + call Igaxis (0.,0.,frame(3),frame(4),frame(3),frame(4),5,'S+-') + call guwk (wkid,GSUPPD) + return + end + +C------------------------------------------------------------------------ + subroutine pg_graph(tn,n,x,y) +C +C pg_graph ....... +C +C------------------------------------------------------------------------ +C + include 'petgracom.for' +C------- + integer*4 tn,n + real*4 x,y +C + call iselnt(tn) + call Igraph(n,x,y,'LA') + call guwk (wkid,GSUPPD) + return + end + +C------------------------------------------------------------------------ + subroutine pg_hist(tn,n,x,y) +C +C pg_hist ....... +C +C------------------------------------------------------------------------ +C + include 'petgracom.for' +C------- + integer*4 tn,n + real*4 x,y +C + call iselnt(tn) + call Ighist(n,x,y,'AB') + call guwk (wkid,GSUPPD) + return + end + +C------------------------------------------------------------------------ + subroutine pg_circle(tn,xc,yc,r) +C +C pg_circlex ..... +C +C------------------------------------------------------------------------ +C + include 'petgracom.for' +C------- + integer*4 tn + real*4 xc,yc,r +C + call iselnt(tn) + call Igarc(xc,yc,r,r,0,0) + call guwk (wkid,GSUPPD) + return + end +C------------------------------------------------------------------------ + subroutine pg_box(tn,x1,x2,y1,y2) +C +C pg_circlex ..... +C +C------------------------------------------------------------------------ +C + include 'petgracom.for' +C------- + integer*4 tn + real*4 x1,x2 + real*4 y1,y2 +C + call iselnt(tn) + call Igbox(x1,x2,y1,y2) + call guwk (wkid,GSUPPD) + return + end + +C------------------------------------------------------------------------ + subroutine pg_trackxyzr(p1,p2,party) +C +C pg_trackxyzr +C +C------------------------------------------------------------------------ +C + include 'petgracom.for' +C------- + integer*4 party + real*4 p1(3),p2(3),a(2),b(2) + real*4 r + integer*4 x,y,z + + data x/1/ + data y/2/ + data z/3/ +C + call isln(party) + call iselnt(tnvptl) + a(1)=p1(x) + a(2)=p2(x) + b(1)=p1(y) + b(2)=p2(y) + call ipl(party,a,b) + + call iselnt(tnvptr) + r1=sqrt( p1(x)*p1(x) + p1(y)*p1(y)) + r2=sqrt( p2(x)*p2(x) + p2(y)*p2(y)) + if (p1(x).gt.0) then + a(1) = r1 + else + a(1)=-r1 + endif + if (p2(x).gt.0) then + a(2) = r2 + else + a(2)=-r2 + endif + b(1)=p1(z) + b(2)=p2(z) + + call ipl(party,a,b) + call isln(1) + call guwk (wkid,GSUPPD) + return + end +C------------------------------------------------------------------------ + subroutine pg_crimage(name) +C +C pg_crimage +C +C------------------------------------------------------------------------ +C + + include 'petgracom.for' +C------- + character* (*) name + + call Izpict(name,'M') + return + end +C------------------------------------------------------------------------ + subroutine pg_rdimage(name) +C +C pg_rdimage +C +C------------------------------------------------------------------------ +C + + include 'petgracom.for' +C------- + character* (*) name + + call Izpict(name,'D') + return + end +C------------------------------------------------------------------------ + subroutine pg_deimage(name) +C +C pg_deimage +C +C------------------------------------------------------------------------ +C + + include 'petgracom.for' +C------- + character* (*) name + + call Izpict(name,'S') + return + end + +C------------------------------------------------------------------------ + subroutine pg_initframe(tn,xmini,xmaxi,ymini,ymaxi,iso,cent) +C +C pg_initframe ....... +C +C------------------------------------------------------------------------ +C + include 'petgracom.for' +C +C------- + integer*4 tn,cent,iso + real*4 xmax,ymax + real*4 xmi,ymi + real*4 xma,yma +c + xmax=xmaxi-xmini + ymax=ymaxi-ymini + if (xmax.eq.0) xmax=1 + if (ymax.eq.0) ymax=1 + ratio_vp=scale_x/scale_y + ratio_ob=xmax/ymax + + + if (iso.eq.1) then + if (ratio_vp.ge.1) then + if ( ratio_ob.le.1) then + y=ymax + x=y*ratio_vp + else if (ratio_vp.gt.ratio_ob) then + y=ymax + x=y*ratio_vp + else + x=xmax + y=x/ratio_vp + endif + end if + + if (ratio_vp.lt.1) then + if ( ratio_ob.gt.1) then + x=xmax + y=x/ratio_vp + else if (ratio_vp.gt.ratio_ob) then + y=ymax + x=y*ratio_vp + else + x=xmax + y=x/ratio_vp + endif + end if + else + x=xmax + y=ymax + endif + + if (tn.eq.tnvptl) then + vpx1=scale_x*vptl(1) + vpx2=scale_x*vptl(2) + vpy1=scale_y*vptl(3) + vpy2=scale_y*vptl(4) + + else if (tn.eq.tnvptr) then + vpx1=scale_x*vptr(1) + vpx2=scale_x*vptr(2) + vpy1=scale_y*vptr(3) + vpy2=scale_y*vptr(4) + else if (tn.eq.tnvpbl) then + vpx1=scale_x*vpbl(1) + vpx2=scale_x*vpbl(2) + vpy1=scale_y*vpbl(3) + vpy2=scale_y*vpbl(4) + else + vpx1=scale_x*vpbr(1) + vpx2=scale_x*vpbr(2) + vpy1=scale_y*vpbr(3) + vpy2=scale_y*vpbr(4) + endif + + + + if (cent.eq.1) then + x=x/2. + y=y/2. + call gswn(tn,-x,x,-y,y) + call iswn(tn,-x,x,-y,y) + else + x=x-abs(xmini) + y=y-abs(ymini) + call gswn(tn,xmini, x, ymini, y) + call iswn(tn,xmini, x, ymini, y) + endif + call gsvp(tn,vpx1,vpx2,vpy1,vpy2)! the window and viewport data + call isvp(tn,vpx1,vpx2,vpy1,vpy2)! and I won't be able to retrieve + return ! them by the GQNT + end diff --git a/code/source/pg_comm.for b/code/source/pg_comm.for new file mode 100644 index 0000000..6f0a598 --- /dev/null +++ b/code/source/pg_comm.for @@ -0,0 +1,71 @@ +C------ ENEA - INN-FIS ------------------------------------------------ +C petgradef.for modified to be included in egs4_cyl : +C /pawc/ not included here +C /petgra / with :tnvpbl,tnvptl,tnvpbr,tnvptr +C in array tnvp(4) +C INCLUDE DECLARATION AND DEFINITIONS FOR MODULES OF PETGRA +C THIS SECTION SOULD BE INCLUDED AT THE BEGIN OF THE MAIN PROGRAM +C +C CONTENT: +C +C PAW COMMON --> COMMON/PAWC/ +C GRAPHIC ROUTINES COMMON --> COMMON/PETGRA/ +C +C------------------------------------ CRE Clementel 1/12/1993---------- +C +C PARAMETER (NG=100000,NH=10000) +C COMMON/PAWC/H(NH) +C + INTEGER*4 WKID ! workstation identifier + INTEGER*4 WTYPE ! workstation type + INTEGER*4 CONID ! connection identifier + INTEGER*4 ERR_FILE! unit number of the error file + INTEGER*4 TNFULL ! transformation number full screen viewport + + integer*4 tnvp ! contains the four numbers below: +c INTEGER*4 TNVPTL ! transformation number Top Left viewport +c INTEGER*4 TNVPTR ! transformation number Top Right viewport +c INTEGER*4 TNVPBL ! transformation number Bottom Left viewport +c INTEGER*4 TNVPBR ! transformation number Bottom Right viewport + + REAL*4 SCALE_X ! x y factor for workstation window and + REAL*4 SCALE_Y ! viewport for using the whole display + REAL*4 VPTL(4) ! points for the Top Left viewport + REAL*4 VPTR(4) ! points for the Top Right viewport + REAL*4 VPBL(4) ! points for the Bottom Left viewport + REAL*4 VPBR(4) ! points for the Bottom Right viewport + +C INTEGER*4 GAM, ELE, POS +C +C COMMON/PETGRA/ WKID,WTYPE,CONID,ERR_FILE, +C * SCALE_X,SCALE_Y, +C * TNFULL,TNVPTL,TNVPTR,TNVPBL,TNVPBR, +C * VPTL,VPTR,VPBL,VPBR + COMMON/PETGRA/ WKID,WTYPE,CONID,ERR_FILE, + * SCALE_X,SCALE_Y, + * TNFULL,TNVP(4), + * VPTL,VPTR,VPBL,VPBR + +c DATA WKID /1/ ! initialization mouved to calling program +c DATA CONID /0/ +c DATA WTYPE /0/ +c DATA ERR_FILE /6/ +c DATA SCALE_X /1./ +c DATA SCALE_Y /1./ +c DATA TNFULL /1/ +C DATA TNVPTL /10/ +C DATA TNVPTR /20/ +C DATA TNVPBL /30/ +C DATA TNVPBR /40/ +c DATA TNVP(1) /10/ +c DATA TNVP(2) /20/ +c DATA TNVP(3) /30/ +c DATA TNVP(4) /40/ +c DATA VPTL /0.05,0.45,0.55,0.95/ +c DATA VPTR /0.55,0.95,0.55,0.95/ +c DATA VPBL /0.05,0.45,0.05,0.45/ +c DATA VPBR /0.55,0.95,0.05,0.45/ +c DATA GAM /15/ +c DATA ELE /13/ +c DATA POS /12/ +C diff --git a/code/source/source_cyl_2.for b/code/source/source_cyl_2.for new file mode 100644 index 0000000..f7868ab --- /dev/null +++ b/code/source/source_cyl_2.for @@ -0,0 +1,41 @@ +c file source_CYL_2.FOR DEL 27-JUL-1994 + SUBROUTINE SOURCE_CYL +C-----------------------------------------------------------C +C GENERATES POINT LIKE , MONOENERGETIC , ISOTROPIC E+ BEAM +C +C C + INCLUDE 'COMM_CYLTOT_2.FOR' +C + PARAMETER PI = 3.1415292654 + PARAMETER PI2 = 2.*PI +C-----------------------------------------------------------C +C POINT SOURCE +C + ZI= 0.0 + XI= 0.0 + YI= 0.0 +C +C +C-----------------------------------------------------------C +C UNIFORM DISTRIBUTION IN POLAR COS THETA +C ( from -1 to 1 : up and down) +C +C COS THETA + WI = 2.* RNDM(IXX) - 1. +C +C SIN THETA + STH = SQRT(1.-WI*WI) +C +C UNIFORM DISTRIBUTION IN AZHYMUT ( FROM 0 TO 2*PI) +C + PHI = PI2*RNDM(IXX) + UI = STH*COS(PHI) + VI = STH*SIN(PHI) +C-----------------------------------------------------------C + EVKIN = EKIN ! input initial kinetic energy + TOTKE = TOTKE + EVKIN + EI = EVKIN + RM ! in shower call kinetic+rest mass given + TOTEI = TOTEI + EI ! totei is kinetic+rest mass + RETURN +C-----------------------------------------------------------C + END diff --git a/code/source/statend_cyl_2.for b/code/source/statend_cyl_2.for new file mode 100644 index 0000000..732879f --- /dev/null +++ b/code/source/statend_cyl_2.for @@ -0,0 +1,161 @@ +C file statend_cyl_2.for del 8-sept-94 + SUBROUTINE STATEND_CYL +C---------------------------------------------------------------C +C Stampa delle statistiche finali del run +C---------------------------------------------------------------C + INCLUDE 'COMM_CYLtot_2.FOR' + include 'comm_yaps.for' +C---------------------------------------------------------------C +C +C CALCULATE AND PRINT OUT THE FRACTION OF ENERGY +C DEPOSITED IN EACH REGION +C + ETOT=0.D0 + DO 91 I=1,Nreg + 91 ETOT=ETOT+ESUM(I) +c + WRITE(LTYHIS,8000) TOTEI,totke,ETOT,ishower-istseed+1 + WRITE(LTYOUT,8000) TOTEI,totke,ETOT,ishower-istseed+1 + 8000 FORMAT(//, + * ' ENERGIA TOTALE POSITRONI :=',G16.7,'MEV',/, + * ' ENERGIA CINETICA TOTALE :=',G16.7,'MEV',/ + * ' ENERGIA TOTALE DEPOSITATA :=',G16.7,'MEV',/ + * ' NUMERO POSITRONI :=', I12) + WRITE(LTYOUT,6110) ETOT/TOTEI + WRITE(LTYHIS,6110) ETOT/TOTEI + 6110 FORMAT(' ENERGIA INIZIALE/DEPOSITATA :=',G16.7///) +C ................... + DO 92 I=1,NREG + WRITE(LTYOUT,6100)I,ESUM(I)/ETOT*100.,ESUM(I) + WRITE(LTYHIS,6100)I,ESUM(I)/ETOT*100.,ESUM(I) + 6100 FORMAT(' % FRACTION IN REGION ',I3,'=',G16.7,' MEV:',G16.7) + 92 CONTINUE +C +C-----------------------------------------------------C + WRITE(LTYHIS,6111) + WRITE(LTYOUT,6111) + 6111 FORMAT(//' FRACTION OF ENERGY DEPOSITED IN EACH REGION'/ + 1 ' region',5X,' total ',10X,'e+',10X,'e-',10X,'gamma') + DO 94 I=1,Nreg + WRITE(LTYHIS,6102) I,ESUM(I)/ETOT, + 1 ESUMPOS(I)/ETOT, + 2 ESUMELE(I)/ETOT, + 3 ESUMGAM(I)/ETOT + WRITE(LTYOUT,6102) I,ESUM(I)/ETOT, + 1 ESUMPOS(I)/ETOT, + 2 ESUMELE(I)/ETOT, + 3 ESUMGAM(I)/ETOT +C + 6102 FORMAT(2X,I3,2X,4(1X,E12.2)) + 94 CONTINUE +C +C numero di particelle assorbite + WRITE(LTYHIS,6116) + WRITE(LTYOUT,6116) + 6116 FORMAT(//' Particelle assorbite in ogni regione'/ + 1 ' region',7X,' total ',10X,'e+',11X,'e-',10X,'gamma') + DO 96 I=1,Nreg + WRITE(LTYHIS,6106) I,numerotot(i),numeropos(i), + 1 numeroele(i),numerogam(i) + WRITE(LTYOUT,6106) I,numerotot(i),numeropos(i), + 1 numeroele(i),numerogam(i) +C + 6106 FORMAT(2X,I3,2X,4(1X,I12)) + 96 CONTINUE +C +c +c posizioni medie assorbimenti + write(ltyout,3001) + write(ltyhis,3001) + 3001 format(//' Posizione media energia assorbita:'/ + 1 1x,' zona ',3x,' x medio',11x,'y medio',11x,'z medio') + 3000 format(2x,I3,2x,3(2x,G15.5)) +c + do 10 i=1,ncyl+1 +c + if(esum(i).ne.0.0) then + esdii=esum(i) + else + esdii=1. + endif +c + write(ltyout,3000)i,dexm(i)/esdii,deym(i)/esdii,dezm(i)/esdii + write(ltyhis,3000)i,dexm(i)/esdii,deym(i)/esdii,dezm(i)/esdii + 10 continue + i=ncyl+1+nyp+1 ! zona che rappresenta le fughe dal sistema + if(esum(i).ne.0.0) then + esdii=esum(i) + else + esdii=1. + endif + write(ltyout,3000)i,dexm(i)/esdii,deym(i)/esdii,dezm(i)/esdii + write(ltyhis,3000)i,dexm(i)/esdii,deym(i)/esdii,dezm(i)/esdii + i=ncyl+1+nyp+1+1 ! collimatori + if(esum(i).ne.0.0) then + esdii=esum(i) + else + esdii=1. + endif + write(ltyout,3000)i,dexm(i)/esdii,deym(i)/esdii,dezm(i)/esdii + write(ltyhis,3000)i,dexm(i)/esdii,deym(i)/esdii,dezm(i)/esdii +c + if(nyp.gt.0) then + write(ltyout,2001) + write(ltyHis,2001) + 2001 format(//' Posizione media assorbimenti nei rivelatori'/ + 1 ' zona riv.',2x,' x medio',8x,' +- ', + 2 7x,' y medio',8x,' +- ', + 3 7x,' z medio',8x,' +- ') + do 20 i=1,nyp + +c divido le somme per l'energia, per far le medie + if(esum(i+ncyl+1).ne.0.0) then + esumii=esum(i+ncyl+1) + else + esumii=1. + endif +c + dexmypi(i)=dexmypi(i)/esumii + deymypi(i)=deymypi(i)/esumii + dezmypi(i)=dezmypi(i)/esumii + desxmypi(i)=desxmypi(i)/esumii + desymypi(i)=desymypi(i)/esumii + deszmypi(i)=deszmypi(i)/esumii +c xsigma=sqrt(desxmypi(i)-dexmypi(i)**2) +c ysigma=sqrt(desymypi(i)-deymypi(i)**2) +c zsigma=sqrt(deszmypi(i)-dezmypi(i)**2) + xsigma=(desxmypi(i)-dexmypi(i)**2) ! ho valori negativi + if(xsigma.ge.0.0) then ! in caso di differenze fra + xsigma=sqrt(xsigma) ! valori piccoli + else + xsigma=-sqrt(-xsigma) + endif + ysigma=(desymypi(i)-deymypi(i)**2) + if(ysigma.ge.0.0) then + ysigma=sqrt(ysigma) + else + ysigma=-sqrt(-ysigma) + endif + zsigma=(deszmypi(i)-dezmypi(i)**2) + if(zsigma.ge.0.0) then + zsigma=sqrt(zsigma) + else + zsigma=-sqrt(-zsigma) + endif + write(ltyout,2000) i+ncyl+1,i,dexmypi(i),xsigma, + 1 deymypi(i),ysigma, + 1 dezmypi(i),zsigma + write(ltyhis,2000) i+ncyl+1,i,dexmypi(i),xsigma, + 1 deymypi(i),ysigma, + 1 dezmypi(i),zsigma + 2000 format(1x,2I4,6(1x,G15.5)) + 20 continue + endif +c +C----------------------------------------------------- + RETURN +C-----------------------------------------------------C + END + + + diff --git a/code/source/yaps.for b/code/source/yaps.for new file mode 100644 index 0000000..4eefdeb --- /dev/null +++ b/code/source/yaps.for @@ -0,0 +1,320 @@ +C file Yaps.for - Vers.: 12-sept-1994 + SUBROUTINE READ_YAPS +C -------------------------------------------------------------- +C legge dal file 13 : YAPS.DAT : la geometria dei rivelatori +C -------------------------------------------------------------- +C + include 'comm_yaps.for' +c + INCLUDE 'COMM_CYLtot_2.FOR' + +C --------------------------------------- +C +c n13=13 ! file da cui si legge la geometria del rivelatore +c character*20 infile /'YAPS.DAT'/ ! nome del file +c + parameter pi=3.14159265358979 + rad(a)=a*0.0174532925 + grad(a)=a* 57.29578 +c +C +C ....................... lettura input della geometria dei rivelatori +C non viene testato che i rivelatori non si +C intersechino. +c open(unit=n13,file=infile,form='formatted',status='old',err=501) +c il nome del file si fissa con assign/user nella procedura che fa il run + open(unit=n13,form='formatted',status='old',err=501) +C NOTA: in input le dimensioni, entro il programma dimensioni/2 + read(n13,*,err=502) nyp,ryp,zyp,dxyp,dyyp,dzyp,mezzo,mezzoyap + read(n13,*,err=502) angcol,escono +c + angcolrad=rad(angcol) ! angolo limite per il coll. in radianti +c + if(nyp.eq.0) then ! niente rivelatori + med(ncyl+1)=0 ! vuoto zona fuori cilindri + nreg=ncyl+1 + return + else + med(ncyl+1)=mezzo ! mezzo zona fuori cilindri + endif +c + dxyp=dxyp*0.5 + dyyp=dyyp*0.5 + dzyp=dzyp*0.5 +c + if(nyp.gt.0) then ! il programma si arrangia a sistemarli +C i rivelatori sono tutti eguali +C + if(ryp.le.0.) then ! cerca di calcolarselo + ryp=dyyp/tan(pi/real(nyp)) + write(ltyout,*)' raggio anello dei rivelatori',ryp + endif + if(abs(zyp).gt.zmax) then + write(ltyout,*) ' WARNING: zyp > zmax:',zmax +c zyp=0.0 + endif + if(dxyp.le.0.0) then + write(ltyout,*)' WARNING: wrong yap x half-dim.:',dxyp + dxyp=0.1 + endif + if(dyyp.le.0.0) then + write(ltyout,*)' WARNING: wrong yap y half-dim.:',dyyp + dyyp=0.1 + endif + if(dzyp.le.0.0) then + write(ltyout,*)' WARNING: wrong yap z half-dim.:',dzyp + dzyp=0.1 + endif +c dispone i rivelatori attorno al cilindro, ad angoli eguali + deltaang=2*pi/nyp +C ................ definisce le posizioni dei singoli rivelatori + do 20 i=1,nyp + rypi(i)=ryp + zypi(i)=zyp + angypi(i)=(i-1)*deltaang + dxypi(i)=dxyp + dyypi(i)=dyyp + dzypi(i)=dzyp + med(i+ncyl+1)=mezzoyap + 20 continue +C + else ! ( NYP <0 ) in questo caso si danno i rivelatori uno per uno +C + do 10 i=1,nypmax + read(n13,*,err=502,end=100)a1,a2,a3,a4,a5,a6,mezzoypi + rypi(i)=a1 + zypi(i)=a2 + angypi(i)=rad(a3) ! l'angolo e' dato in input in gradi + dxypi(i)=a4 *0.5 + dyypi(i)=a5 *0.5 + dzypi(i)=a6 *0.5 + nyp=i ! QUI CALCOLA IL NUMERO DI YAPS +C ! NYP DIVENTA POSITIVO + if(mezzoypi.gt.0.and.mezzoypi.le.nmed) then ! se lo dice qui lo + med(i+ncyl+1)=mezzoypi ! prende per buono + else ! altrimenti usa quello + med(i+ncyl+1)=mezzoyap ! sopra per i yaps + endif +c + 10 continue +c + write(ltyout,*) ' WARNING: max number of yaps reached:',nypmax + + 100 continue +c + close(unit=n13) +C + endif ! ( su nyp < > 0 ( quando e' qui e' sempre >0 ) +C ................ Calcolo del centro dei singoli rivelatori +C e' r+dx/2 , cerco il centro, non il centro della +C faccia interna. + do 30 i=1,nyp + x0ypi(i)=(dxypi(i)+rypi(i))*cos(angypi(i)) + y0ypi(i)=(dxypi(i)+rypi(i))*sin(angypi(i)) + z0ypi(i)=zypi(i) +C ........ trasformazione: +c coordinate sistema yap=trasf * (coord sistema cilindro-(x0,y0,z0ypi)) +C + trasf(1,1,i)=cos(angypi(i)) ! versore xyap * versore x + trasf(1,2,i)=sin(angypi(i)) ! xyap * y + trasf(1,3,i)=0.0 ! xyap * z (no tilt assumed) + trasf(2,1,i)=-sin(angypi(i)) ! versore yyap * versore x + trasf(2,2,i)=cos(angypi(i)) ! yyap * y + trasf(2,3,i)=0.0 ! yyap * z + trasf(3,1,i)=0.0 ! versore zyap * versore x + trasf(3,2,i)=0.0 ! zyap * y + trasf(3,3,i)=1.0 ! zyap * z +c +c posizione del centro del cilindro: (0,0,0) nel sistema dei rivelatori: +c call inyapsys(i,0.0,0.0,0.0,xorigypi(i),yorigypi(i),zorigypi(i)) +C in realta' e' tutto piu' semplice, la geometria e' semplificata: + xorigypi(i)=-(rypi(i)+dxypi(i)) + yorigypi(i)=0. + zorigypi(i)=z0ypi(i) +C + 30 continue +c +C ....................... cerca il valore massimo di R entro cui +C sono inclusi tutti i rivelatori +c ed il valor massimo di z +c anche qui si sfrutta il fatto che la geometria e' semplificata + zultimo=zypi(1)+dzypi(1) + rultimo=(rypi(1)+2.*dxypi(1))**2 + + 1 dyypi(1)**2 ! e' lo spigolo estremo del yap nel piano x-y +c rultimo=(rypi(1)+2.*dxypi(1))**2 + dyypi(1)**2 + do 40 i=2,nyp + rcorrente=(rypi(i)+2.*dxypi(i))**2 +c rcorrente=(rypi(i)+2.*dxypi(i))**2 + dyypi(i)**2 + zcorrente=zypi(i)+dzypi(i) + if(zcorrente.gt.zultimo) zultimo=zcorrente + if(rcorrente.gt.rultimo) rultimo=rcorrente + 40 continue + zultimo=max(zmax,zultimo) ! e' il limite max di tutto il sistema + rultimosq=rultimo + rultimo=sqrt(rultimo) + upperlimite=rultimosq+zultimo**2 ! un limite upper per il sistema +C ................................ + 500 continue +c + med(ncyl+2+nyp)=0 ! vuoto fuori di tutto + nreg=ncyl+3+nyp ! collimatore= pseudo-zona vuota + med(nreg)=0 ! + nreg=ncyl+4+nyp ! numero regioni totali + med(nreg)=0 ! uscite dai rivelatori : pseudo-zona vuota. +c la regione nreg=ncyl+2+ nyp sono le fughe +c la regione nreg=ncyl+3+nyp e' il collimatore +c la regione nreg=ncyl+4+nyp sono le part. che +c escono dai rivelatori +c + WRITE(LTYHIS,4998) ncyl+1,mezzo,(media(j,mezzo),j=1,24) + WRITE(LTYOUT,4998) ncyl+1,mezzo,(media(j,mezzo),j=1,24) + 4998 format(/' Zona numero:',I3,' coi rivelatori: mezzo:',I3,1x,24A1) + WRITE(LTYHIS,5000) + WRITE(LTYOUT,5000) + 5000 FORMAT(/' RIVELATORI :'/ + 1 1x,' N.,zona, r , z , ang ,', + 2 ' dx , dy , dz , materiale ') + 5002 format(1x,I2,1x,I3,1x,6(1x,F7.3),I3,1x,24a1) + DO 50 I=1,NYP + WRITE(LTYHIS,5002) I,NCYL+1+I,rypi(i),zypi(i), + 1 grad(angypi(i)),2.*dxypi(i),2.*dyypi(i),2.*dzypi(i), + 2 med(i+1+ncyl),(media(j,med(i+1+ncyl)),j=1,24) + WRITE(LTYOUT,5002) I,NCYL+1+I,rypi(i),zypi(i), + 1 grad(angypi(i)),2.*dxypi(i),2.*dyypi(i),2.*dzypi(i), + 2 med(i+1+ncyl),(media(j,med(i+1+ncyl)),j=1,24) + 50 continue + WRITE(LTYHIS,5004) ncyl+nyp+2,zultimo,rultimo + WRITE(LTYOUT,5004) ncyl+nyp+2,zultimo,rultimo + 5004 format(/' Zona numero:',I3,' rappresenta le fughe: vuota'/ + 1 ' limite z del sistema:',F7.3/ + 1 ' limite r del sistema:',F7.3) +c + if(angcol.gt.0.0) then + WRITE(LTYOUT,5006) ncyl+nyp+3, angcol,angcolrad + WRITE(LTYHIS,5006) ncyl+nyp+3, angcol,angcolrad + 5006 format(/' Zona numero:',I3,' rappresenta assorbimenti del' + 1 ' collimatore : vuota'/ + 2 ' angolo limite del collimatore:',F7.3,' in rad.:',F7.3) + else + WRITE(LTYHIS,5008) angcol,nreg + WRITE(LTYOUT,5008) angcol,nreg + 5008 format(/' Non c''e'' collimatore: angolo=',F7.3, + 1 ' regioni:',i3) + endif +c + WRITE(LTYOUT,5009) ncyl+nyp+4, escono + WRITE(LTYHIS,5009) ncyl+nyp+4, escono + 5009 FORMAT(/' La zona:',I5,' rappresenta le uscite', + 1 ' dai rivelatori. Flag uscite:',F7.3) + WRITE(LTYOUT,5010) nreg + WRITE(LTYHIS,5010) nreg + 5010 format(/' Numero totale di regioni :',I5) +c ---------------------------------------------- +c + return +c + 501 continue + write(ltyhis,*) ' OPEN ERROR! rivelatore ignorato, file:',infile + write(ltyout,*) ' OPEN ERROR! rivelatore ignorato, file:',infile + nyp=0 + med(ncyl+1)=0 ! vuoto zona fuori cilindri + nreg=ncyl+1 ! numero regioni + return + 502 continue + write(ltyout,*) ' READ ERROR! rivelatore ignorato, file:',infile + write(ltyhis,*) ' READ ERROR! rivelatore ignorato, file:',infile + nyp=0 + med(ncyl+1)=0 ! vuoto zona fuori cilindri + nreg=ncyl+1 ! numero regioni + return + end +c + subroutine inyapsys(k,xin,yin,zin,xout,yout,zout) +C ---------------------------------------------------------------- +C trasforma un vettore xin,yin,zin del sistema di riferimento del +C cilindro in un vettore xout,yout,zout nel sistema di riferimento +C del rivelatore kesimo +C Anche qui si sfrutta il fatto che la geometria e' semplice.... +C ----------------------------------------------------------------- + include 'COMM_YAPS.FOR' +C + xout= trasf(1,1,k) * xin + + 1 trasf(1,2,k) * yin + + 2 trasf(1,3,k) * zin + yout= trasf(2,1,k) * xin + + 1 trasf(2,2,k) * yin + + 2 trasf(2,3,k) * zin +c zout= trasf(3,1,k) * xin + +c 1 trasf(3,2,k) * yin + +c 2 trasf(3,3,k) * zin + zout=zin ! visto come e' messo il yap ..... +c +c sicome lo yap e' tangente il cilindro lo shift e' +c facile da calcolare, basta togliere ad x rypi+dxypi, +c cioe' aggiungere xorigypi(k); +c qui sono appena piu' generale .... + xout=xout+xorigypi(k) +c yout=yout+yorigypi(k) +c zout=zout+zorigypi(k) +c + return + end + subroutine incylsys(k,xin,yin,zin,xout,yout,zout) +C ---------------------------------------------------------------- +C trasforma un vettore xin,yin,zin del sistema di riferimento del +C YAP K esimo in un vettore xout,yout,zout nel sistema di riferimento +C del cilindro ( usa la trasposta della trasformazione inyapsys ) +C ----------------------------------------------------------------- + include 'COMM_YAPS.FOR' +C + xout= trasf(1,1,k) * xin + + 1 trasf(2,1,k) * yin + + 2 trasf(3,1,k) * zin + yout= trasf(1,2,k) * xin + + 1 trasf(2,2,k) * yin + + 2 trasf(3,2,k) * zin +c zout= trasf(1,3,k) * xin + +c 1 trasf(2,3,k) * yin + +c 2 trasf(3,3,k) * zin + zout=zin ! visto come e' messo il yap ..... +c +c sicome lo yap e' tangente il cilindro lo shift e' +c facile da calcolare, basta aggiungere ad x rypi+dxypi, +c cioe' togliere xorigypi; +c qui sono appena piu' generale .... + xout=xout-xorigypi(k) +c yout=yout-yorigypi(k) +c zout=zout-zorigypi(k) +c + return + end + subroutine incylsys0(k,xin,yin,zin,xout,yout,zout) +C ---------------------------------------------------------------- +C Come incylsys, ma ruota solo, non trasla. +c Ruota un vettore xin,yin,zin, del sistema del +C il YAP K esimo ruota nel vettore xout,yout,zout nel sistema +c di riferimento del cilindro +C ----------------------------------------------------------------- + include 'COMM_YAPS.FOR' +C + xout= trasf(1,1,k) * xin + + 1 trasf(2,1,k) * yin + + 2 trasf(3,1,k) * zin + yout= trasf(1,2,k) * xin + + 1 trasf(2,2,k) * yin + + 2 trasf(3,2,k) * zin +c zout= trasf(1,3,k) * xin + +c 1 trasf(2,3,k) * yin + +c 2 trasf(3,3,k) * zin + zout=zin ! visto come e' messo il yap ..... +c +c sicome lo yap e' tangente il cilindro lo shift e' +c facile da calcolare, basta aggiungere ad x rypi+dxypi, +c cioe' togliere xorigypi; +c qui sono appena piu' generale .... +c xout=xout-xorigypi(k) +c yout=yout-yorigypi(k) +c zout=zout-zorigypi(k) +c + return + end diff --git a/codemeta.json b/codemeta.json new file mode 100644 index 0000000..d65f066 --- /dev/null +++ b/codemeta.json @@ -0,0 +1,43 @@ +{ + "@context": "https://doi.org/10.5063/schema/codemeta-2.0", + "@type": "SoftwareSourceCode", + "name": "EGS4CYL", + "description": "A Montecarlo software for PET equipment simulation, based on EGS4." + "codeRepository": "http://legacy.helldragon.eu/gitweb/egs4cyl.git", + "downloadUrl": "git://legacy.helldragon.eu/egs4cyl.git", + "programmingLanguage": "FORTRAN 77", + "operatingSystem": "VAX-VMS" + "license": "Public domain", + "dateCreated":"1994-12-01", + "datePublished":"1995-11-01", + "developmentStatus": "Unsupported", + "creativeWorkStatus": "Legacy software" + "keywords": [ + "egs4", + "software", + "montecarlo", + "PET" + ], + "author": [ + { + "@type": "Person", + "givenName": "Stefano", + "familyName": "Ferriani", + "email": "stefano.ferriani@enea.it", + }, + { + "@type": "Person", + "givenName": "Marcello", + "familyName": "Galli", + "email": "marcello.galli@enea.it", + "@id": "https://orcid.org/0000-0002-9135-3228" + }, + { + "@type": "Person", + "givenName": "Dante", + "familyName": "Bollini", + "email": "marcello.galli@enea.it", + "@id": "https://orcid.org/0000-0002-9135-3228" + } + ] +} -- 2.39.2

Fb+P5tkthpb^ zdn~Dm6W^Cxn9`(~$3t79UyVJ)9C3NI||)c@Rz%wB#qmbrf)AErX14$n~dDac`O z;sShE8x?`8Af29A-zKvth+rm&{#(pVtv}=Ur5)&LKmQq<(jH`Cf!#r*NhOh;h%MPj z@&`B2nw0q6h_IQNiGAv0!(R&LAWV{7T7W^~cG%|BGI==h`JIPo+YT#Epqd&D?qi8b z0oPtp^;t0%%(gZRPa6%Us6xm(qNn?W<~-8ri3yaWi(H&G!}DXAw5J1~S4@3Stn3P- z4AGrz9wQF4+kOL8N%#v)W(17^4Tewz**{Q5E2$U8m&FXEH5HO9_;lm#la^Q-l0~C3 z78hsuNY+vSr;VgCq5dF5gGgNqg0zUmG%aKXV{FO7`vxogK+hVDW!T-eHz{j-I8M~W zS>h}azZ3ygFU2vIZ5pXd7QV29LU=s+BABLleoGeEvRP<$^(uw`b3GGHeZST-eS{x7cQ;41&7 z@&9DfNvydnp>k1r)y4nw?*HAO`^VA$pWAc0cmLG`pilqa1;+o)0U)~0zv+a3vgtPG zTX|0~X9P_kFy13$lWbpZtjZUHsU2*>Lq^@Rhl?N@4I!x3V!yA(tS#qo|K*tZyA^2k z4`!hLe{Kfq8~CI3P6P!+2cmbI7=fPppK-HWe>d=XtRq4r&K-}$ojQ%yvpF3bbn5gm z+x?)yLDA=(PoJ}n2#bseKOG*8Hbv_l3<`}teGcuZcQ6zeecI;qsfYm4LDnvR`_)@? z0?}vAxN~9W(VpQkp`mEei?^)ak<;O4Mc2pZ|C0$Ae|Kh|ocoa}KJ&&6uY*Ub%4LSP z1=XYq9R^Z*G|OYa3EX>2;%fTsd5MYs-G=LibJ0*W6CtEKcm{ zxcd{L8sxk?VldJl@fKRL=84L`CiY4-MQmbXJ}$z5O*IF)az1xVf%^rcb-oIW5!rreL{Y}p2riNsIS!V z-Dlt3pZl_r*`kq?vebLqy;r*PqN54tw6Lh?&zzc&oQf-9T&=6Jzr*(yMZfz{gjl`z zprN-8Drzleb^g?cc1tu=I5ipD&8~>(dPUj3gFLu)vMV|!W-q8a7V}NwL3rwWtgcRr z57E|X>eKLr$3g}C>$3%xD*>43Z_9w}d7GYHnUnmq?lSHHRlq}H_yM`kUPb2ZKGl|N zkwUN48|;}`f2Je)o>>HSn2`Sc?THGnKWE0969l9Pabp-PY^XlD?H$FN8MO$hri_gh zWHM|Yp7M8kdCxqC_f<}1?HYW`jrrj})q_kTMjUD97k&E2b!nEJ?=IZRgUZIL2htBj z2vaXDyn>U@W-ujMr zSdfTZ-qCw;H#g(KJ711|@6dt3=hJyd7#3Q?6L~iaA73>H`S`NFKIqIJzZrFGSBdT^ z!cI(~Rx6i2cxRN|{XxFQ(3qiQbG{RjTCcg|qCsBj#Z#J{Tz}K24v5aeJ?ApJPMHn| zT|DW4hVZk({H^mgzdp(YEi~ zJNNcT{Dm>+ne*& zTlKM~|KyjI-Pd(DyR0wsQ%;i6U0R6hziT!)dh|Lea{EAE@`c!Iw>MVEJD&F6&wEe` z&pRIT@dx$d*&@9Tg%598`37H}Tc0?(5cN)zs&KyAslO^M#?K@#x#&HmwXsu-S1~yO zf4=y04@U#{X7J7RHMs+}!dFe}6IN8sGIiA!LMF6I!xI0zc~2%uVYAwT%ffkBs9VCZ zV;62D&95~JEDUgWP^HeMhD!K59sOWBsByMCI{ekR*efTWk-Nt#CM_@Ur7>u$#W!22 zeF1J8KAmG*7u%z+wR~djsXDcLBL8o^j8P^{krmF!D+8SBJA#e4lPHF+OxK z+FLi_%4W)NenRn|EjN;?zvjLW%R8=l_~QCu>V}ImGFy}E68SH8nx7jOeEvt`{p?ND z^Wm}Hc~kD$Gc$$!W+Yy9Ta&!9x6I}6?VJ^JmVx<`i!JASc8=2=srrsrZ)lYf?zKi) zWE6gTk3tN55LP^u^156_iay#(+222NYPRX=uGI%C$e&P2D;vx*x2oaZZ5vvv7A02a zm?Mp^2>A4AzGTjKp<1=#5B2lkoBd3}(^#n$`+uI_eBvWE+FfWSX4={*l)jQ|>-(F+ zT3XbTcPR|5-|vtGu|-Tn?&yy3g zdp}9b|9Pr)ZHYopP^3S_>Vfdp`H>X#iz~e&@ndjBm3Ln*%e?1YYRu=||8sp~c!M>lvKW9f{rmk(L^ximk zPdhb-!hh#;U93^5qe-$*=YTrP&U-_g+Fbkgl7f#GNyiN{QeK59{TzOMBlBeUw(}zz z=czJJbpy6s9VI)>sBTqjy*N-l#(gZ@1UJzGh2UProQcoIX~8|g_7I8HeYDpaEEnks$#{SZF7^YaM?`mF%G%F zTmA9cyGNX7f2zpI-d0Li<%M~khzTCk+vC1%S{ax2|JZxWxTxB8Z&LqR%*mR1lDP(Zpnq=p75ks2BaX{1A9*1OQ_^1hyX@BRFq{b9fF`{CgOzje;6 zIo5F==Mm?cS^wi7KCkG`+Lr$es{*Z9D&~82qP*n`J6!IuT`#zq$$X-&Wmz9wltX2Qz41%~VSDh67(M$%_wTO0aL2WP9$vFvR`zYWq{S5DC{A zw!Mcc>}7j|*9Pt0MCXYzKJG%+^v>qSx6H>22ff%Pv_|#*L&0$hCRj6LR=J1?)oNIe z&zW-9*5aCEG-b-0BYR6Lb|VhKUT0Z+lFi_^p*%C+#=W$y3tLvPb3#o!#qRijVD78l ziYA$E%+?x~A5I(~g}E`c9U3YwVwKE{R~zC4-y^Zk2v=rE(Igj_zd%{NoiI=-@!n)8 zyYWCNwv1%rN6$9p6n3BE8%eWJRq`xp#Q^O@%_PWLbvrh4iQ#Qdau&quPtQv3qKX;j zcmEOtG(BzPu9p?M~nfn0eXEQR#UX3pnO!?*=FRh>N zHuLSVA8PKr7HS{tpXE9*Ir4yLqBWS_OZrL>xy`Wif)Oqzm3qrdp7>ijmWxwrmC~^0 zIp%YisS`Gh=&Pnmj=idWf0vTYaI)Rr!?6ALbhz)7JNGlqMzN!st(rbZE#*d!lL%$x zlhQ%&;J-b$K&Ba=T(%kB=e~UUv7>M1UgdI}Bqu{#(%fJ+E{op&J|n`uHx&)_u6WQF zgCVqMcA0bPN@9@1r??30jC!RpI{zVbPa!>*c7OGF-twfDyQvnHmq+rIQYFdqbzU?l z#ep5O=QKPKMkFn#66s9g+g=FkxNoRXQSk+@q@~@tO6N~0OUGR;$<7(1AKq=}h#X4L z4Ab=6KkR=lnvmeaT23WcPuw7aYH>TC?HT0G+Ye{M*5Z_TC5drQg!4kb(hhLx!0%9cgD(;J6P9I51HK>L)A-$t0ar@ zpSMU=?kBVVXtVmH8kFF4%QwLEvXG!uRCUi3ky$|p3$|Gm(kYY zjPh%%V>#F}@^#g$tP$0r!|l7K@Q}BK5L3fdC)DS}@1vd3hPi!p@yW#0AJ;9K@6p{W zl{gq+fBP6@v%`3&8O_X*BRrPeakiS7*Vp@*${-x+x&_|Qyrc+ktTAnGNlM$-q z1+m_J&3}1M5SmM88c*GZX48&4A!5en!5aEzc?9reK;ECjB>a+0Y7))h#ZszHp0pe0 z+(1RRV7hhs)tbZ}WlMKsM3{g6z710;4+%v?>^SaS-mya3;4%^s4~9!VT7Lx{D%#Xc zY6|!8aWzpQx=uY}PUMvMhHGJXX@Hcj-X#n3rl_BDZH)ZM3mca2nEi}~1!;0i*LK6; z!>sc0{&!EuQJQ+h2hUasNU2xHFIpZIe`)>J=zyIr2h)uVioF$?yO7_8v8kSGTuML%fnu$^1r+{y((TYC>=dydWzQ)jKyh-gb z$lolh%=;2?KjL&@+H3#uRllV}N6jmeKD$cSU_Z;Fm?>;{@fa2v(>;qMV=hAw*FeAj zI@_JK@hkIn@z|>=5=V_p>qeN#j-WcrTPXTHJTw<4>))IU(1b*Gfj z!WyOviJh~OdWV}RpWe9Fzx@a)tw@AFK>0IEy* zw6@wU4dWw{4=7Y(EAPb8F`6C;^s3Cu3v^bt?DB*X=S5JkAPdn)Y6zi4T3>rukHnHI zM)JyBShu{r_RRKy8b)7ZOc*g}qYUfxlsp=40lrChDLW(@lZgIwhB|py89VyYNj=$0 zUh(MFO}@n;_?^2oGK^XtG%-!w6-ou9H=gI^>d#y#e1}xK%I2~E` zQcN9+k~G;~SjwU!uG2oc;{a_W8J|wR#4)4_+9*)(u`87MCya{f;^bqhhuZMvKRwq! zj|W!61V2ZRF;T=^e9JWkS*d*PKzVP*k~G& zBKG+RmzJ;?K0Yn&s<-3F1r89XE;J^7DjLnJDZl}e_do*rPxTzeW_DqoXWwU@a2j4F z9a$=lZoQn94o+&2A1WCvkufD=+mQ_fjJjY^&dTDYBAk)(b;%OxXua~41hW}W>l3q3 zu(guKB;WLV`_ANJ!g?&}a426iIc58AQlv1(+!B!e%%1ZioJ}wnqk+TEd}y;NHPCt~ zy9ASndyyK?Aqcz5-;!>-!BSJB$My5`(a#RAH%9PTEsXV}qRLm|_I30KSj*Mt!!PGHH-b8?`Q^CE zj`o48A8#xK=S5c^_i^CFl!d@fqurDyW;H*3h+9x8M(r+o_nK{WUY*#9cx_y&ZV756P9SepVQ_2AqA$Q z3@Pb{%#oHyFKJ{av_)?(bNMd28CDt6f2IzY^m zf}ZtyT9#ADG9~kdO-p!}pB_9;oJd?P9qJ<+atP!nn4hhCk1K$@S)6iK&1<~}wz{ZY z7|qDMEA7{g^|Fo5wBD`wBzc$jg8^rMp}C>0A-7R8j|!~(nL8R%RXmy$&j~-$dHBbj z7AqSmQw4sHT>e29O^+PrwA`4NN}kA14@h35?m4r4x47tn=i5H5%_x3!pg=A=i^kXj zi~oR8IwmvNV(spAJClKzg>F5chSPa>?cf??O1F7&6`Rq>f~=OGSv{KJ-OF<%_JM3~ zOWTnl_jJ1HY;AUlMs5Uwm>#3wpa3o1YTgTn8e*#)If69iQ){U zZK!=Oz7Q)H;jnHKnm#7{x$-JK-y@JVN;k03Y^9=ZwB_{Uy)H;G2g3MGW_ZmL4U_sG z&P}qteH}byMQXSlj!k#rxOM_Y+UdO92O53sG|`hO{k~84qJyX7=LHAC~SY_WllODmO6{ui=UP+ zQxxj;H2oEdcIkctI+a@4li-hP;^KtW$+inchML9mMO*Zh6}n^Nj;9h*6E&L)B{Axu ze%rMmqYv#8kC0hQ`i`+&pvlu`BHL)2FFaQuxkq!|xh6pmIWFoYKXap7aSf``&Fufw_Taqo!) zXsWT1o4OeIXYIn?IhbwnY-bT9K)~Cv2YgYO|SVi^xcmnTX%Aj{1 z{~lE=9yL9GM`BOeTtg@hduNR`L*&E2uCc=ofK>aGX)gW3Cy1@GznHb`gzJ>hGnzco zH7=22!}}Cb>he*IE>L4uXtnOL?!~;#sIA_9{jFAubIPX%U z@t?};aw`-lXPZ*7@89)poFKY=f(`JbM3YV~)5C`qub*=}uc#nIFHKCUVjYFG9(K*p zc>sxCEF(g`cis^$pAq`J&v?%KO-4WSY?|42pYr`Hg@R8V@p)QVGWO|enA2&THXQjX zJ~FZ`#6krp>TMkz_3lI?hkX?v)gvEeU#NKVD{d*T3FOFnhsoQCd*rS_8@Ch3yFS}| zFxb12zF^H)zHNc*52M=1-%m7&T;o`os~+cQ5>DajtEk+c&^mfiBuZJRHiEz5HNHNv zslaLuk6*+4esZ$=h(AB_k{YAIimUNc{luC*gT@!}`wi4mbUdwNlehf|)`*x+MTdr* zEB&S{ZHc5kZarIOeJZJXu)DAA5n31=Kd&`B+9?|pW&Ons=az1gGb)`UVz(#r$iL47 z{89Ah*Xbq(clF{gQW-4@<^xs45lbiC1V`M2v8j712jmg<>WX7q;taJ=qO@UX}A7Z&8+?N*S20vZ_jI?Cr+(V zlAUFbtj?2s6!R+;PGrdoc+&-X-#MaDj<4is;Er~GHXQ1;;`0{YB*s#Ja^W2>WL_hp zIO@qY4vGAXAyKw3lW*CNTq2RF?BmIKoERkP`Hq|YEId7XUO&N7His;-`pMSzK@NH> zgJ;sb2oQ?(%7@L*M9l7?tfsbChPrLYV>B0eN}~DOF>Gl16U^`NMl3P4?+MzKIyOD* z9eMvzOb*rJS!Mc zJN`Z)sF@f6l?kO}kOQmJty%n}GU1uVg`D&~&T*GtX zrt|`u_Ohzk(qS5?^5-Ss@@cVDXltHze$u`Dq#L%RB}NjS9s0eIAYABd52d`}M;`V@u(7`e*n;*UPsN}HEB4!735g~2XxYU63P>dOSt-d8cjR&7uvFB2knWuI*|mDi8Ik^=uBvVMOHJwL}` zkadc$S(oag6jFe z_K~KA2#%29RLlyWzxEzLu0QH)WerS|Hts#UV0>M|oTn3ClF*fJ0b|tXF?v1;M6?g> zU?S71Q&0uH5y2~IQ&5=L&U4VJ!yuK7J9{*;hlXDNtqWt;v5?_iZj^};-lH(Rc#BTX z0*?W%(Npr;QY1U_$T{vJ&y?dp@_44Pmgo$tv*9n#J({6pMy{hkB)5+<&9r{pc#`_N z@{w0awGb4t#gxIziRQ|2KE}yOLhX^xQ3U{MR6SVP977LWqXY(%eFtr^+Xci)7@wy*DvojlvcN7}!%*kMz*(2T_07t%X_sNKUc zES*64Mdk7f?)`;NS;0<1<7q|TMv5X>7uT~1j{-Wg4opIy%$9JGkRDKyW~?|?VJyGY zFg2d(jz~bobZh$7$c(L)_H`jihO=Eeri9GPOrWLYN>$~4aT$LxLR3?~`SP*GsE+Q$ zi%muc~9W^DvmNhd++^-A^oG{dP&is(5KApa8 zxiy01>1zK|m|2Yi?FY5z8Bf+mdnvzdTgv+M!=K;H4=j)e7jTe^sMDEq6wwh5bh*S7 zOz;<}(o|V!yoN$fs|+o<6Z#cK$tKzXcSr-eOxl40C#^Rt!dFBeo>XPw*?w~*SZ-C< zpsmh4EKMDuL-fA8PJB5*#K`?1_DxAx{fqB*X?ZB*q-?f*l@`N_Hsj6$mCxQ;dPZE_j{JS3jy)S`mzJ#dqPiK<}TLt=;_7S8;D!lg#=@6 zbz40-_*p>DORaq>8Y->gdj1x9eMyF@{dtyHQ8y%UB#FWg(G6!(&BH9lz9eCc^CF zJ0nP<7&gFDz{cyf@|<5s+cDWn3)2m zF*c7TDW@WgB9UL?9jl;MoJw?5NmlH9e?up(h~ca<`&>ix{t9UspB6vW=k7aNou2?} zyU#X7`u8vWDchLhUlYDWMor4ieUP3m=w<8Os?gZ$X;yiRgN5WRhwL2nc>-Zt#M(}? zP^xA2?as4P4kCQyHDN~Eq6_?w4;wUv?kf~hI(e>#S6z>D*5W*It2ZCPX7}+DMGyBh-E%qXPKw=59<(Y$JKw(Z+NO<679gVU5L7Wf5x-_)nn}r;rwNMN6LQJ zoJbLY&jRSyjo?=E83#vZp~c}0hDO7{%NPE`rK`>S3-)n>agRC6GB{ud zHEtw90U{LJTIOn-UHmKkToodi!s9L<4$2>zc%PTFWuCmWG2JI8PY>xad;oQF#CVTL zgWRGrYVyFq>Kb_9bmj2Dk{QWUmc4IeVJ2zH>XPB3nZi?BO+}hM)m{xrV8CxX3hm_1 zRO`9VBLeZzad~Y46HZ4Sve=e^bnh_8yPT14`zg77<@3)9-dH3Neu%eQ5VdgC&Q^uf zn|MzrzqKM(yNsF+zJsU8WhJ0yB2W_4Amo~mpVmvqk&zr&;Wim|{IfZ&vLnKIb$?JOAX` z+fLF1Spbc<>hC2O!*X;Rpm`FtMxs;;rAAjH# z8*BfPHd#lgUB792_)RWs@Uygi|FX^^Q6PJ#*Q0}AlOA6umf;4cqodP%=s_jBlTW1% z{5^SGDejwZ2PuUEYsgiNq3UjGHK;8IA!Tx$G zYNWTgsk7MaD~q4c=|zIbC0DOxm=ePJdz$fWncIdNRT=GRA_p`F#zv3*nx)83D@aZP zTczw1-+gLOJalC!sd~d+`Z7@@O)ZdHZSw0^*!KysXhxaGOv+bD7`$k2Rt+{@OQp3) z?RnvqjO*zO%~c=w)4sloOCewbt4uaC`D77vSu&G8g%u!|cBFx-`*Oj_^xQYwfs?V% z2>V`(RqFLyq*X5>_KnX8KQDxG+3paJLz3M$xrz&1avHh{tH(Xljw5-Io@7@xTQ!fa zNAi?aCBFpLh>-aEq|loYkEVMQe&(rv8FsxdP1}?CB{P=xL1430y6YOOgi~^hy?D`Y zn#60q;D-X&yJf3sPfW49)=sM8Klo6Mf`o=~FyjqKf_?7Nf7j6+%@rcg^6f?Q+Rzib znE1K8<@R1Kuc2$=?&}uwcm@0<*Rg70wud_iS^_46)Rr;c7fb?Y>?I=JX!^N3#n@{^V~suG#EOcK@VPDC%v*GUACX}J`lycH!9csQ(-in-*} zEA)ukJg|{i$uga3w(q^Dz-4II^{=9Vcp%{F%}>ZaaUIZ546>2=ybqj^irkX&JukDL z#j#VzS-am*MXX}B?n1Gy`hDvBYEYjh%vl^OZI_2CvMKumo-Fok+AXPqV9IeMfqFL9 zc#pOeSf%y7YGU_qTrpph$J?%lGP^6@ceR)F=kJ$sCVhKg3nVvdzR-QlAC_d>xQ5mC zC{D9Z+JoLvIT_Ki{Dha%fafgM*Y(X)JDYW?>!Tl9$d7{7JLPkYawguu&?Oy18d z=V!3EIPB{FsNk&-mcM!$ruR;0_Q2a0WnE%cRf23bNVTHWd|piqo&3Inj#Su}>iblq zzF)J|)YsGytcPSrDW6525DK^33t!?0=zXr~Pr>+LbsSDxy|JMr;+lH#rND>J-R!~3 z7XJ6a!)>}%_nSV~b*y`ru*#VBp&$OpR>OKBpY$3QH{di@Lix0ihAM~;(Q8U$j0T>I&7AFzeo~E_=ZxMugz6Hit1lOJeLjcyCo@-|c73|% z0I4oSY1J8~n=c&i&d(%4&$fR!-{ii!5?4GlMgR5kB46TOIM&E|ko>N_aGx}bZ=mcw z4#^^3_Vp#^hpW{lSTg>po(R5&TPRoU!V)9Kr$lS(DR&wC;?9+M8sZieEUi&JKH`_( zMv>Z3mZ~s#6sQx0$tc4hURVC~kmfdDAF&(p&2OB_WqtkcR;Vnk+!oo&sttykm5C3y z$9o%7ry~b;Y=)ne;F#o+zBVy9wPq{3J|WuLg^N6%f2hzj_5jJulWs-Djc4)kLH7pY zovzzYifj}bDf(-!)Uo}~HqY3<#NA4;;{JKxy;Dhepp|PVVzBTLleE0!r$`(pwF>cI ztIR#6UVKOt@%Zc%_*3O~5knU`*z2|;nQpEp>yt9Zi8u-(CjFUMnBPut{i0^7g@yW` z?Fjom61;eFh#r<8rA&?e$p1NOl1PXia<}o2lcD8R-}H}c{m&!R&R*?i`_#o;yPTyJ z(XngM6p3zc$s}HRaVHA-u=#JdN9>6#KQy0CpqwV_dMc58&(YWTz27SbiBRd~huw#4 zi!Wq}I2Tc|)H4#RSR$1p02b$k`zKGzxu~-B!|6|>WS*lfN#Zo|y{46t;>C#-GvGgF zq-8s*mES)no7y&1Nt7KVQsW4x@jl&fmwzHntW3 z%{9S%cZOP*z(Xaz=;Za;=rt!N*d%#2fr0*@t^KnWc`HP#V_VQUFIX&f zJTotcoD5>kCY4*wM}C-!a3xn7cg{A8pJE=*87%kalb$8DJ$BB>h;{y4Mw_s^Gtx;f zqTfY2;l1!hPO`n!vDhSHzBS#R$Qxs!;&u}`o$JsUjZz@dMX3KX9qOan#RHtV5MMXx zkm*buj$VnOn#+xQOHYsQTsq$&NRe|=yPZCJy>n+LuGGuruE`tu z%11=cvrs7vd-ROTL`0P0c7h`LmiqBczY7e@`*!prxn%QUnYu)?5gp<9J^k5|RS7*gp5>D96s}Tcou{AC>4~KF)>8eshX+_2o+Vnh;tYx}QdZ*`! zpD)(*=f|Q|+-Agl>Q^59yPQABYtkgH)16Qwn4D>Y)ji_X7YR+BWg3ckC}Lfn&v+iJ zTiU-XsvUBNu`nc%^l`di(yxApO#7=1J$|*AYcsqq-ApRqj$lXH;;3v{+5hlm^P_JA z6Yqr8Wpz88z2m)$w~L$s&xB;pA>L9EEkjt;Q#55`0UMDD8ow-Ji+c+C33|6C6oTKH zy?L(7owJR!r}p3^b4BvY$b<5Vs;CClr}H&LCCsAn_FwM!C!5s|^9q>Ov-B0=w_hil zl?Rw&mmcs1$kCp?#l=q{MKPGH&GInrdlt}QHS?N3Z^li`IT4nSZJEg z)|;MUW8oHtS_L@{Omn9)1i>nZe!aN?(y;+340kw4?c`%xIcUZ|7x@$6Yd zD+38kZ13(wk?xv2^V?2z{ZG~OF{iKAVr9N+uFi;6BrGkz*|f5uzckfmz%y}`%6v~6#}^t%h^{|F`YJtB61jox8b*tblTxyNU*qNY$fM=pbudc_;^VIOf9>0p?2u^*FA#8qILB&0Y zIsfu5Hy#KE-g2$wQGFebrw}VZ+V85%+MiK*ajw|gVpB6Yjao=*!`r(9nS4B#h zKK}8;DK~wYI*v;Bka0DoPMz58zyg8a_P^ zD0}swHhFBnws+B;wYvquxLyVJpJqmJ3ON^;^f-=chEn;E864--|LPs~3hb?~izJU*1uhk2B? zvZg*Up)IWxp2)GyepPKd_EqPlrid7Wbf!kJ8!k;$(B$o+hN6T=MM-A1%ri;+OXOeI zk^9ph9c9JMeT=6<9ziz~l^d*8ik$%A z7X$%iy(ss8`ULtP2nL^k&_7Ux0yh|jn`iSSB>wfBvcL^6K@l2Z#{NG&-TLp-Av~Zg@Q?979z1{c=h`># z|Kr;KJpCW~{MH4;3jC!CgojUvUrZ33CyxN1h%gA!gYfY43xKaNg0g?l>MzN{f2$!N zEX>0%_)8;xK7Jli(O)zDEg5_U|BqyT5mCV#3-a)bK!ilWr_*`(g~30O-;zacEc9Dv z2+v;wLD4^lLJ(mdKG39~4ga#=KO{p0#Q6C@ga8jjNKgy{S{Xcmh>1dOBn$G1@PO;_ zw`@UvK^`Gc4tOas0Um+Bj`;pwpWm_t{wW(&^#*^z0}&Av;`?Rv-v>9g=J_S~x7K{1 z$$rm4L{x}}AG88^4FSmSi~La=ge(6s$RAVl-DrIyn*X={plCj@Dd;Z=H^To61cU_t zHucTnjhX&P1~I&UB>!5m8>RU{iwpc(#NP-1zK9SW(8f243W*Bx2>-sKfWU9Z`Xd=c z#{Q8E;S&-T{blNZJGjvqG~;h+zmyi^|65lf0sg;j!w;S$_D{7z=;y3ql{&sJn8}H`(?R$TD?~T@f3<5go z-)_kN+k^ht1H={m5&XZi#~%T|1>asZ=dFai2OR|kJdMMm|wF0vyAsEiTwhd@rnKeLn3ga`Ckc7+snm@ zSHtX?6*l<)`3=zH7orQBSJUc+v-@)ks}~?>L*N(637c2d%F@nmPjr$LO zgOcTVwXHnezz;uBH@CC0a07GlO;)uAwYW(EjG8xKC(xeY@CH8hYY3VE9Nu7wehvA5 z4R3HVzlLDg^FeMx{Pz$HRdD!66c}IN@Q)}kD8S(#QJ@=x!#|=x-vbdWe?);E0}lU) zx>;s${72T!(tzVX!ft8md8>$$pJ=B-&d}JW6qr)=NKiS64Bq(%8N>Et5*xcGe zcPV6fV`{S0kz|eAxaFn0cjt$MrX;$IPv$4Y@l4UQS69?>)c!Lw{>q2uM@jLx2nJKa zRnzqT)%L-HuD08KeO!I#0A{cR3JMD+3nfGbiG@S}hm+zqhfI zD(KZeZaemDFum61;7#s8Qu&ilc4KSY2KA1Ml`r5~oeHt^_xzVv3zd`04O?Db_Y4^j zFi83z9#0=p@S6Bt9seAFJ1+)YU7;OPu%HOA;F_K!Gme<3aB&TC?Jx%L@xKiw#jL8} zpsvCaL$6{Z$L6FGdLALFYS?hVm|x{Og7G!yW0ave2P=V&bWk(;DU~a|J@ddbmpG;? z_b6!iJ0eQ2!64jIT-aQrAUPyv`LRT#!ogko?rcxexIY6H)FS|fb?dg!vHyRXdj5v{ zfyw(Hv47)`|2;PcgX%Bvnt%`(@_&QZz!C`gpTs@>y4HV<`n##V|8#NIOeqXT%U>YB z|NHO%THt@i0{<0I^p`WsBl3S%Vt$=?GtqyvniBl)@1y?JYKl(~wCCRi2HdBE z8>#;>6a2o`f9?H(|F(x>&GckXL5_C>;EbMvcM)#>X8GrA*9Wt3U~l`nBH5qPG^yLY zHkb#EQ7aB)2P+X+@-y4sSjRiLF!{xpwY%w2HeXJ(6go-C1yW#R#|2r(-0J`~)}4!v z>%lg!VEg*xrrIg6r$$AEnFUBaK-R?QX>T$md$OroKjB>t_JTcoL#R^me5Hf?5aJbGz9|=+so~8(;pY)#FwzHWU&RGu$aE&-wyqsBSB0E`T3Oxt) zD(2pQAAbi$6z~Y`IHKz#hAPXUo4uV;71cyHZRUY3!p-Q(Q>3pYVe?vxfV>w*Jp1rkrl+wczGX9744VReMT@t@I{ zx)cDSLsXNXRJb7&LIQHSI9=2cN*%vA{fg3QjCkK6Y6fy`@{icV#};G`+_y($cj$N# zyKung>);{)6!~9!MN8H1namXF%)yS%KpwEY0bP3cBIx>|150~4N_!25&tywhY0YT)bp$~4GQ6i5 zx>WS79bcZy2fgNC4irS|Dm?Ej!4lm(}OEW)464U@Io)sy4AEXMirglCWJhF?H!p_0%6haXC zD9;hy+bmaYo--TW*PnwfZvrM40xLzEB3p|aY#_N7g1mXb0&EJrire{+zE<|8SAPb8 zsB4uO)L{t1s4G7UpmLJl4PmgK4S)~50?uu5W>f?_wD4<=ZuNrh>&(wB%#m#_!M6=` zpr3|@YRe5RwC=}*g@>gJ@<;>f5%Hgqdof(+BjiLIMlK^DRdn5j{qKqzo3ljOXA`n3a`eSS%pjBUVm4h^F<-mW%V#Wu*y>U2yeNF6UB0(Rb2 z2bSDL`lz7P#<4U@s{~4t@-`fJp}}a1kh5dp>W*olHwA3s&d}PqIVt0{Y8YqvwZ=<| zD>nEw$8M+zgk*^Xws;vYp((xCL6~x4(kX!v4l?Bgcp@NS!4eo@Od;e5_&&V+M?)Nh zGOn&f5Z1ji+I9blY=ps2l=j^A5P3!#2F3@4Xcbe-qKsY^@v_&Fg4eWW*WgrDF{x3w z1%rWd*~a}>=L!{lRKfQN`_L4(fHbTS8rY+~ZAMQUkj)k=zTO{+sUrrK zR9}UW!+KK9$s7Y3R+d>bDruop0&(%qmbje{-uKZPej5FxNKfSbrcu6sVyfu9C0#OU=3~j=4TGC2GX^ZpajzG}Y zp31i}<>i@LQ=4-{Fz)7TN12q>HDO=YO-fLEbqJqTj2c3}+?t>$0d^nP3eOZG2&`hg%KUF2sFRkPevaA>^r>1qnpaIW^qY{id0K}ne5mDqIgzxt#}Dxg7#1cE(vIg zRcwJ2;7e#7`-zbNmCumV>;o2#C2m`HyaeVulwLhvy-!VhpHLuXV~qYp60*Ui@QU`v zDcQV=ig`aLN=aHoEd(PdNBU%`GH-#jXKlI)4qUn;=nJoSfkrq^3oDol!d+TH-jk+1 z`z190#SpT9<uL({gqK`yg>ppBd0B0KjB>YgfoSgcpDo6+>xn_#w1PfXu6+po#Iy3|;;XDepyFkGC6*&CG0_qj6;_YHZuANSM%y94PQciYWZ-<_5&UG+_wf)) zKz5*~4Oqr?Yb`mau}`K^AiS0YI}>2S!8$12^9Rb~D@JrD;pdXPez~!@yr%*|YXLDu zD^BO)UV$vjz}6xVJ@qNfd0Ysi96_?uM2Zg_Aze1u=o9r`-M=q%9ue^q1)@_eh9bk| zC*9G>=;;uIZdPr`TOM`-<~S6PCo*nmd;Qj+a4LA%8UzceU&VoK$L}FX^!w;(+TBH9 zryx1u6$88nHgi4{)khWbT%S;a;t(T9)DSW|`CbcKW`B+erPH0k`|2B2*q5K^QBLqB z+y<2?p05=w(GUFPTTftNp|gmhmNEcn52Ea)^$ob*`c%ox5^p^493vzM$Bs#g1Rn6j zO+NN*8O%<;kv{e%aENXSBMG9qRZ3?90J&-l3o&5Pn*#Yx2|>1~b}y7Jc)6p2VW?ZM zrwPbs3tZ!bl~C#&KQ04erPo%0Tp<2gwT||sH^^GNn^(0o-k>jxZk7ZqV;};~qNg(U zJFXAOX0f#9VR3-pUKv#dEAon2kWS0_j+O~L7}M4KQ(8q`6*{vi*L`rcF`h5>YN&z5 zf^9_>rStqJ##gspj3uqnu`2YyeneTLusWj+_1O|Vg~+VJy&M|QAKqbgE=dM#K1G2z zm&4SOZMYjhdtP23xnqSAC zp{}g4)8u5zjv5Ox%IJ>|k25cxgq?<)6WZ3mvRsCsDYkOaZE8%RT^y$*;aB$|p`gPg zL~P05rUE&!4E+=>p=?I0xUwkS#ZJJkze7b8M#$KPq^Krp+l^C%S1oRiIw}%(dSVxL z0!G~6!!T&$T+(UL2D%e10oQ%Rf%6L%GorBKYwq2U_ajDo#R$N}Uv=XtC6pKvV-i^D0&E+BSjBBGBWe$TU)ZoA0E2uu9M%P0Y7D|iC=V!Kr5bmD1pmD*z4{77u#YP$%4$#c*9S{2UYv7_HG6p-Uez zslpgcnxO^d+3&BFgUCSc_-Fx;6V3u`luQnkh6DEAegTM2C>`iH2$_9jOv|A7)w#-! z@}mH3nFIK>zRg3K{e^*Iz#VZ8NnJ9SK&y+{-u;(}x=AuBc4FB=i( z5e>C8c>b;7VOV?uY z7nB#|a~rvkA_)a;o=}L=KlTmWX>E$+7NS5bv?KHs!viMh9|GkF*;Xgn3<5(A2k7SK ziQ>gG;75uPEy>>gNm)IZ(30#5_iC5GQlGJ{UUYeB7E%nOsz^#Er&c|8qBH?|yodk- z7}LA<2;<#S2c|pZp}k=wIF}|@;Q?I=p1mc)yj#<6$lV1lcCQ}SFQ{@-EyFa{?=k!Qx6~}KYRdtEIPKlE3XAZ@3chk5|8sbVBsz$E6ltm zZR?^3%&Ln(K&RYTMT))md`N}OeM)W%gR2J-vXSPJnOM^)`b}-TSL9XKLzR{N zA-PH5ic+Q>*SYd>V_scDlzi=!B4MU{dk{Ic1AVvVlVBW=f+u4Y5%k1;^i(Upkm5di z>U5bwT$hNw_uY_0*sGaVFT5AEv3#+kxf~12uReopW}kuUI^I2X)S^n2P7e0T{N^tc66{tb~bqQ zILr*B#`rN~0@#CGbfn4krRBSmi^ck9r^Sd?QHUzWRK#P3dDt>DNOG}+*hY}4qW}P5 zyX?K0Ci}P@Uq8_rq4)~s5i>h^Mlw?cxMH|(C>R7YpkAU-2!AHHDU%d8gf7nnz~(zu zpb$#&vjurZ0s1Q_g!?`LdD-H`l8UfQQkFLKTlelR?6Ry7F|&u|Z%TlN-nKvGLl6em zZ2O+@Z7^5n1Ta}JE#!eTN|``YQNT$HxU_m)FT&bCkkyv9x~LU1m}xAFjv_t_yxke|{m;yO^k(M&2=&2cYh3@mC@KWzx07T>=ko&lub{BmfeT+-d zcb273-=odYr5b3AuJrW4;~~pMEcpnKQ+R(wo>6$g^iB#ENI&cfS9i-`+EU^4LXoiw z>h%af^jV@ji~{$};Y37?p5-AGF>`m>%=Ca!1BA2i_K#N=Vr4|Ap8T%xlOy+SKXYrh zoBPu6Qjih7zLj7T0AL89$E6f#@!OfEh50ffV2JB`yTCkv=p21{!z#T{e>!nWE4Ozd zU*7EAv$#+UBPZOwN8=65&rrwhdO~if!1JUZ&(sTJg!O=TVG0-SjGn!X3RwA@ zFU;6~;vX-_3~GdsZveX|0Bpnm7`(B%4nJGvm$gveURg}G?hXZcfX_}R`#dI_p(%`N zX_y&Crx`=gr4Pj>Vv8NB--3nMVWjEMZ!KWbeBfMhK2j1+KMFMNnK#z#vIko1yIh|( z7*81?mVjV12(ipz!&Io!OlSCB+yvkTTnlMa%1rh#4%5V+kXKv)tNemUYAjCqnCKNkDMs7cT_|GqI(|_7QTLs3sL- z-aa@SQltWxP;c$XV;sy4g=lqB^45-ZZ>5Ve5#^W18gH%`5P%5dk(toqHE?E9v1wa6#T;IW>+0%QAQ4b5*E+^a>WgZ`}#XKp1?pdNO<;pVDBObESc8r#T^DNAV=h+DL+!pR20*^bU6Tb z)U5r8DYaW_iQ8QbKThs6X))sVKLE*X0ERH;6v3=KIE6aS$%(}&hZI0nDFU3E30deI zBTBbq4y$<0LMD%pq<60o3`A+aYmvHWXy8H-L#;0H62xRBZli8Ek%#D)*s6t`1RaFo%SGEf$y zqC^Oe%Sz^;K=>Zk#}M50Fj&LD%z-h>PtR@21pHDLA%8E-5Vx)2iFrzh%zkpbNxUTi zmbZlg))k?d)B&MY+x`ee=~+Z=b~Gf8M3(S?auaa3?9E__*k(7zA34k`nV zV`kFkPOfWfIJ=Ka(bV2bFyHnDX;lH`u^qwE+xaj6K6&C_Ny~f(+#;;_3O2q3S@{;t zVW3mP>`TKinbA#U?kT}*b!O7gS$fr48xgqV%k-tJLgsI9;ws*;V1?B*#!Kke5uy6Z zrlCM=Cp(B4~A z9heJ~v8jfOq+Km+QqE(Xl$*?t=uFa-8_}S2%AXr?Zi?f0JEIQAZCH0*!?zKkVmNr) z>U-c9>i#eQK;Z7vQ={;hMHB%**(e*OojAj#2^>)|VRTT;V2|kVtF^f^h7d4gX6_a& zW%$+(X#wwW31Ip}ySiXq$`s_r^F%N+9AdmG0yi-D0;Vvgk2@e|`OqY|S_xyTY^c;D zI$<({0w4#4r=J9LN%8+-?!BX$__y_8sR@vfAks^MC>Eqh_e%)@1r!k#1*CUk1!aYn82b(tF#i_S zWe?_%md6)~!Y%m{#-Az_BZWJxRYKh7ev>vN8g|&dg zxDvT*MPNcG+u@y7nHF;N!Mgb1ul(uDh8P@Ez+tRb#a)o+&Q6t+X^O2z!puhr_8CFO zDi(^3vCsDGK8))%f7cY$BM-*6=y+E!Idr%GS?X~67((%|+Bzm^WFQq`-e96WSc+f# zC0{KyyE{wBHqOtn0z#OeZ@NF;MvA{}vHwUad#gPs0cm6MfS-{3LbBNEHmQq%rAOAe z6&FH-&A>5hRNy(z`AV(@eKbY@runPJKSC{`wnRD;f)y13N9TN!s|yPiYeE4kb9n@c z_rD!X#9}@11?&A4quzcN7smq6T@hv?5q!j$QgN+z{ZV1*wk-j` zR6ZJy+$^@oL*O0w)PA|5N8;$4v+6&avo3EIjj!W$j$e$1nTUDUpOF5pDU;f5EH^H8 zxi5@Qu`#97z|2saW?tC|1S+73_yGJe+=$Bbim>h3ht0lfs!Ffz=5AiSn(lF=i+P*di~t6uHK^$q?nlm=+zdr!Jt6BFipR%Pb^+?;z! zwQ@II#y5vJnNaUN0D#jA@d?T;886mbi}L7jLYplBto0cwT<4$J_F}z(XD@vmDCa1gD^Z8#v~&3d9&|>d9sA#jeSrR~xN4KZZ_0wzJb) z5$hH2WDBwrVX6e4!K5KJY=?@mJxu{d@g~)i9(87rRAlv<$83~hTF%Ri~WFsx_Zo-m<3nX_$?SC);y6-|R;xzEH%hu%o)W+qHSw z3BQW$J6h4|HVxA0U6u#bB<42GORyA7ac_))aLtmQ?idt28bUst!x=gg4>}@BWFh|b zuF8q=R#K~|S7QyE>D9fYF*y_w`BKo�R#zSUw!Op5QjIg#b2 z+3uj}a&@x;0iqPO=2RlZbEVViL?XQsyQipKJ0jIWSX;}g6w(2#bDHNn7E1`0_9HG< zw!_Z^=BBa-YTIg6XDq?sf-zFat&3l=tM>?`$+VI+YG+hq;X-zj&ftKErQMV#(HbJ> zzurp%xj#OKJ-OCKU23y@A;RxY>fiajTM`Y?pN$eseD*b5RZ_q!``nNK7-srC%{ zu48gYb_U(C%L9KI)4m@a|1fy`)Iji-adZ>|6fi`$YyXv{jXr^pb#}9P{g5_?It7kG!PGuYZt1`LgtfP%(43*UCKlLY^ib~<^U#20 zTfmemZ<#Z}i|UKV8{aQ(*%}J8cyw8TlePm>FJ<{wuNlpwX5`bPv)eB{k%K4fGp&{G_xO!RHloBU~2buOnR~X4~~225}1iH zIfQ>Y_~>gyVR64P%$9z>j=zjfjN1s#iV+}d)w$fba;j)aFxM)1-x`{nFbz!4Y(3-` zrY6(XHQ?*Ik2xR3Y?aeAd+vXJ4V<`)9dB6-8zAe1c}U9`2R<_^lnH8rMlt$>E|F_h zDys6O*lBp_e9}8004^;+Zpg|?m!p;v z=Qr!o>qLam936j}0U>7($d%1R(B}Z+%-M$nmG}tt+Sb01^C4xGHMH#;c>tG#hqS`1 zLcHeR*#W0HkNgHqOP)m#^k`y_qJsS8-=Qg-8Hck2u+kS7uFYXl3wZ*wDxMQ+d z*cwh~<;EcKlM?cQ#$TGFz~w-SM<;VZ>YVx7-^S>hF?R(eQjPR+KXAP`onHx#7+)5N z{!E;=AF}QT>VWRV#N>?JzBzyJDO%Bi^hHYV7Si!Ok3vFuA-sq-+bTBE7eFRir^~@C z3#wbv_M2B1x~|;KVD~*9C*>R0)@M~_BGR#>ww*HRy7$ZsjPu=WJU4DaNX@9C@zQb% z(tZf(X>{#+$wYJ=&7RZC17YvuOcKcMN=Ad(4E9Z^rVTpIRHD_aSy8O+!9XSp(Y`fV za?h(Q?et+GCQ0K<9m10CPU=gCbVc6B04t)Ebck?8<12hbl?cWs#0pMPT%B+!k4D=W zf@~M(APoi>xC*4#SrUCj4rV-tC`KBoXZZHZP07j6gGA#M^EIP11-Jt!`OH2zg8h*? z3w}IhF^Q^nZTJDl*QU0h3AzVnBPfT~r9*A&!3gIF(ngU@End@JW>4i`s7#_j$e$hj zY{v(u3$cr!CEQD&(BS5`Op8JU#Mz(vt;7*n2SSpYZYmylCYR1#07^A)S7>k;Et!*D z=|mQ=TnCQoqbDb+?ywZp^-rD$8p6)1Nwmi67KO0mX4f{0WFpBL^HOh4Agm;VC7XM4 z-4D{S$9HT?d3y?ydilG`7RA8*@cK0)6)Ic)o3hYLj$ff%Yb5+4(K2qo+WqG`lpO*d zB0T7SoVGo?&u~I31D!aWI?YNSQl4|R&}K+kAZ~~avq_a7gQtpTh$0d{wMXf;Y zd$hbN7E7G*3=zQDL~LdaFJ0Q6^YUZS9DSBXuLNyffaVSSGizTVAi!Ov2MlNr^1*{= zf{f3s9TNxiB{?flY_Z#Zm;hbc*emvM)GUF%10zLOv>b*D<(dIyaVHhK|n!h=R~%Fl_`^ zpsC_&K0}>}f@z>6aRpdQT{3gln5BjST#AJ_X2y7*ZsqO%eL}&zH({#!t@JpUO4T7$ zj`W7UEpURayRlrWWG;kaE}o1{Uc*aM_#s@D0##_Ur%-BDqV*U!!+9D^BiyCjvR}~k zT0?h3wMbdoRyvU)Es{_gG;3b~XA8LQNPXZHu@Q2g{To6NNrM?x^l&Im-hP3!-U44^ zqaYH^%NUX1Ld%}a(pgD>wOmJCVa3=l%R8%vKL_YI0Wf$)__|>LN1jpj#!IV20^xlb z3bk#O3_-)n%KFK{j9T_3beJSP6z-Njkl?PMP=+^CHJWd=z$cFAj@YTi`WlxaKX!jM`+S;U!ypk6^)q)gvLshX9+dtM?1GV~Uf_FEu`vs&y zhGO$0vneJ$32FI>olc6xcg17b5-%Y1jbq8lmR-bfE^QfrPsZ`(0X#3VHQuQ&P(Y7pi(QL&m(1k?S@q#96@z3g zvOweP;G{Hwx0b4%6*LUYB=fSNadGSh1k4iOWH~Zg%@#f%Tv^-g=V#qeDZDGnCR;42#ye+#GlT=v(eX9M!Md)>-tx7-u6H)T4*$;C7wyTzBF7D63lagK7fHR3aFc|kGQ88%)4>Ok0!1HgFBR-EQiky=nQbE=cv?f+qVn#2(A~NJ^4pOHp=%5uRUv{$R zuFF|B?GX>OFz&91rdi5tlB~* z@t|YlM$n}9*)w3+o&LlYDBd`Izu}B?iGs9>5MlZdAz3291Idk~_;&3EHkkF@Bh0iP zMJMKCMF`>|dqZ;&M8sMQ>0ktA*#r)VVA`Cbz_r0{JkUb6vB4IkvODG8=K^eU>oZ*w zp|rAA)gPt=#2oPeXNXy9&-wBv!Xi<7qVUP2Kd|8*CvPeb;1*|570uCv;WQZ^LS9AM zXk{97qdq{Vfia9)>Tt>}UAXo1yEmH}_mmdB`kNn+c;k&*{zTUONLGsS$BY6aV+fX7 z)NwmI%v<}__PrM+et>b2#*jimcr=*IX1{=*koJ^Bftb}0!(32S6fuy$7~?G$Bv>Tv zzk$)mqO)oZ#K8_mH&hJ-VSKm+%p%U#1V+aoeeq!cW+~*MXBE$Mf z*Vz)(0sHy*a~{Rr_{?JaiQ!m<;S`1`x&;EP2t8r8Td6&XCZ~%JtDx;pFt*uOgs0Z4)3;0f;R6tb>iho7nA0pZ^72H2?zhAw!)@u3#a}CL@|YHK{Vsa`68k+iw2(^D zyhS!)=6|d>x-dEEc3Ist&bjYEt?u@Q%+_P;Q!^Uk@R-yz{%921%E9M4Ll&PT7lO91>0- z2VOVh337mtx7>G#qd#_c7VC3P+m-S*$;hbcBngm0ZMTY<5F8NysP2w^5pNg(ka zqt*7D?-K4n?;b2zL2{0^U6<6Zt(mn(w%=w4Rn<$s-8_%j0N%xkFJ|&3rD;&}u8oQe zQ>6~^pUq1%=w~V2p!hW$J%H#}vS}>Rh_dQm*S7IL6tX;!t*%}g2B%;qBIyxEhd<{iL5_@&& zmkZe>wJsA0^F}X)Ps?x2r7_(EW42rRc#9b<`2eh0dwY@{;<66^ECiUav*(GrB3&o|! zTl)0-(-`Qh-Lnte`Ct}cF9=8u$=k0bG^VoU6EgtP>9>5wQQ$yY?<`j5rMobc_vNlg z04ym2dQZ44VSH#`U|QIYv!BxE`U%!DC+UrtHRE(8|#c%W}n?FdRv7q z<$8!H^@?2)t7Q$#SBSb$`7U8{`p0hU+@z){odb8bLeEIUPH)at*}L1j1A*a?7EI6 zVHg&z5E&AR2rQ+2d4!y@2jQL@P1Wvs+;6sxvg#aLdMQGtNyMDX1Xd-uJAi~t4*qc+ zZcJ@hHHt_rQ77|RSM2yLH^e5N*@hDU4m;!REri-xwJ7@)unbAV1`!KzLxKFp{v;0$ z4QSNd)BB-Z`Y*^dw{D)Qt&bpE`SsZff`|$?#Mo>Ohn&s}A8^gU{=k3%XT7$1l}>iX zNOxwCCgHm^(P(rz&RX2|`!axEdBOW*{wKzRA zO|E)Q=Vg8(ffet?{E=?2T{j*1=~D}pFLbnkWCQ#|fItSh6%{0VuPspkCHr3`wR*AB zaH#e(bb-f%sU6Km?VtVAA}5^8M{Dix3NO5{(Ms%X=%(}-F$vZgfRI=uxl(z1Fs={p zmnb(chi;4zsd_f-E%GDdoXK+Ug!zyF0nG@^mw^>rz7#w#;IBrS2Y|(Obd@!bl!rjI z?HjH)r?*%LeWA9#%i$;llRA`6JfFk@fMq657`M`e%OE#;W1Xu6WMxK@>VCs?Z%S|# zQwKDQ$_mORYHtYl3Zz)LB`Pl5>mJNIm-@p|u;M81=$d6aSG}g{m5f+(237)5?D_fj zt#-(GtSFsXaEXUqQY0>o$)kX8MxnD$q*wMjheWpF=rh|-wFs_&rAf&dyezaM#YTU~ z@kq=ZZJFh}aBvUQ(OAx1z~ZigpdHMmSYv~WB~NU7bZ&rs+UPW&zY4cc8g^$u zaBC4NOt56Z_JrIO*vfR}Ak;lu+N#yb+{-j~us<>R6 z(R4Z1AlR^&+0ptY-hCG7jXLYjj8@GUbP3tocLwW}M9g3TvNL^{x3qW^ozBb9nv0gp z6$&#l`f$()Y!=I(eJzScO5zZ!McqP=(HFyXccKE*@9tI7+#Gkh)gy4tpG(L@p}PyD z^%R#-ILQL>v!V-;F6g`G2a|WCWp8>=bCmb6jwK{1eedRf>%+h{tKyg=Ak)S%x**Z2 z67PwkDbbfBafNdr_a&lJ`)5LHWzMpnKT|cxxEQ$#x1^)n*@Kol zgbpOS_j-2%&}n*7iw_9s01&Y}!1d1>X3nUT>YpOEl%{)=^T9eAP4WXSf9wsIb+7y| zOW@^-Ko?)R+jvLu61bfYh_{}=;OGFK7og5*iPa&%3>M^?O^r`IK5-%H4e>tYB5L_J zHnXX^ed`NzEiN0L%;o&KSLG*Lk_mzl9cT1ck)soKsBTK*IdQ)wCBS?Lu>X+mQP}7V z5W!A`xgljg)tOMi26KAlUiKWU1J0v(Dx{5V_-o>hhC??8`|0JoZorAeZIVPKvZYbP zMO*5DH$+i!C$S%nZ@e@DhPX3&uN79Myp{(q+%~+t3cJ77e3F@L<1C6tF}njWv{v*y z{aqP}UhtAgGGY)z->tMC$FQ$D%ax#rlyAhkl`yT)fCKFec)}Ixs`y!M48IV<>vcRx z1G1rpG8d#qL<2>LmEj`cb7Q|~G%E9jYRiF}A_VFIw$Q zyn)CO!AR_7EM6WhS*Fhsxx$%Yce?%BUGtFVS-`0z=Qy33_{uDcko1G|GLvKKwu)=% zh%cyMDd{LZY~fh1j?pap`WqOslv1X)sZ@RkyZwe&`MT;_xaZU=7DzS&;{u% z^mnshDK*Zw3T$G;`sB=qJj*9@gFTo?QqgOGTwBgk^810p8o^>{+G!#nQ(C1gYXud~ zg|tu=7SzuI86RI5-vtD}J5A;oX-Ho8+{h*w)`EI&LdJruB!}jGS0J$Y`10gx)W?}V zmANkBv;ymmiz0o@Y!ql$d10J?WUXraR87QCm>#h8ae5Xib9^5X>qzTzjJIe$cnyR7bUVI=X6Rj z$s%lw(r(7Y3H5cNrZx3ndny8Vf}l)gE<2agZa(w`$XHN4z z*sV$-cHTir9@KEbVdqGySNnKdNT>EIJeK8I+)E3$yPFWME(?$V7d@bCFqE~C&gmgy zNx+C9b3j<>nkY3cp^ut9j%KunG+mI|%NtWw;2qZR;G};kc0ng?2~cD$nj~I=T29)1 zhuK=P=y;;z99Xd7a>a6PTr5EW7?~5@8H&%&o7jzVB@zwW88XrkEjz${dGHPb{XjvZ5i-!MSoEboj8Dh@R7iwpX%Vnyc0UG__NDz?*c2Fyi%Bt zs4cFhes6`gdY>@`fA&gmFKmbLpW*0W&|lTSC0AejjtNX?g~arm3`Qaxl+1J$9zV+%^RrxBl;Qb+Gq>|Fa^t1CWTZj&~Vts*b+Iw$21_xXDzLp1hj|b3PqKSY3)#5 z89qM}n6>FNL@oX*l+X;!vSlQf30Xk@o88fBR7xi$-uIg&^>L(-a1N}^m{x^N z=g*&+**{A%cCpzHR&I>1*9O+e%$e^6Bog8bGFny#vOJxWfDjTRPPvPak>TNzs2TwO zd~Rwm$s?lv3;^3&(=T6d!qe9+-KYv4%eeLhB+VTKehc8&ur(>&d(2{ZOP^blv{GgT z3jOMQ)6wO*aiBPlkc_HX(<@vCfUA-^Ub!{po-JG2*`-rfOdrtC~db%5UrWdZG5dgRn&^5l5~mO zlPz(=us)TnC0;eQM3sclc{sUp@5z`d^5VygdkB7{>~&z#7+@2-aibY4!UnllkXn5J zfAfKl)*1Eg(3Z6MU#U!%gZ&6*0EeyzD{xia7^F4ydk-GK`f)4;H#gHq4A8`>qf198 zP?T?E1e=y#$rm}U8)nV*3ibJ!i{F3U!I9XnVJM(VFa+=jvtf2^)S0N(#XYs0uBhSO zB|DvxR%mMLiem)h0?am}$$~*f4FMUEZbV%PFA-<4sP(8Ippk<%;spCLiLR=QnG3Lv zP@OASI!q5nf%SR$$T(B?YDddPie&i5;M+(j!J2WN4CLLzM)ba`g4fG4=qH;5Twcz@ z#`D#5PHLQ=>e0V(?PCa7S@*ykx<^t&GCn|k42Hbrxb7<;XZ0DC0odM47?@;5PB$b1 z%iLNW>RR&9Cya7aSRf;`g(aPkHEW&~n59Gm*Zh`c(Bm_8(f}ImWnj=OToks~5crvO*JOT9x!YCM5E3l8)p zp!jiPrY;-1ySRkFop6Gnx>JljVsOGDYD`tvzI}(&-N~W+fKxljkL~WpAj1vmL$AL~ zutjWZfLp85z#rh9@G-S(JgcovC@q3Z-|kmwNz;sm8gx4T1Z z7!W!Ghan2A8Dm^dY}VEd{haREL@Dzm)oqm@M!Eq?=vm^kv{6MSL-d+*aN8%Twc+D{ z2m^xR*rIkrp!PmL)Gw3^HZd7Vp0Nl-5!l@X`Wv4GwO^e@& zLs0o%#(N%$PL=SNZmP(v2$P4h)7RBJoGZrz?5oYAla!4h-A*|u#piV4SFw(ho#7dS z)>e?T$S`vjO`*VRy&PQ@GhI&vR$)~rcI;OsbH&INfL*OFQ)^w;BB-K2(q`v?5#t6f znN?YEA0Mq2u~QpMNI#Wb7QrXKQT3_qy`D>`#Zq^50^DWhG>%BSh0UBBS~0!Hn0Hb8 zp#tBX7ytt)I%PikU`%~_D>8@2|4%u*T^*z*v|wt zoWaNtE7OvBapeAy9nJz%0d2<)bFlkcHVGZ?9A;TFR&0)8eLdm|aZE{ffZSWq8C3?aB}BgW5ca7pB&FYS~X$=ZWdhIA`3#FvLZ zKq#pK>#Xzu2Ed5@ws{Soe4I|`$*8O8PxpVw=(`U#97ZNWQ`wIwoXH`zd~J2g5Qbv2 zL-7qVQl>88*Y=_mXV-u|$%oKxwo~BUX{nP!N+8)~s zNk^42jfQMxg_gcF!2(B5Q>p-0RZSZwG!&X}J8T?P8-Dh%51E~Sp{Lvf3*LoxJw zSF{`uRCM5B_k`FUlssr3XMIXJam#%OChEx`Hjc zE7S3bXHZLhxKGk88Q0L4{%Vna=U^v9kE!V*xS7w$r2)+6Go1D3VK(O6k^ZX;sAd22 z1cl-X`*%d0iPX7iU~d!8uTMp^ewUAYpX*U#CGD23lAxx4pZ$pXD2EB%26tem{n+%N zy8J7ToTrwLgJM>t9|see+z&7Ish-fb28J-Ag2q=4X>#!64gvMm6J5AvNyLcR*ajJ} z6#6ld+w(&8BGqW2{wC!*EzG3RiQG*DMsbfi#kAsgn>?QjJ=Hollultr-En%19MMO| z2VY{gYa3O5VdCTyu*=fZz#yl|Ul7NNBUINgmsd*lbm$G3)kPWwFh`GJ!C715)zkP z0V1(xKyKvLTHi?@=+=tYUTbEG(oOyB;~O_w{Q6fC9Dfo(f0N)aw>15S^wz(xz5;5G z{ih1YPD?PO<#ICQbZ`vXbl3l;&e7k_^gm>`{z-$ySZ&?=v_GKi&(?kd-fqZ4f8l(= zc_;8&dzYICiUd?aYTR7;WHJFD0$^Lo`PVB=0%^Iv&F^DsZJ)2y)++xUUH^H%bRyV2 zQQW|6!3(E}(+T{#Px?#1TrYTR+%%s9)*1F)5>oqoeSMF?QUWg$I(rr4CU1GAh-1^8xBLwU(Wz!%^P z!4A0@>i`3TOKau=xg>Kk_~9(<>>Sa?PS7ma5+@yGtT8{u__9Jip9ru9L=CRze@Y~* ze`ZMq1mm?;s0B5#6tKM+Qjso%P?N~X;)sVDlig?2!>#Q(f@P?zxU=FP<7e^X`W&x!uE zbu<5Gjh*`{5*v~%h{LY|QIZ9olZ4tRSJSa`!5|4N51Sz;^(98qbO5Z+gagB9cwW-Kke8F zEChgBu1JIuz$3rsBb(xl>rXp)gSgp%gAdz51kE5CEIvYAbr$>_pd$o$x@c%+Wo1Ah zG9OrB%p}So$ja*PwvEJ_I^Ir&j{SB!WN5q^%WAhzw%epyjxN4T4qwi_S@b>0F_)RV zS5jLWbs3kao7e8J6D`bq_u(^QwK9YWT%~tP6V&p9$S6^V1ZoaQ=b4X+uxY1^Tco;7 za0FO9B?h1zbmG}zOF7Jc`S|^vMKay>4;+&Dzt1845$XJYuR>5Z>VHq_>HlL9{xgAe zUtJ8D%2p7=$Dk%U4klY7-8+0*lgZPO0E(#l?QoB>z=T55%zeV1B#3#9A;8zrgn zh&v~03g;Qu>fkx=rBLA0@n>sSmoScZhuXy-xGc_Qf=y`ste1?l-l3pK)_-gwnGisD z0=}-qd9@Np1RDH3b^r4>|M`3SZ#G1L9W9*_MNKaF2^hnO0G*idhWOw1`r8j{sl}!! zNrdF;a4IK#0u9;>I#4qk3tQg@awO0G+Z({vzHovfDB0#wEq$^H&2ke0 zG!{UyY4FCc7VBpmf0GQbjx2YEOtaJXIFG`~vjGD;L^)&ioLBd3tCn3K_HUn8e5P~3U<$)Ly+f8OMHa98F4x$7}O=U_$S~Ie`nTT=7{1u0F|Zs@LPNe zq((Yh*5#^CjW=Q9zOsT1<>OY__-gIpLsO~uE937!hRbUAd__mci`7JLJHF$7{1FAQ z2anxeNrIhBV|_EC)4DCl2uTNk+Q?0g{65q1x#L%2XNUa!9UcT$dHdupxved9qnk9z zlz5p_;!kh)AFN3&f@_(?jAeCJFP~DK$WeQ6I>=KwII@G zq}nK!4n+Pk+!Nq4du~*;%=w18SH%_sMvZqknQ5Y7}eLykk%o!l(D=M`elo=5H| zUb)Je){@#|li+Wp$z;gDjxqwfVt%>e!Re#tPx-BSbXznXUwWg2nf+a6ablv9?GyBN zYHBjK&}>y>bQ|k-$J*zlTWw*k`qqh=8JG8DYk3iX)~7w8y{{ws8&8(MtDU`&buqqWPn|+Dp>3NjNIWx@@*ZFR=+5XTq5HwIzD{&u^`3Lz2-xIcNOQaxRGY?G8 zIIytY;g3HKLWuLrhDUSMoI3+nUlx7dJs|r@3G=8Nu4cRK^#0A?&G&ZpGph#gP91Z6 zXSbxU@z~=AI{rrLIx)sELPE=jOC<+4pMzT?N6MJkuMmrI^|~d8wPxZsy@HUw{Pfss zwYLMZ-1TGj?P+}r`x*ufu#)V&CD{r|6Z0wd{bV*$&i}ABtzS4G>t%)x_rx9aY2ROJ zd@L{(R`Y%P_kBNoH@h3uyfB~zaCSBhDzAP+Oq&$Qcj#%{hMoOVL3Qyb%XqpZUR$GO zj5%I|c(#{VH_+tR(Lvi?V`oF2=K3u@oH@Tw-ez_FYoz?0@$Dh<6g{Q)FWo~s4002k zk$I8!t|tbrNf*lCUc3Hf_8vtley{q`^+vT$l5k&2EE)_b6KV)dP8X<&AvPNxdWb@t5e(CE!n%%j$CyXRKho0-S7W%Imz zw=GA)`~x~aN%%C=H!s~bJA2F;a-lXl=zU%$&8_WQfLqrsg=xH+rE zsn`_$N+Bd~;=Q=D=Wx-E)>g<=Te-PYWZBuKi7_+1sGC3UpA|ap(kqSC?@H4=a+>gB zZ!LD%rAgoAsFeO!sD}UaVSlCi;5Fq$vYi;;&GsT5504YzmOgH=zcQpGUb%9KIdtH{ zsZ{HMqs}`TZk>E-2G?jhf5;~mDi(2XOY=|>YGg>ieVUc0T$JmRgWwlkwQqit@r#2! zH-yVDFgeKynJ-_eHx_~piYu_JTL$S0E8wDu-)C(!$Aj4Fi4b8EmuG%Ky`d);(Z}zul zANF@sSqux2P=*)>y_a39J?!8>a2_#IEan*aA32j9;kQ4fdjG@U7q*^%y{aeP_$&kd z;9}@<)bFExRg1x^H(v?k1WH3EsSa|R_N3VW>g@9h0R|KLy+3ph_`x-3DeHb<49QFqp(fQ-~6J#rIvph=~jP{b)sp7bvb; zLBh;*TkNaj65Lwjd+%@br547t_<9arA9g>Z89ZMZ?y8R=My7F|v^Ii0p>!{6_&WV!B%8ms6!k#lL z-F3Tw7v8?D;HHO3-kG3+;nk(RiEF>EoSCoi+`gdW;ySK>632XJys{rmw9D-)ZnxXzp;6n*V~(4zIe22`m0Rwd8n+|qK>~esWi|4*y*$2HBnm2l1BVVYR)AN~x zH)iWi+gq6K*&d2N_iUAwd~pMRq`UsY0lfOYxZ(uL>djiNYv@dz_(R&8AIBYHg_i;! z+|%jVc2Jx-dfi(|Q1l>#^0H}U^^V*42akiIj*l*EY@VXE zGM17Q7j+pC@1inxZaRQ6xF&6Pa!19UIlX{yUci=cgl1RyM;ZHq7(tQiU#dAfjs$F| z7?nV2aSv_)J0d<6Rb5HGyY9Tv{Z9@_`(;uPRzXYhsnq+kB9kvE?@_9@T0_)f;@R9i zcDMFW`x?BnvMzk4jR#t*bXP3fk)vlPZ>Z31_q>wdlPdQZ8*CDOzN#YsbZQ9Z+=ZM= zLwfLei5@YaUhP52qTDq8AkM!Iw(vBU->x91C zsqso%+?>4m-lkVUnd1j57Q~-U?)toiU09I6VmU+{*58@zxhH0uSf5j6+QVZ6?HidC zT`cTMRf*l<#gyCcMOC(AKbqc^w+z`s>~fO^sC$7Jl2o(Ua4gALi&a)3lmnhWbJp z3X-Hxv{lcxZ~Ya&SaL()az(D%++(pS8E7@H+9nybb9*f9jNO?-J>E2CSIz2@)F{$! zRMw*suJqHC;9gYpa>1@=3o<$X{a**DeJ4p54Wur&$CMLmr;qpF>dH!1sDSOCM0@c+e_$bnMytC_YsC zfX=!t{kz7xDw1N`BE(OOy*#kKx!`@#l@0WlB_FdY6q2O zRioEDm69CMV(qn9>*xD4^n4aX;iJO?9s30)jD`H9_WaMON$Q7>W-AO&3Aj?5%d{P@ z_jEC~zjqD994SG(d7Z1u-g{3<-8JL^S}`=>)pf6}<0E@3%V<{noQD)rycpYL-#1m}2#VB7ihe&Xb;P@BJl+gZM}yqdsy_F$>tGMe{ykvRrY`U- zeR1wc=kQh)74!wqQVBZWvs&Vej(^AOs{pIztuN;eH~AJUZQqnDx%|>VGXH?mgShLl zf^B6cU&h#lUo878+ty$#TaBcu4Jz6j;_n^Ijn2L6*l>WVy}>@^DyNrmX*9C*1o`;E z#HgjTkbN>$%XqEA=7yby7_7`623gUrF(cdF0R&&Y`dcpVJI z-v4&PoTM`qjo+r`-w`m>XFpl=ye`1Bov1exs_9&l-&-P|v)e;1f8}epBn9x+ekQ7Hlo-TIn!SFcb^8-pN?^mZ>k8ko*e-+;*DdB|sl;Z5{94VdS+}BCiwnI5yStynw5%}tO0KM{ z`FNMQ%Xi!-Zs$g(_Y#RRye&dz~paul@uVM@~ps6cVf!Kr?}?f=N}@c zm5kV~)Qdqfn8Ad#&%U^%8PA8x(Q8YO%RZgt4LmYV&_9$rUoz?Xp7yDu&GDLF*Zdmx)mq>EmiI~J_cfL4KgWY$I%~I12^_9y1r*;Ia!J-)R2#8mDwEFy z!F+E&+SRj;ce<;V?`+bxv%XdTbFMi~0!Lohm_(|p-r{n)YE zyM$)(LgIzQr{_x+Yor@`3U2&-N6Fp4(bdkfZ__qc^&{&|1PT7-r}a)hyIuaMg@0K7 zlu6Zv>A)SvAGY-lUcWf&8+W}?zWdhoaborcNLv1_u-f0Lv=KNL)Fmx>d13O{`zC`B zadlWVRhPco+Op%+vYEhq(~h4nq+SrN(q7X<>Q4-A{($~|vm0x5e&T}o4_{uTcileI z!jcPOO?AdcqlMK>fiJ54>&*3)$5Fug>PzyD*FH_`{E zVL389daIb>Q04iRtU)->duHw;Q~vg5UekTcS0|AU$;n0eZ!hE^%6-GayHBP@+{{#0 z3y8~wCe4`EO8y|3PGa?~zP~;6eXzW)`1+SoW0w9Fdl6#(A+iiNqWYs~zaq?Xlf6v# zy4#=UWx357zJ<-I7vuIixFrm@eKj?B<$9PLV$rD0Ub?dmGWRCivZU<*{cl3SZH{G5BH6rb7sC3M8S#C2uWK%95i;;G|jC!?eB zcQ#Mo-^&;uKYk_z^Vd%u_g687!9Dt&bM@|uTIb|+J`i;pt!F%P)}JhC+1}LLPH4Q^ zs}-;-8OKJNx%e#7sbKXhHS{MXFBbd+B9>m3xg7iuTszu<2`?xrMTZrD4x z5)t7J8$F6eTf}Y|D)6>37FV`(Ck*}?Kb-qkXID_B;;`kC%$7@M&2(mm_n!6fc&Ma; z-`lMxzi_YqgwhGyksM`6o$rOQ9Os2C2ityYuX)H^E% z9@#2(-%?@tna|{fJ^J4bz52P)_zgnP^ePNzygJ>o^2P9|qJqM-jIK!##i!ik*mhfG zAM=8gGo{$OA6z^#l}28By6l`uy4ouD*;xEY$SdeHvShsXlU8K?&pWw(r)dp0_h;RF zpcJ*{eN~k6QGE&7zgb+;+31qC)?)b2Jn=>f;j&@@_A~T%J;n{56tW+F0O{^2#iU+Jdsx7A(og^<;%)+9=`VUbft=s0rdapvn&6Qz&L`rUOFZ<~3;%M5!JJJuf8DB0 zHOmYst4-fJc=6pzS?}nb#HLN{CuDqX-yD4YJM!GO-Bm4H%d*dHR+DT86?MfdWZ)$Q z_hS3w2RipS9KIvmVDS0VDVkm1@15iv^faYzaQJ*{@KoSmF|7yZCwJG_kSj)=rpxz3 z`Sk~GYeg?R<|4cWlwRWY$7?tAkK-3@g6eW@FON&J&?%4^;?nwzao2}w29cQ!o@Y^K zK`rzhLpm)Mkz4J~*^1p+gc|Ekoy{E7GBNh5CJw%y(@K{mhohTA#m8Qs{qg(VjBW}` zbol+$_YI$a_9nm3KEnDGZgJhY?g?N1a!97@xJydn^!Lr3Up8ijy+v*!%K9u^TUUO& zLRY}N9zs&OT)mQ)-OZ`md&TmAPm=GG!&{F#ZtFaJV*lW9=6jEGvi=(vgyS)959H1j z4*wKFUs$w;Pr{)5@qOZ#e_hgxd_Fn33DcK++{;pM!ciW})VQyKO+nqid!O#&-a3<0 z$8Ixz8$0^!=!skVV=Z6axV=L{0@d)?$P(%H?-G$+cnzl(p_r z?fMtDgDeFnaW?p0K%_GqoQQSlTue@e43#4zrf0RKcBk*IhJkVG+Z~bZPp5C?KR9>Y z8`8JRnz}@Mh&iy-@##Dp@@Yt?m~x;%yrY_S3IG4F_ugSmZCl@{A|=#-^d7*DK@gA;7hzjz(Ytxm_{#3gGK=fbINECP_)fO$b59uL58k9=&5IUE zPB)?h*M2+ySxhEQo{qX@kJ)cf^3=OB%>RhhtC>^ci(%BMv)7otDh(EXTBa>8t2PX$ z@k`?`dzQFE^knzGK%=ot+Y zf4H1|v~_Rz)HPLg+gt+M`xKR9x2`=tK)H1_$3on~z=C!{rao%vpmj~g7KhzYVtYy& zp#5DWw8-s(!I%f0?G0__-1GLopU65lN5}8Zzx;)RuPXcKte?=q;5Z@0l`+xFA9f8_ ze0<8!!>;~}9%V;7KGMP|d9J^3pl*MW@~@Hp{l8t2-E-7#f2dVo5N`F$blx2M&^efA z9nVZ^m;dL`Xt-T0?c|q?oqW!?@#i-TAMBc*JC?L%%;m)Q1O zD&{?MVb`wpjA$r+rWLsJo{+@DAnQ-=1E1ef+6n`_GRk+lwNUgv!;F?#aeL8QcLlY$ ztzU|Xc9lGjI-0>o{%uw6RE0EYsL_{tJ13ra$^FpLz7FMGx?@U37QFTuzT|+6DG84D zJp*T?cSK%)IB?^B;9LJ{|J(Q83A%mAp0`_&Tln4K-Y;YgkE^=F0?xuF%ex-P zwF$EIDm*y2_4133mQzYz%A?C7u~b(d#0EEIGY$jD;B@qc_hhxxX^Job?>tm zB&jbA78fcbzm=`nMD{HQ?ip4X^g)?fFO2vM@C1EH+~M!-^z8VAg)i(LlwA0W-`Si( z|BIH9I z+4}^)wte^0p3w%!=OcEvvG36cKNW3WmpN7H|8fiF1oP&GVYAe$yuzss^*!&-e$wsS z7k0@QFS>Q`26e~lDPK9d_nnR3k0Gsdh?)w!%FCn_mM^A!riU;1wN`D(lcFy?{}|a2 zg|d%|nak+ziz{SQO9&1r9w>X#s&+{9u3xLj87A>kn~jj$B@6X$FNH54ynoqJV0di1 z{lhaF`Z-&)cF^Oh$|bI@${GZ_W-RPUx}U$Rt1Doqq2s3`1EDT&IweeNC#;unW~5J2 zfe(FjCcbv{eQ*(zuJ!3g z*DjGf^4+8N$nqrQAC`p4!LI_Hzflh89b?Lkq9blDWeasnPp7J_&2)=Qr&iItGZM%1{uMq|$hdppy+>ux6j49BVU)90;8 z(OQ&qRYmM|BJz(snHJ>T#-D2M6cI(!j_bNzG|JkNsdJydAm%LNw3;{d`sh@9sMs0Nm4B?L_%RzZvB~80|y;scE4J=#zb^#>$cxX8`xp| zL2heHY2mhxnMb#UZ(Psu@_tJY!-VmuwNKyT5$bz)*U#NGhT}p#`Xu|TioS;SUin&* z9^JUiR7$r*+$!wIVq}TD<;15GnT0~*ZRYx26)}!!ROgnmC*QCd#%*EJPABWXblp;~r81gCM0q}37)-p$o%QkMA?k_M zghw}gD$*?6tRCVjo?B3)W0nI(tn+u>+{k)T$1U1F$#-(F-J`JgzW2(-oo^*KJ`ty9 zjnG_lBai73Imk=#blSbbl~YB74AIXfN8n$whvDsomy~xMkgu}+I9(W)r~Ab6rWX`S z!92fsBZVX%{<=bRXg$8_Xg|Dl+rcn@jH*uZwL5yUwYSX(5$0Fy-#_Ae2HiK`Tl#*a zYb(vemOG>aEt#n_JzMOV_h5GgaFv|QXkwnP!VA4x4e9S#?$s2%)^MBeU8C+6Iz{fn zFUNJ69W`lZW?v?8*xZ>n@4JPO9j=cW`QX78e<=sqqZ%@Zqdq}to-$#`_woksbGGEY zr)Hd{y5(~;&cNyPl;lR_v_ttjdJ|>W3l!Yyp71NZ-vf+?quHiBL=CtW#^^%8$%BuH3 z4sW}v(TN3D{y0Noibr{N$@>?`j7w8@@6@RW&|d_H$^H7N21O`F5TmlH&rIeD9lHU$ zM;zr@?tK1%Y9LX}+z@>wdF|a*Wyz@;X1x01-uk6g9qWX4Blo8M(-W=h=sSJoeD@BR zJ{j|-H_5$M;+LP7*}8pM`xPB$xZbk3n^)`AtSW7ysK&KWVD0WM`wjSZxvhZ;DKQ#4 zsNadTP1{%Rhx&gLo+y1>A#h&yIgYQ$CZUIt`%o8NH?|rzH5x)c8TS#!Hs$>VUUjio$6egAH7c75F*>q4$(FR%DxfYXKx=T&|RIGpGL`*CL4FqCxaka%vYaM z3(;3Uj|6=r#Zrrt8 z4CPz;k?i;9z7Kv6w|Kad@*r3^i*XwR@o!^T+Z>VYKxF)W(s1PRtegVpcIzuqbfL7q z80(agE}GzA%o4ghJ22ihZ27FBp7X`>Y7fcFQ0DXftqwa~98TY{yz|xf#&~+PJE2y1 z<-l(%eq&Op<)D00db#H~@pG(mMtNA_fs4@jRQNHwlh;Sb)D5_i`;AwBFOrx^39b#_ z|5=B_@;ZJ#m`!ijjjsBx$^r|^<82i}KJy}b94`x;b+Ep2xbQIlqki_o%tS|(RgvwF zEw41tJ|=|gr)qwB+myy$q_;ioNMnA>z?-s_h`#u<_LURP4+`ZzCugk5eflhWHdc({ zW&}xD3l}z^ZU|Q`?1|m=_1&ep`U{bNOucO(3?8DXdOgYfuo#WpdjE}a4rkfz6(;rx z2~NC+Pn_;GrNgHRn$I> zVJsJUZrd@fSErrY{-_X<@B2_Q(>wg;{mxF4?sfLw zVsGaINzRy68?_o}LOc6hg5o8%-;9Vam=9(4z8~)qtz!th{w;G?0r$!4Z6=nPi6zHQ zkG%G?#a*m zY;sb|_2sb*ULI*HQnZI_{@v^4FMHn`^(SqaQu|{#O`}sWAk^8=Q@C z=_A_$Pgf;sqEB!cCL|v^N?~7yT#J8IODx>}LRz$E3R8m+{r1d_n>W`bIdTh$WUv^$ zkR8S4w=1{aV+nq{vG=;8&qp=(j(tks5+8lst?thqzqrrvB`rl|>**vrp$MI_TXLWA z6L*np2veAy!!K8qBIj;TH9M)QdY`owwLy4zU1;SCScb{bHcw5qW%6>0@e0n8A zGpliJ@i@C)1yYoLlY?uaqFveHREUPSxb^(i^4%{2y&PQ38Wy+d4^E1AR@&OxPj;E2 zLTe~SH$3BatK_sE}XYkbTZ#r=u5oJmP@ zbA4KKr!42S#yZQgDcX{DuWYkL^m~Tu&mONNWzzD`lYaMG3l!nr z=|6c)+R^OF@w;{xPpy1W-uHpKTuR6@^;~&bo+9N!w1ZZ}R|CdQ+{tfy_l-z9CDC2w zChHIQ1n=CMeWIX7GOAm|cF)_LZ5vmPG;L$sIQP{4gjZ6lWuAGCG%;NyXs@t(!U?}P zYFc*kiJSM>Vc)K_3nWG`*4P8Dh9|t!3%HPXZSJ03*{+w|FrB_TF9|gQ=q{to<{d98 z^Lz$m;p-eHy6=6PW>5mMO|Hzo{c?<*U2pZwon*^jw*6{gU@1O-l5y|#ksh0NG$y}Q zJ;RwI6T9c8kbs|IEkO&QF4gA*EPeTMZo(!uW6)|g3t{f3&m8Yq-EiKaSXbDP zcO|-~xJ5q+DmqTqj=IttMU!7Evd>qm{)6<`QfIc(Wa~|1D>X9Po-37~M-J>QD7F4W zTVL~O?PWT~grbS1WVFZ)%GkaAJPtj)f3qg^UdhMpL6H5so3_$AW-fSUS8M~$tD3WF zrF0h3a;GbOtbLTBb&hgRHbDQ2(XZ3q+#A(03j_PAA}-7l6YNIXpshCXXI%bS(%NQ* z6Dw;=UkmxSj7yO0{1cn?uWTq*7V9w<9Q>cDZ)hGpEu^Zx4nVwTC3+zd+IpI*8c5`K z*m@+wGk^zVtFkh>%mR7rdHzpt_x^D^QWekw2jYL91fT!;Y}V(0d-mUN|66;$w*@5C z{@E0ys=7W%DFf-Is_J@r8Z0PyRdpRLU8D{I&_=2PIPlFUvuLa8vR(>_(9~5|)&BET zUlqJN>qWm42I;0h32Sa1;A@)T0XiTnP*np|lu_6F*M#+TemqZGU0+olJmM!|kPG-1 z;U5jv{CT3Qp{=F=PYD0nd>u{jaL`WBcx@fs|4A8u>HegQ)YJxT1T95sBlYz*84g&X zy-8V9OMCNO|Kzi#j?Ry6(Ez7<-}$7kt*Qaeel{C`)&51C#a@s}r^+JDLO}*6Klq`k z^P_#NKGf3IR@DXK1RkZXsqy0zT}@S;ztaZgb$-%T|G{f@7LS1xs;XKdv#e=-}X zwfXFyl)+w-pOkepf#iX)q^hl_rK!&1y7u?}{Fju$_V6E!*3{Eh)&IMIfSnpYDgV1} z)cesk7Nd23GD8QjTrz4aw4`>KeL#LpTQs=s&gTiHM+cYIg4D&+&kt!K_@>&HP#(wPWWl z1%?EBp1Z`#JKfB+=TWmh=jH2e5*Y5tdUZW5b=5yrEXYwc4ZL_6eEX6kxSOS{kAeR? z7I+z?x+3(EEFBFpls8-Qofzx%;6XLJ;6Tsg=Po;{*_l~<-$-DhcH&(4W$@+GwjLMG zd0qxLu~fDm#n1x%})VG)ImT0xCD9yT(TyF%};?Q z0GB^Wfx!zdf06sx;^iPc5_CqV4l-aj&x!9f0*sH}wqdCJ!Am;Au9bpL$z z|JP~D|FF>@JbN883T@-us-|nJ%V~k=aR1=$wt~6abUW_ewWHZb?an$`IoVj8MYSAp zG(CAzspD18i@ge3+B*%kbPkkwczd22jvtxm?I{fq9#?T`2n~sBxqq`RLpG<@V{toP zAw_0mL&`)dwy!Vt=)A|b3_KrG-c4}KE&J72)0?8!7dy!0{p1x0hC8@zo077U1k!k` zlCUPPva|u$ZgE|^rc+xvcUgFQ?-KJqbxKSu*I&i%ew;b}A^9vupiL1HBY%Hw4rUX(k8yg%TinC2q ziO+2@6Vc&nwSWJc{Zj~xy2dS>2<&P3KB=eN`kYU9i}5H+Y6mClSv%FtAqtm&9DuUgLS zk!yPxE|T{1LW%twMC9JGLwh0ipQ@styAkw|f9Zlvf&9`ns%%=06*2 zU;_Jdtf{j$s{OgMo@JK&7q|UwKI-3$w13zGvnw~;@BX!4dG41oCa5v4U z38K@gm|ct4;Jg#z9yO=k13XuS$Z{OiN_pR)iKJNBX!&J~I_JO$pTTSZk=|qXoRp5( z(J1IDSkS!U+Uz$(%#*7Co8J3Y6tCRik&S|VgAW_!Q}dzf1cIR)5sE&4jleDkweZI- zR1^{1FZ1m;J!gYx&20rDIHzlA4VM%=pUAr$kP8uKL93YzD9Y8N!jIpRiP{ZNB+eNMH!`H97cm9Q8~`Ew zTP%_CExAu=oY~hbBu-~G^FkZy*A|EKhCP|4=JW;YXq6 z@mUPO=9Bpz+(6{W=RetNDgEwHR*mZ zoP)m!#00u}^3E8~xpUC5&h@CE!G zuEeDa3<}ilwi}9FAQBl>+y+yYF3s}jri4_KS**zkfbxFw{R7$67YDV}5+ID%eqAWG zy^m3LLtYC4py+9Mx{h$)Wd>A199@|Y`P@R7lm9+^5aAj`P#+Gwq@e?~W#y6^$-N62 z;|q!4qhN#xB+yl_R@rlMDb|;R0Hn&vTw)7lyhC~yh#T&jzeUU!6PCqt|7FQB0E+(Sp3 z#Q;qA!o_C*d7IY2@!S=1WxWsqT0U96aJQLRjS;dk574gc0kAk8`W*1VTJACc#U@P! zu;-cafWZcsz-K1S)YuIS^oRid^Ifxbbli}!^;0qB#u~Dm;ga}9Z%%v%1i;9fZUM>) z0Cp+J*-6_)8X(+<+Hk#uX4i*6Wxh1<`5Dkb_XbUka(g4T&omAS$0U|acQ>xBFOTbj zD(%zD>(Y<dk984QffAiwOZ$twS(63?a2#D1tv#w|_#L z-m5(oH&Y*%`b4fGlM{d^lG9p-XIG-@;DRkJaQBRj$O)(eHNO+fy@Fj)BVeJ{XlxQ9 zJZ+hfzVBQ74SLJCWJLhuQVP_A^AXgN_gk+iEg?oi(+mvN7ejd_XkFrD_+Cbx`|7|_ zIGmp=ZUj`ik#vQe;)aXMo==Pij%mS9tO-=p`{_(LgV>NnZ5Z&TxH=o5iYB5cQfYzWiXRO%B zH4@%JFcl(Q;eqlBC}e?|4a=>AxB{JLC<=F`%stjQl1QMl?~WaH$vm8tl7s=0u_V_A z#kTZARUIAB_(u#NDO7$J1cX z?iidS3Mi`rgP65yrm0yV)WVdjmuq-DG$t8GA2EiWi8D8u?1W8XM8^#;%o3M`o;gDs zOBW_hW1uNDx@3jX!ZHS0ObQ5FQkD{{08n#fD=Zzj5s&3c^aVH_x`ZRD$ziUw<$-I6 zwCcWPV#_FXg>ojl(O(+6%S}E_V?Hz5@FR_HV~K-Z+;Enmurp`-LVboeg6k6%$> zW;Dc_p)nii4bXNC(CuV(TLo;DYDgZS)F(BKN(%fs>wA4ge&j4NC%Ltl!~V zGA4)a;ScUkT$mx88fuWHG}h%)d4X1-gD!$fRhX2E&8RB+bf{9YBWexQR7{dr;G#6H zX30sPjy7}x01HvfTA?P6Ni+wAqj@+Muw5Ed>ba1Ugtr@(3mn$%MH$gcb@45P&+a93 zX2o4$2Bz!OcBcGFP{u)rL@^alra2MZ-6Sq12qP z^BpXZjPAu;N{k%tW*3kiCTxUYpi-lUZsEF6iz_({3aKnfEG?SycK`^2^0imeC!w~( zay&{KzaCo!N}KkE*X6$d?!g?f_i|7m!BN50WqzlX)J7zCMEOCT(1PaJoL2Nak31Ng z$&f{!#G4EKM{0hKhTFh?=&gdXjcKgo?V`VeoR89rfY$+*AhCY_AzaJ%BU%6eKAog zm6X&nyhi$y;_G=ezgeoK&IW=?SdeBy{17H=hV+clC=p1%Hfs(CFSE*@K6t;5*`vR$ zspt?F7H)f&D~9Vm16m~Sk=wut+*_MM*7D{fGmc$pi{focc*ldb?2AcSK|-<5_$~Ru zV`gIkk`NC)ULIny-W81faIk@&EXT>slp5cf1TofE`7`#bSP}tRJhX9N$bfsp$Wkwc zT>|5{61cvh%V6}a%Sp$6iW^B!1RzUBvvYiiH1_bOZIilm0+GgSagjRhQFCTn(~}eo zs1)$?QTUIMU;*TbKPdGMtDRIPG&HobYaxf~x^Xqk*{EroTl3jaam#Iq5~YYK)ojV3 z&L=U%^`jJb0&AoHX6e!IEK+!C06-CF@(`q?1Ura`1i|Za*Nx-Q#+?G9hL{6o17$6h&y;#y@xNbzZMpK#%voL5DwMUD26b5e zR@F!MA3SUSCvKacYwW)^mxHq-0l+7>>9)BX90J@w|7%M*sILC!mU1;y(<3KNSh$D( z+*JOzjpV?8b`+rkZU#P|kbsMq5P%YoBmIQ;jhYP|2GK3 zKj_F^#pW*`|4V83p(p>&fd5=i{#E|${{{y9qmq1laEmefKU0c&Ka}F%Liu|Cxla76 z0GhfU5{!W#^Mo#l;{Nvr#P?_Y8>R5SRAbYXi%z_m+f)?$@JF9=`_VD8gM-o->PDly zpBj$97d$&lqPqi+5a#0W6GKH+rOg!xo=^~mFwYK_9JOig-F4yuw9(wBKJ7|a&Z5vM z6i_;mI#3Gb&CShOort1WcJV%rM)jUf!>2k9n|P_817M|JsPAVhMZ2L~b0cJ9N^HYE z;CESBKAJXLk;tVGe;StjngRCb(-N3Uz zfL6M%doG`*_SsD#YC8F|3>6Jc1IXg?2-C-73S_r2WQ;?%$^2@Xde)hg=Jf^#M`#^X zF~>lWP`@;(g6_gpf%(MD_9y~-L`o(u4B<@H&}X9q<0KpVMIm0W36K!i=SPzXMMrja zbJ1Qe+;XR?Wz8S-{Kk`Fz6Pj<6QO|^723t>Wg^QKVi1{32C*8lP+)19W%|^`_PJ_l z61tzcNL-&;sZ`-LkrEu4F{oRRk})&01O*=}feEMs+Y`(&2n;?RrI`&J5nzQI;L-E2 z8Iaby#Za)8X zsJ2{%?LJJ3(@8{upLax2OK(hLOB{JGeDe*_iwNg=b^+r$yxo`#Rsh9?;zHm69wn-p zCl-2ivIqM7DkUQ6?uCHQeGc822YR^+a>B!uKeDguOQ8i+u3d{tz6h55qtN`&?JKK6 zpa2%swQ}+#j~FB_Dg&r&L&OW3!1UQ=lGCUOJdYn&2xYR31)WpncpVo!lwTnv#P0>? zOhV+5#e+FM0q=-2sPnJZbofxgZBYtuyoP$bry#j2{WzY29bI#^0k&N7ZvT3>bS|vD z>e~$GyAh!QeJU7AmA zzn*wgq|GvMk2=76)#}iIXugl-g@lCU$x#Xq$huGYKjFd@ejAgnWt%as8@j`XXwt}& z+9q6sy0ukm8($%!-#fJflWTqeVn3l$I#H|TmM0+@6ctli;;!QOXo*(l9+7a--C5c- zB4HBKzc!#YNE_GfS!6%KY7_)9AsT=whM>s4qcqIgn#(N)i7MX$+tq9(fyhd>GFKNB zvTwD!T;8T`g{iCG+2?K|AY;HC-?5V1eSD!uQBhH!?~3!hGUzE06o;52-sN?$M1A7^ zYD5DV6R)v+gg@eJ@&w|b!Dpqb90JC_;xBF(Bym@YHa`c5_S~U7s1bSn8FUntRwf== zJ!{oE4r`0&YhPxp6QUwgNYzUV#IQ9Ql?lX_*;q%UFx?I7GO6oC$Pe(*%3)pJmp@-{Q_V+KI zn8l4KDjJ$yTIE9VOQ6gI?b${2IRsIrczbs7d7kvVVs-@f3!(w08q8;xD1f@uch2a@ z1yR&ayCT;$*T+pF6KfMq-dvgci58*~6ZM{N_7i_0>&6f<)GVq_v6b37vcCCn-{k8x zlC=2a$->EH8e@_QI%gT6OGA+LDSF+^dL5D3Wye?*Wk+IRmh$q_=()KHiPlJz8a4F( zoiN|WYw-$s=(hHR<&QyjmTaG!inXQ?9X`mc)7JqQXWfX>7>uPsHf5Ux6p45+fd4|1 z-Cqiz!szQ&GZNN^_xwIsLSq(>*@I`UEtb4Qk$B8yn$rCg;@)W}-vmSPs=gM`Ik@yg z%5{(axUUVs3GyAL_KL9;dmYmueB-61l{G?(O$4GUcP9I5>^YJoHl@kJ4V@+9%|p$b zjL}=~s$5v4*tFOCrH-cRjiw$v@nQ<&G94=!+pV!IBvjgJo+so7omWhk|-bA4E zRuM9In5n>FF22TlTTj=Bf^^-urne||0|jIm`B08Q-TD)((MGNGrzYud?169bN(cLO z^`8Y&W-|wt8rIgL3Kw8SOvYL@fq8Fo<}on~xQmwoYZn<7bCnIMYaask3&{%57Aor< z+nd+yAB#%O0ldR@iFkzq4es+n?}u}{tWO<0x-tdQ)N4k8lH$C;Hqw`w^{T#qgS;5#PNjt(+~xg}Bi z`Y_8SkxfFL3pHiRsiVmwMlBpX53&mO9mp%q;vC;vdqr&-)92d)L*jr!afc_@RZ96j zF*7qeyxq`vX^gjaTZ=v5Cc8ern7ASyrS}9efv6>`oA731zah-X>c+<8Uzpk-_SltR zPA2dcZh0srkQjZA2hms0Qn)tZ*ir!%t`t#=jRu-!4KJQ6Lo$G z`20!&RhH$<&m{;-FdpK>aVPvLh*lKX;jpPvgt}Z01Ro$5lhwSWKXNXi$>#yLNAd5x zV6mBhtbS67=Rdjao~d1psHv;c?;M%lgU)w@KmqCw%a8yA^21R&>T3>Wv8QvfkoL5N-nCP^2SOKw!i1#WV>}3)h{8h{Qr`uYWC-SEy)oV<^)+a-pZD^~^1~gu7o(c}_vJs{ z{^aUf>>~7LA3>c-Bo4YFi|Spw)M~>?nJN3!v(74Adkz>x#H<03R3dht?mC4EVFIah zQH!9JK!GwmX$$w1XS!?Zsv49V7^jR9#kwnN)<(lumNW!@eM(E*BVlEiD43X-(3a=B z$C^{w{Wxz+U44!Cul$+cN75=s`NN5Wal?0jY_iP&wXZrRqR%!XsKF_NO`3t~ETn-S zKQ|1KhPNauePoZu)xAf7btXg(Q)4(#AV#p(qF0ayY^XJCfygXb1Yj289|Swx@_10# zc!^*94JUxEjDt+=5)-=un9xb9U7`ZLJ5&uN%P;y%XK`?Dtu4RkCe@{|o#)TMa&jnudIH&N(pF<+8}Y) zY*M0N?VkCAc@FCZ5VM-0c2EMVrw8~h4izq9CLwBR8IdIp(JW@SJ2_$)r8C4+WzahF z`Ke51HKDXjZ?px4eDA+Qn*A=fDN0>rD&P^bog{NyT5g6^so2a?{aVfFP%%Y?JRu)c zLNfl9ObB@>YfKyqWcdVZQGnu{Mb(27=_W1|+vuF3;DpQs9Oy39UxS>U6zTi$>pQyO_rcdhc(ND38m^RzUHXq_AO!oCI?dUmmDl zpNzp!)+I$>D=`7n=|oo?n8TnzrRb~6I{a_M3_DEvOx-OGvnyb?Z+*E1mud=ElB?<+ z$s$xS)jyMZQ3a2v&=a#z?(_we@yfxPTJ&+%gD>VsUtgR1uD*nXB+=mj1r2E^=%t0` zmjN;1z<8ZA{IcmzU`opa;DvWMh1sRNG_tY!oQ06ZS6%x`SdqoDy8hMcZ!zSDV-92j zOa~jlu9Ay$XO~8CN^uhvYuQ7gMn=Q)05#x^@Aa*&WT&8GV0(Th0_bf#7YfO55?HnmnoNq_VkxCpt;&o+9eDC21G*rsCp1N<}J{{%R zw{a)7gEc7V6C4bgWerQ&52g=Spv-1a*9`EUJi$s}jPj-eOM>+aRROFQ-c`Ol$Yyki#})dMHtAuKYSH*^ov z=Z*xc&ZbPx`=A%Vp){spoE^+#I=1s37{Stgc*PGm@yGG6;$H#H50?Kdi*8eQqPB7x zUqvvcikOte?vToRjt>y4BGFe@1;Ia&h-X-xmJ@E*;!eynIusvfIo2&NGAAcLf8%u_ zx;m~8OfUCcyUf5)AR_$vdOtt{q!OW(VJt};g!G6Y5{e7W zKA-G+3;c}l`EWK-@SG)>x@Eu*@6xIf!;&?g*4-{nhHi(Xd9&bIyqWNGO)8hpfkuJ@ zER|)Vpqv1wLPVfoH{iW6h4Z6pUJ*%%%Bmc)4reJ+HNwd;{J=C4xqEY?Dwm6qgQy;3^nF#M3+ ziKnn7*A{m5U~{$lpyx#2StlV|%>`v?wTAb?@C9=mZ!I|LKF z2O>Z{nJyWLn&-GN>6TTAM<8MYhQ2uLCEHCgHBD8_x|&>++A*TMlF84Hfx^q2PA`f+ z9T|VEs!|vV6tq$k=ks101FK#4CVUgVPc>=y?9Hy!$YmMzWO0@u$EF_nMDTg@&I^WfGT}k$-`qa&G@*w%)M~TVO zGL6qw)5^T={=3}`oCExK36`t{B_-}nMkU+lwI|73ZuOXb9!lKM+~RKMz2z}nNC@GC zM&yBIXwVLz6H;nKQ5SZAm^c4QVyeQ!WS^(3CA~uFDPxw`V$WqM-&`CO_W#OlUHIu+{r5=>UY30iV0 zVao0jBF|2vnYY%!3uHllqpDgOBkfMk1jeH^=dd_T_tE&?yxp)@M?AO93>q?mGGbLGT&v;Yy8U|+9>9(h6|6#747D!E*V}PTz8$^xXupzVkrg<^+;ebjwZLy+b%lN z>(=R2_ER;wz>$?`yiZ)<4)7w0-A2!gk^P@a?>D>L;fp6zQ3W_eyBy4e_o)QVol7Pa z=FyCQF_w$lGbVC|W7|YiC(fs-WAr*dzW`ayeDZlDU(KN%4Tt?2#h|0B!LKu%e&@=H zz=4_KT3ys-Ib7XFqbmgUHbM)~j9G9e0~)=4?HUxC7ZXTU<3+{X|8fSCg1Xcfh9P?xy#z{1I}+aWWntY z@`PKK1q_)YZT^x5(H)!*=?LU|H47E#{;?SFrkqZ#(Wwa0jZxJeUl`+=fmC zW9k&@4CC;02=ts0*j!GbO~vJgBM4ri4<$)5QO>SV_ks}wrMI${CRK^g%6r~d2`vy3 z9BtPZ)BQ{pPneuA4@$^;7uIhtzJYH0a5a0En1lM=7Alf9R$MumF&v72C*zDhJs-Ul6@9hpAwt2QeQDB5?>` znZ)NX9VMV$^4;w#-M!n#xojwbf%Ukdy}e?B6jM%$vTt&rmLm z2y`$Q`{Ah1q0ehx=k>avr@9uJa`z;bd0|@e&~pDX(7o#$FG{5)FwD~Fr6-4ys=FZ9F%2g{ z2P{tqDjgUd9H5)GvH=5`tU)9!%9b+o0x;lP44M;gU<-B&Xz7hMPFpQ6FEF6#!csK? zeW`>t4X053oOV5Sa$@=Q#8p)!RNBn^%{e6wgQ+=@TW7nB$Nr;x4GKqF$e5@6dDljpPYsQc$ zjxa>qy>;v3R=Y|DbIME+VmbMug-qIFs&!pOgf_8EaKL5H-#1Lsw9X?uJ++gY0I=da`0v3Po)uE{M0bT#!)YLyI zxl33yf0q(|2@x$fyUu1e+ybl+xJ@9Y!SfaC=5W+7=X-&hxz-OoVjBs-fwe#*KugO7 zla<0jI6iD81~cjV*w2qyY{k|997gL@Oha1gL%Rev5ty9`nr=@1Ccu{==z$ZF zh;Q8`nZ%x?a81UyphVznH|L!TBKv%kS^gt4@0Tzi@XONu;C}NQwqmjuZYTatu+&K} zu$Y#?CL=QZ6s~FGqYVY4wDE0sV4iUIU$DTdl*9|>nn=*;?=RomJzI06B!Rs1CYHU+ zf;g#Sr4qBIQ{U)WdH{Y<2D=~pmAGnQq|TU>SW^eQDI^9fXI$;Pk_M9dz>owyc^~b~ z!27uBZ@2r%LnkV`i|^%F^^X zo9(S;iDW6nAPF%@_IV}JJbwVVVAVAwalpz4_C8BSfDjjx z4`r@5E{LcuKx<5(_!Mh> z6!mdC&m*pW#)C`D`unetv-VMXw-E%*(Jlm*7kmQqXCUxKhCBi0y_l9cI$pZaN6(}* zWHi-i5?bq_Ky4)8Gz;>6QIjAFxh^`MVdL7)@rkuMgbJLzwX&WfEJ%OJ$gE< zxmA;Gyjede!)b?!N#4~7ZCm4om6(CYtt>eKB0^Td`HhJ+6-)Br^{_nh2%rfSQ!U2S z*@qHkZf?{%Bt;h7=DYGfSS_Ita{Vxz-jpnJ-H1*(uI;x0zJ9JXrKZtIo;XBI)#uWK zn2G>=ZHH)XHNq`e+Vyd0!(Of!2_$&`q#a}8!8Twp8wJ~BP8tPuS>c+!w!eM~KiB6w zA!NVlp@J5<3+@*)3Z7r?VUa#7B!n^DIQ<@m=vxrcd_h*0LEd3JF$8@ZHim)vhiUG@>U!1j3IIuOU0r)aMcA<@qZpPI66^H;3&&M|h=kJ4z( zv*rTVZ!j>cS1y*32iNK?$)rZT>s2G8O9~j>$yMyz3?b1LE7kkL$u-lt@rd~FP}B@r z1)J2fu5d$??8$=#0kH*z5*y-jcVuKFw~J6UOrBY8ZdfTRExOoais0Q>60Ehb2NBHE zgF0(8TL&?XI}QqZ#ZtzB9$m@-JHv?Iwk{1q(~tOLyM3o>+?tasDbgYv=0?&YN{NC# zPFjXd{<~nYZs=B1;FmPmG$$ocoR+VjD&rNq5AO@bmE#m{yo1FhDd0c=G&ivUCdFfj zBU8t>mc%!^{n}KX&7E6lAswy6E@7c5zf%H4T40@Vrp6sc{7k5??9D#wd|7E}i*^RF ztWiU2vEh&omJ78F?*JlIa}WcVATIZZmi;hD-b{9CucNCYa|N2$9blB-iYEjz%DZ>S zrmDAHzsh%1-E5nAk?KUH=KAx zpEG|<>L&hu@Nq=%*OCot-~hy2qm4m!ril01IJ;*4y=3hk<5>l8-vXm&z@8(DvEj{2 zTV%vm_5gqVl1}BEgc8$99x3~DxJ#8g3!cgThU?+hZ(FC@otJyde>+>XUK))TV!6pHjJr zHr`Q~Jy&XZG&r{)o`kWJ4DWydjiXCa3{9T%=4_QTQ(m zmQHdm^64Jd`O0UY@c8rdcz-46*`W_ckT^35qE9mx7h_ydWks$|FLqI7kl|~I$}~xA z`Aa?XE&aVqsx7jK2A+&CXm+|QI>bTVHi5AW4fc++K@9`tGr6RER2X0I2np0Ssu0&F*rSK&g7DR}7BB_}6V zfc5<}KzmfeE08r@%=Fo@k|z}K{Dm;dZ2~w%_}*Gk=ww3(MKN`|g^ZHF25cz>Ih+7I zLQfjqwQyZCgxFgF%mpoodxQw)?|k_iFq)syHahTB{38uZk+3_?H~^KnCl^;1_WUc#Z)LmO3LV z8={iPwhB4PJ|j|kiNH0q+pb{LZG3A{jXVw{BF9TuxIc^5NsD_UN(`b~b49M{WY_t$ zysjr&9EdGy@o9q%HtW_|=<2vP+bYGd@yCN$ld4n`ve~!=_(@f54KlD&W3dZzce4WF zR-|#Guh9Aofmncgd?0w_N%d59p$bi9S%rq_jgZYwgVt!!T~}6cp$sfHz^{$dW)70* zuoxOMhZbf>s~VMjN-Vzr;ax;heFc4<#H>kGN*>N@4OeJXXGA`$i>3C_GYoUCc|!8i z5}uhd;YHx{np6p^{o1x=fw~dHkZ;!6*{>z7XgLr&>|~>ZU@jbZo|>DmVuH!dcexqS z(P)y4+1ZF7s~1i$oO-QZ*noTw){%CBIOc%p(dP;}X_uRKSf5??Wi8tt<5@o}f4%YS z2cY#(_+Y*=p!(z~+-$&w$#J5&82AP^h7Zf}3y5J4uVA?+ zKFY)dJ%p0+F`ty!AT}nJN?7!`Of<*4pM(5pv_}-M4=ort z(i>O&B3+~F82O(}lPczJ~Jn26r5+g{|gngu;ZnSs#~ zwi#mH%uxAb2nRAm;6h~OMiCM0mrDB7zQ|Q>;}R|b85tQ3cKwUySb<-Iy=1Ul5ApsW zb=@3)3aCpI$Rh%M5xr;MDRbFG3nMRwr^^2iRsRCd_VxdP<7*K?B1zp7mju&`BGj!# zi72`%dZTqIK|9yFwCXOEL}cm`>o(SlvMO!Wb?&!RLSQ*Z6|4H}# z{U5(yED;eM@Avzh^LjmRhX?Jssl7K=o6OvI^Nc~B6V3@&Eyb9mT~!W7{+PAyv!#DB zLs9lR&PKqazxngYvht`KiYZSVsHM)H-0hxK%onut(j*77tedNY^YF)Z1Q^@@J1nsSkhjmJxreB+-6CqWz>ASjFsu7Rs9zo_09urO19gA(~o@RWK)=%u#qEXN9#k zxrN@H8q_>4MheG&-*9lor1)3KYNRY_w_Q@-iKUh!hL3dzh?9ycU5gzM1)ShIPgrK5Vm^ z$)N5QP{hFQzeBY(dv5(}G7T3W&+kAx_To4FTKk|OAL!D`lF?CdtBDdVI>woFy&4(K z4tYrrNp-zX>Lu}Y+hL9X^Py6=sO9w=$AoP!ibOTWGy3^>fR6}@09W^4Q~TeeN@PTP zmHzBb%!nsXs*7@6o&cK)cQu1 zR5}Lp{`#>GDwRRCLi6506Ba8HU?h>Mb`JPJ36*hDs5D-Od=+jaa3nS7;uqNZ0#p8> zJ1Gj{GEKK_no9!Y&AmWf0V5UigM!It+hL?KZh#3`%oQl5V z7(i;XbV;x;HXF8*bl({xQaUS0_VP@bqi#DuQp&gSOEM&eiUR-ImD}h5)fpTy6I>~h zcyNlMq$Q=%8T9i}*!$=~JoD!(Fg2J!-YK1!5#jX1f!UeK2D<&Gh*ZZf(oQy2h|1Yu z=K}<1k_X%jz<*#wT#G_HVP8wS)^pPD>;f@-{FbbrRRg}~hOa|UIJD^?l2ydrj=ZiF zZuYSHFFR;q)nUB5B9aP@xZQjD6rs(5zAmrefvzvftlbn5 zaq^uox`I2jtSKnI|Dsq5g1`Vox5L4ErrE~2_!}q5+OlA!Ae3Ee=~2-(d6>Qj1RZF~ zjkiC5*)SK=j?~wR!sdy}(sXdo1T&_8PS*n9XGRLv*H`n=x6wiDfP|Jqm&%j}UIy~Q zo*>jSh)X!qr`UMPc2EGo>?qhMy+qCye(17qN zXAoQGk)XbK8zud}8I%JFtc*9v0sQYHQrNvSxQ}3#<)B4RB%C%p+g4m$oS2dQgh#-^ zj#~T2{S6%jAC0vieZyR*071tj6qmZ*_F%qMXZ=B6+nS8;)9c}m?rFnX~J_$6&7vy>K! zkK}EaWrJ@;UNtg*Mr44G`xF_8v!S+=k1-u~SPVrbGY%&C9~dm?!WWsdSXudU_;L85 zXuS6#{VPly1&BXIo7L-yETAkvsQ#b$5I|Y~g7)|-gGf^@l%+|gSn^FjN*uyhh%3AY zEv1SBp1Ver+$|a?OGUwe}06hdy!J>dLBDRD5qin zJzu~aU4wG(3jMohE!+Hiu7ii}&xsfpATCaPJ&Q>_-AF4MIew1*B)Dm1w|=ER7-$ok zU%uWfuNOXQy2Z>#_i{B`8fv_}8fu$Gf<`b3CPYU^HL@^w1sdC{08Be)Yhrg7AaKIH z5oqFeOLFVpR>kg?@ZCWG@oc3lnx)4U&sk`wzy9dlRn>AaGVG<%6ygC-XY)J4kGKD@ z78*47_wNE)XK3%KYGfo&CS+ks*%m2tmlX*^*AP0)YY4ONk_*!zAew2hyKD=tDO>a> zv0Br(dPsz~P%QCllYH z(oc~XRR&-Vzbdi69`WN6f0NoQ zl}_QCiXM(cf}_s>&Hr_{ut0V)KvQro-GbgfICx|gT^7202)XN$+ZewTRgt^Sq22~QPMOG5k}Wlzlt z`VFM*g>0F`zJnC!!<2nsV^?+tn}F4w^E$+BK52bZnr282l;Q>!ksxVPbjYp_>6 z)zZtPtKxQ1r~p$6QjyduT7#XzaZv)3QGj?m@mC3Fd>JS(^%fb?1WBe{0zr~s2(!&P z1^S97`w@8l_gs0JB%gpLALhrSyKiBUk_bFE9&y5Vm9pF$cVHdI&Sq!l=ylO@&6;0{lq+BA@Y)~(Tr1Y{qW`{)TJ zj@AV(&m`x(&~27Y67xL0wf zE7+>%jS6>HHedg5&LLDAep}Hu;nF~~xBHOKX35X%W)QSZndI?qDaR65!7&x2(Ix4y z0FQU?nljJQ6fl;t>dL-P^o@BE!xRcbo>*2IBG?*P`{qd4K8c`?@; z+x7)1-M>qStEVvNh?G1-@q$zmOj3)cAdVp6ek??WXn{0>6#l}GcES#B}RPm)r<4f{H{f;2rPc8^{h>7kba+Oc* zuWYb-X48)E^i-?raO1|#dypS2p4e2@Mt`wN3&8+Wg)K6op%kTHr?eoeYHm_R8RZ$F+P1a|de37P=O+vsE^uctL3Y zm|y!WO5EIgCs{7B!M%VUPsDtZ5cq8Ld#(W6M9n+wt?DDuQAch)On;=quPsu&>j6Iw zYZ{5ti#OyeWGTc@5;i=COs{`+8gLe%XA3(ju%iFaoJ^UIP7T&>UMIQdCpQPZOKgXeU@|K^uL-vhr>;y>;CL@{4kv<1*GlOWqc z7*6#>*ZZLtZPyUa`9~hjoixw39l9L+Fh*mm9l%&%HUTvcDIdlnR%<*YGR|WV31<^* zwQM!DOeIAOF*xoMM`>`9I4}Y+(PTItTz6mPlwjpu$H$h__4uYebX|5>^+V=+HGY_= z2NA8jG5FEpi*I%0YWkh<{iKcZQ_({gnjUc<@x!ikc`+Roz(jxq1VI(qem@M%379(> zT7Go+aG_|=c{%WzW<24Rk10@krx+4&(^KpIJJtSb>x=yJaCAgw_2i$vM*9MWD+=b# z;3q%Kn~~;DNY%j2T!}1sb`lfmUdkEo zR=JhFKM^ar?Wv)9PmxD;Ly$)esGs(2hftAJIz)%kAe0Z7gZ$*sq;!NW_ync}uqeR| zo!sWVZf%;Wo0XewS}mJxkJl-V5pwnW1qA|HpfQ$Wi-hHo%1y0fOIgKJNA`4C&@e?; z+iLf}UWINd$t)s1j0u{bpVCbQy!a6#Wc1z6a;>v|pxfVVQ}zLJ2#S~#<0ydwr@-0n zk-7SsNZ6x7{$)OPUyBl`vJ3%6rK4)+7_cv;>Z2;@GRH} zI;>E_=|8x{;}$=NvwF(L^~DRa*NnXNjFCk14%e%Fb_^F$=>9qJQvv<&g6~kDvwMTl z!E)!PFNic5jdJO9=q#u|8tZKI0fW}jXmq~!KF};s4#T3 za+@Jj%UV2$V6S#3rdz##726|!U1rbq48r!=)YSBkVi(yKcWdW^3sNv$U}2i>!c^R~ zlh5~xi|Wqpa6sr=rCuC3xi)=%YHSuYC7M`49f~G>vG+2-2ObCRw*rUrk|}h-xA?&K z%(LQB)^C3Q^en_mxqCTHE5SiIiTX)`WDO-a%IoY3-<}^GHxC#m{sMJzzmUBe!7=i| zbf%8vspne&8vG*hT1;mEa^J7-BG~P5V!YEp;{YD#jE{@iI1tJKp>a-Be1`JFTxqpz z_b8leB;TmvUL-W&2wuLXxSG-K`04=t?IA~Pc~E95@4ehuqbX19UQ@2i<@Ovj z$h$&?=H9uk!F+obJo^pyc9Q+0K4)cI+c6xAB{jAjrGl$ixDXGG-~Z<|;KWcU1XVV{jx!L`O{}Ls$ZJYix-aH z;xI!mq6C}G-eRWGuiGyVAIV3y-mr8Sc*+7iQ~?*}rO-`3N-N{Q26P$T(zzG=NKtj>FvX~ldurt7<7tIgB0})fmliJ-psXUp!j#DG} z;SK3h!b=u~@Xzb27;#Io*DKvTiJRC3zx)R3l(D7}XwgteS6j^~e0Rz-KkaiJ4r?$v zxR#rXNmt>zKuCrE^EiwI+$H|38O2uuetC9^palQ+A%L5Zmx>kK#bs5HcmVD_q!X|+ z*nW2KOYj}gB$QVJcA^)qadtT_O7|0d=dY&*>K;(FbUEoK@UQMSqgWJ4 zboaet_;F;+w+9y6`5}#m{&{zkq;&8(Y>4ol`G|&K+8ooEAX##kcKeqtma-=oF-$<)mB$7fLLsmGV_Cdq@_9vm% zkasjxtMa=Cn4BXb{N%dt5?HLsY7W?f2=&;nWzwt>DAu31660 zy8zlUqv0?E=;8^6B(Ma_DNL=tu#Uw4Y2ggj?pFMF?XYUi)?fwvX?Xfcn;zVC@-?{W z6=yPFkcZ7@6!2iQTvK&$I-*n<9Ai(Z5ecNeZ-+g@oHNLexPSXuuj#MO^doRnS^Ka3 z?K9ACrA!WV7zNIX_bBMoy>`lm`2{SzB zbjt1m#$BOs99=TH*{7bX(zF4oCO{fnPg%F=q=IF^Scl3T?e$7-hnt>E|E04?H>{ho zJ19zMNTRK#Cc-w6HmnGOb$$gBL1)dQa#sMH4-ln)3BMedSS=yc+9L1a-`yqy|10tr zPj+badwQti3=aQ*eJxVlLCn>sC-h)Q2o+i=p#`W&0w~64--$i^+;HIs0q&5)o|#md zR8wn%8~Ji7emH_os9>0$S0{z4!_Bg4(8-i2K|D7@S&l`dhyayYSY4bB&#CB!L4TZyvn{J!BIOCeb;`H z2%%IiHcp$bVQTrw+b*Eant0p3yxM-d&`(pD0L&&4mN-{Gd7l2X3AIskc1Ocifx}%OLR%G!&GVf8F$Ue z^9Vn-CSUh8DP|UTky3e|aw7a|P4JbVP^VO@ejrm;q*6(=90$0O>F88GTGyMf*c7_I?-1GqJ_Or3Rl;HwzxH&tRKK6t+H9z_!Tq{$nm3Id}9AAzbLEjhJrrSd~)O0ZY5F7ryhOGU-J%@-!e0 z@IzN%O@Prf zc5dEY7?eiP?UxNYM@)~HLw;BvXsfM{R94W5l(kstXJ2_~cu|?5udMU; z(v`8&+Vq;irG$@#K@2S72xu@z^7JUr6Mf%uC*Rs*SyLx_86%T?yf zO*=7d0IaFX97>g9yG2RT$!xb)<)GR15yRp)8D{pTbFXm);V66JD11CF7jfs`Mu6aX zx1W~~k;f&V{E?qAdXF|zAwRM4M9h9OlICrl7LO{z^&vkYTbkQ&^A#JXFI}=l;S2jO zCa?il;Y`L$p)TF(ABd%_D<{F$AG{?F;CU}X;3G4hhi*DC^ZqeE+D36SWB3!lj>Cdm z{%qG1r?vKJJdwD{53-%HD2J}@R6!Hj3YOJ|DWkH($-kM^Z+Cu4=v>hd#Pb}l%MhiS@=zOK!Ig_0 zG~P|))%3SbaUd^u4Grb@p+>^TfDbPag0fU}Gv)$5p_kbtxV2lQUQr7fk69z#lP7h5|jC?>T8oZCz^*&5co6AEk(sQvYCuPfz#LM|Ad zeABvM$lPmt0Idp~^gPUY@J(nT*{=x4FTWxMQwO3Mxao|$o)iqI+UyZ#SE5iK`U#~f z63+8Y*FK1&;6yHwBw}nhyVRu_cbWPeubOah#|#NIpaq8d<5BIsit^XGRSTieg zQ*R$hkSwW8TC}o)M-(v0S2N2hpzP%hPTTfM+g?~RWSU%2Q^ENMKr4Yj6R3oq5_G;d zU!HELSv;fzG9zjpoMtLMW-P&3A_d1XqQOYQOIfc}-t6llPZw7v0awXc6Bd{c%oX$kraL<*#)k91;OU+-URxxSTCIeQZHsu(kJ3u@C*9(y2R^88~0lti@h0p z^8uk~vq_!~2k&rHmk3#IXi&JTGrA(0~lyU;I+ky z#bB@~wz2Faoz1)0V1#K8H3b6wmtavhk;DksL8$~PP@_iBYyk^d0zYW1;Ubg$0XP{3rejx2{z12c?@uqqw_jURF zX&D|n^>wb$)S5claLQEQ1m=a>-+sAAoz6w=`Dtous}ORfFnp{eD6`2|_=m5&XAVry zAT4|{jULi($j_KAmJsT{2kmDJ9ta5}@v)&m07p8_WxrK55apW8dHFDw+{nn_cocz+ zSQ-7SFxc0iS)es46AYO!bDkOZM$6iKRK+LF;8YEIbR$k#P{ADNG7$$Wg@>LjEq}T` z*LBOkh5HWqyi}ibCi@fzo+rdaCB8OQLKqA|L(o-HSv%PZU3_P(fI>nsFY zp6x<|O439I-t+1p>0$N?ls&l7O7#(?S=dVF?m%1WUS1b4=j1ysb()p7n&VmT4`@Rx z-FNpUpzSH^q%ghOC3j$dvNMJnrVAN}qYjvCq<)^0&i#`3%%p4#g=o|3%ecP(da{>Q z4tG=0rq=?rbK3E75mlX?FH?#{3t!#e$6=R6HH;+wPZ6Pk-%eF{8BKUOKgJ#@L~;mq zAIL!i7wO&sMiS8*+p*tq*+Zbm6E{Mp5z3=QKj#foWj>lQ{y=!@PV`J_r1z*(HfFVQ zFT1$Rs4UiZF8w297ZFpHVYZXyUXcZmx5dez$0yNL>R$A;&&*19Ykkf-u5%paBr{2YclA zMr5fuxTb(E#Equ1?4rT1l#QoMYUQKUBXD)BGpR~kk@s2b)l~dy zzLyu50||v>JT8mu8m0EuU~2oR7w49Ly}`JTbA~|?8ShZbHRVZ(E-mOOm?34oQ*(O~ zJUvjGrNZ2y3aGVE{Y&JKLEcqtSx)vs{DkOz!p~yFqt4{ZtUApH>HTNOOVQ6GTvXpc zK0Dh}=2Pa`E4jeV3AK5h^iol3zBtW!dM5azXWH|~k>L9y^IKyz9I*KI1H+ccrD}J% z>}5)U{ACu!xZk$74O}e@{*f$AO`y5X(%O`>r~syz8Kh)~i7ugdM#U?olWi%ziyxkm z;he2VR9SXJ7VyK)TGB_5M!2K&1W}z!Lr#jGz(K(aS_aXRRQ%Z1D4cgwWd$9HV_>A1NllNna5^A16ixVLwQL7d;kx z!%H_8jwK1i7@;=vK>QNRV)dWBbjc#4J&8KFva-2V!8dDg`5L98spWjgM5*-!^s#fZ zFZ?vea{}OJcB;&U=-ipUC}o$jrcR*RAt`!3buiA@-gi2u)SkuI!q>EG}mwb2uG zKF7?ey`d*|Jou-(`=0&&H+M2|a&yCNNv#^*N#4<(QrkK4+d3Zq79 z4<{${6ohJ3y$``wc2P2UYE{Gjm0j5t;N0yQ3<=;1{QjYwk_w=B!rp45M{|*E_bl&f zJ>g+PLy<9<#j!^;GFxuyR2Mez4P|>cTgVuiODDAgR{F5!9Ej4t~DVDiXAvIn(Rn zp(4f$I#xF@uN+w+rhtpL z<4HIp&<&K6y^F4k_Q0Kh@vKtzdqkE$8r${kHIL*Z*&~_J9*MyuM*LNzMIN*eZoIwZ zULMn9nK0x6>aM`Aat?+E&~Vr(P4>ESuSBUf-lbTXk(W%C%qj3`!4?diY0b_8v=OlG zO#f%%IWLOmk;yu!Dw^ONV}Pv1VWSybA&yE3U!ADU&td0Q!C~o(6XVH}fHCYdtcq`7 zge(Cq4OlFG1aKd)i1DYuUjrpqo;vXyc@r!XB6R#$lo4{)X0@kUl2I-FH?Z9D!CS)L z$u2jlQgqk3&s^!!z+tAMiVrxzF!7Qj6iucSJBX_X8UfdUV%jdqK;S?k0DB<34gL(S zp8weQzMIWzHWgr3V!T^I`~0;NZ?c26O+7?-gxKkbsL8ips;}O_mNR5Am6;j~!E>*g za}VAOE1Ek2tS)=;LV$?g>n|79_|Km=ZK{KYT83M!b2#9aGR2I11fQ2Or_Zhg1c6?; zL;w@Ee(1&XN`1g7OO|6Cza#WDfZD(>wFj+Cj6qQnAP5_R1TkAT`a&Pd1mr9Hn8bf? z^<(VWoa~SqI~zGsOk zdDj|PSvGyYkFY^b+Hu%AAvBY3I72+Hz&Gpw&c7`DbB00$?IrpWh*%p2bGiuQPP)*% zcqC5dk7AOZBs)g4DL`#8CB_DXe83XS_Leco zhp8hQf=GsAs`9i|X;K;?qZ;cTfSOF1JohM?s&DxkH~4tI<>1*_T)n-Tm2&t4M8#x- zLE4y6{*KRvJeX7f{^<_xXS>-q?opJ9V>)kcBl}Q|2fw6X0!(iGhJ{bQpqEl`yw9o7 z>clqKGbw2&KKU`~s%?mx#23vt3f4z|$7CUbzS+dUdVbui67qb8Lw1o=bvwbJo?7i<%BCh zeSWPfPea`Jq{hS&b*PycfuLqjhcoLmq=#ceZjAT@Jg)G`q;iBq_`m}lCOz_YLohUyPoy=#hJ1`T8cPG(7Hb#!2rx z0uCVTNq9crA}@m}DU;fm^!$=QM&z@6Y4NBm9u}CRZyUAm}4hNR5;i6e+e>tv@39ZigcU zEf!A4k>3Lw)S&inYvb8Z zw*j{&b%vKmwpRi($G=C8U&my3wOXYW#K4UGR9lYUw(x`&#`h|=+QxZ;EjaZf51LJk z94p(3sVf7X=<>#V15U0?hz63na^s_GkXG#C^#5PPX*5}sSIiQ6rM9>;={_!Pnwqw+ z=yzw3-`r!VW2YN}QW*%a9N@g<{@=2_DXn*~uCoXcY0|h&zxEu~ElSMkc z%|LJ+UMXO{RALyBe%o1UOnc}vVEf_)d$4-@V1L9Wy+`)owt2AY&ePX)lfNk|vT0W?$ajJxzTO4UJH=f3!2{1v)H~UNy3MR~MHsmWvwn zvd)#kyr}TFy!RB^`-s5+YUi7mUeekqSSeB>=+tXEJXy|JPbL#S#5Mt^b+ki)NGto{ zAy^Ni`n1A3n(ou_xrRNyTS?bK<^6r~8W&*01A#7_TV*?zt@?4aWbkWB;$V12>jx=)x z`l&*L-3o83U>Zta|8DkNYOJQJsJ9N>5-EY!upQL-a__B?o$Ykty_JPvQn|H8MY;JN zZfhHOMj(}zEKVsnLNiG6MVd%L{|s0Th|g0ie|||og>c4hQjSq{YoQkypq)qagD!0X zi@68nxt9y;-#=^mLMm0&6m5rtv!*N)(W!;r=Op9t%iXl=`#&RN7gp3QMRmayRW&1X zOR~OnQN$&poT)wzp>oGkF;2{NqzbHFvG{(U%TC~JWRC!;g6X+NBhX6ci1hm+Kmr_5 zAXy~ir9~=GBfH2g@CU7W0stHZ%6#&;O|KOGp}`KdU=bgna3UCa?3u>O3O}SUDakP; zdxYA)^%+)r9_bbZHzBcrzsDHer&=$qD9>@R$K^e2XrwbjE5TH?a+z<^$oGLtKD zCkVUGeEV+jF$q!I96huldL}%lkGO@ zhwqpIqn>>JwhNrW;CNq5x57B^$0Q4qib31~Go}4GxV+rVzb)<}^&tUjXjUIK8a$GW zsk&_cC#K4y!M+m)BqkQ(s>Da{#B9t;hkSND_n>eFu8^UoyeCOR_DJOcWy-Prx1r!r z;^td7b3xha?$*|B@!J!mrdTIadeq+AWB?;_X&AXzFZ0y2$(j7X7 zr~__&q4!37+&E8#CqbzeET2-Ku=>H+EUB@?NC zUKdN|9c`%W&?a^oDuWQ!PLHb7PvbM%eew>mvUY3Mh1ikdvnIitRSK8AOGGsj$PDvC z5HKv#uXNT$b3E-bgJqO8-MdoMDA5$4TqwpfGeWWmFQ))^>x)8&AM%zAQ0JGEAJW#> zM;C``-&Ij{Ru8%hhl|rl1$#Ciq|+2N(*V((HtJ;bRZv7affPI#nFE z4#!>AR9E=`~Ri;)%)cwUHNyIqF0lGtf8qIztk(+DOuS0 z>sK{s@3!6{YXP(n^ErIubZV3 z!;75>s`gz=-kcWel_jsZJC?`9&ug@40i&pwLeIWCDS1eyFX_%O`Z~`R=7poFrAjf3 zNeL0lxKI-eJFbJ09?l;t+xVyR;?&es?=;g_hHsch7fdA4Mz(p_j z4cZ(?hVo6BJjDb0TAN;A6}#5SO4l%T&64wUR(8^%lNkMv#dvAJa&amODeDQ^y+VKu zBiJ$c=RB7JI&wek&7FTbO@Rm%Pr*7t@r%drvg@6ML~*uXJGt5X7H|p3)<( zePLnVy59|)qWX9TrnUJ`DJR8AJ@OW#-Z}*}X>MlRdhk5U?xxMr0FMDTK9-g>C8v5p zzVnpnO`dq(v4(FEM@=ffo84#qSK5N9;$vpTYETRhVt@1zso9civ=-T18L1%|CdkSW zN63k25Svaz`L9{1kG2Ok=S$#jhG*^uH;fGG=VtvfT|VzU_iw(WRc;7BV4s~b*kDcy z{6E(vI_Lo1lm>xf128#KvC@v`u#bQfxvSCj@k=6+!^LMyd&v={9?0zZh^{Db!(tGw ztn2Nu{sq04Z`3W^sC%tk;!o9vyv@7s=E;IK=ki%8W@#vQ0B9&?7NER`6q?0vBc%0T^(kndT&``z}U4{GexlZ#*KU z2G_78Hpc_Tv_{9DK_% z1c7aiQubH{9Tzan1!VOXGsDqqyWOjT+(i$cmGKn$856KP01dsN`U@icMH2#dAJ(jy zMRw*zEsl;XU4ScFl4I+8DO0%4mdnrYG*)t;3Q)Z((vUYi;3kdiQx$wuHRUg+Pd9NF zd6_3c8MCdA&=ij)=JAvbS^fy$*WB^P3YQl0jfeL=XPHQouVa2?Ws={mRp|-1+&D zgmVm(Q2DLa|43=nG80x%L4=^ZF3d-x6B<1;WyKHa`N?+ET7~|VN;TH9-QKPur!i47 zga`gATQ;W&JmIQIRo12yy@kbx0lGxw^n2v^d- zCYdRH5p9B;-t7lbPbE809~me!KB8*NsPRp;wN&VHa`sYq*wO1?%&&u?-*bZ!zj-Lo zYG}bt@F&zJQ zHn`&mxyoq;s*!ciMb96kf#EWxJUdDG@J?Zecymh z!INl0tf|0An$Ergs3n`#8#5Mw%+%nTVz>l?^Zbm7IZ&K^dt07a2E0uP`fzm_%%0Cy z4o74B{<6*Lg_89TBjOi0BSbD;nkQi^uPbfSt9TIFONhPtTMA1v-_M7buu|FTK29o^ zRWnxBVcs7jz(-NLXM7e7HWqv?;ua7jhh(PF)R(Ha)1_|=H+o4>vL3Z&0C9L?Xa!Qa z^UD#h%WoabDzgm>)r#Jkf3bfIl@zQbQdu>c$MzYo(x3U|ek@eH|1P3V{@tQJkYMSM z!5?t50i0&m@ADK`8yT;cLBDH-usR*sa$?w_VirP^kv5VYN+wv~LtP<~GS= z>7|xmS`G(BcK$2CM1UWhF|Ht##7O`L;QlmqG}Zo?O#E)YV09gw{`?Brow&O2=s4Vv zm%ftzUk&F~@cbwl& z?XyU&_`>CFfpqtU3Xt?lU7OmR1e+`#N za^veZit!wyWQd~t1+1$`N&^&)k)nla;KmY&k$c`QhJQ&y#_I9I)Ps@af?!y_O^-=1 zh}3rEJ;B)%K~UI+RK-o#AS@j(BlG*d9}y)WxScPNzQMMHNA)(5RzE3H-f;9iY9ILY z#snHk(i``$RO}4=VinN!GH)FqezEaaGP)QJKbpU7;O45MT{8s?6|r}Gis~zB>|bpQ zoDYqL3rDGXU9(DMeOwvu{X21KYZ6&fH@4Iyqi^)LZMBY3_ZB+vwls7mt?8L&p-x|@ z=G2==>h3^H@Hl4&2&J~>^d$DIPMQlf^)3I4PDK(6{eJm^w)1CTa5VTm21c|+uR1{a z*@J|w%!~TJC{V!{c>bDsG*ONyXSnU;Cy*sRJ!_Sfzta5Ws*;PHZjX3(id99H`I27f zy>|!mWI&o>Fk-~MW?)B zwgU-^=`&JY07^Vcl78s3n9I+J3hi=z*fa~;F@c7w&w$RG*UAnB+~bw>w<&oh;~*e}0UZ)Zy4q(&JnFe#*i*x8$n>n;;hV+tSK#B$XoD>_lVUh0-Oe}?zN8S8c zz3+I}&b6&wZeKV@GyCGB!fE{zM0F;8$*q(zcaR0G*WP#p8ZPI1Avr#p4fdn(cFs<+ zvA*$cD;eN}pCxi_g}d`jHz;`x(>;pe*G~Pk8I!T1O4(g<0?bO~^49!T8C0G(OX%_c zvhpiW-ypNra|2SLbtPJZBiZ30`I|wnWuvTDmwwmsoc)IpH-*}uJ~A2lh>nz<1Q496 zT@q6!xP6fQ>8>b5N@Px#)wcLSddl`PY4s7H1hn(nNQ~p*-IUUTrDY8?f=)_ zMBF(cm2m_(grPwkQ(H zj&FK=|4Ly=#bRhEB}-*KBqR#=o&6vC9 zGsa!;BLF+7e)7G8nkrS;mry>`s&sc(iz*Q7@y|_@pD}K2*V8*l0x*+*j}&MRp$X_V zOUPb5w2s4)*y^TcHqJ#86dOWID6sJHpP_^A?PfY zKXk}e(|WxlQXXB9YSpJ2C-Dp)>!5_`!eC&&D2Y@^W9Ar$GCBh{lCC2+*!%wNtny8f zuhgBFbP~@L`4{s#Fw(Zrmg&>@v3@Q)%cc!?4>U^^0q%sd04W_CVds4D>G&mU~YJvo`lPiNAk6Z$T$Gy>uJ z0ej`Jzy8JH2OrWeFv4T)NAUx;xOfHv0Evyj3s1ua;(g#ss>~k3tj%k*TeXxCu%(td zfqVnS(Oqb)c6biSt~NX5-9MpHm8&W#LA#9)pC;YVjc8FJx9jX(=~YOl>a9JgtCYq$LK z?^=(us9$z~Qtfw{Jt1m?GoKsq?Q`+!>9F6VuD!=Y%d{I4OxaA8OGCZlDTJ+vBJs)*i zFypb*dzOKYR@jS@U3&7(pI%oa)hY{8*yU3Gb<6iYD0|x$AKsN+?rQ5a)!=D-oSp}3 z;UYD}P;zZoNU`Qnf0JZhqYkZX%?($4#9$<^tv>Toxed2dCZJdE7x+F_ia{2s8>ggp zgu3yh;MIcFLQn4PK3-yjHB5aErk)|$UAg5QmaGocnD1*63vkv?RI+HO5K+wpzyU@~ zaAgu%CMdP@h8CUGRVD1A=w&CRjnuUadnR55x`H8fa0N} zh8;TJr)uw;=K?8^GBp;Gc5FtsiQiNUIg0vQoBkgM*P2^jZ}#? z{1ag3DI!glrc6Spiq>yCuVdd7_;%B8`u65so_`T&+)E+momaU5&huM5vl<@qkRGtL z#e@5KX*oy$uNuhaG#23niU1@qchc(6V4vGZ1nKu#DbL7AzdJ*RU_6_Vlm9>F-U2GF zEn62RIKjPe_W%XK-GT(S1c$=iHCUiAYu!oGk8@B-vO9c|b7zSk-6+VY38?N^ z@&MAmtFx)ZkrOxw@WeGxS*?}<-2~*WE|kA9h0L3;;sZD~IM4*cZ6MoWFB=;*?S8N? z!URDHwn-Sm0Lpnlk_bIdq95>7!-%>8Kn&&S@{>%J6MvxXM0L_w`$cz(4f3D}QV1FK zI|FLy1F#~y46g{YmWgl*HtL)l2jN4LF)FFRLt%;lTdELpbTR(MfOAzn?q6XT;fwn!MagQbn*AyqZt`!&269d4! z>-gf9T$Px1mdRt37=I&(`*T!7G?n`d1Q z07-rLo=lWu)1Y}I-)^N8EL0Ig2!b?WU@3f!;79$wNayVc_)b8NAC7=IX>07DjSn-T z8mc$I!?A*mTMhinM0%8H5~gO(TSYx+r+dZy8&)=G@QFZl{BB7JDJgCekWtA$*q&Df z%5bj2$VMDC`6itFw|H(;C6C;mrG-UeVtK_q3Cp7V+SH>mh->i;6Q8;afI#-u{(zPM zgKg`bO=7q7U>96FY@Y^&kMj>FZ_EkHf*gTr3J|0r7lU8+oKhNvKYNRmD?N>STOKRHY)0hL47hpp2oVqrsK} zKAFf>kfb6t4?D~Y^_ye^JJTCA; zPJ-%n%C#n$O*npx8Gz(e;7S70L-BCQW%?Ay)e4H!JKmSp7(w3jq8srhZNZL z4G#u>7}}X}$8;gb@Xd~)di*!0^6S1z|K;}Y-HEyMOW6q z1=#)U-vnR4`Sj2UvS8DIq3LAU3ces}3z-7lNkY}z9FQB*x*_0rZyQ+ot6i_%`+@j~ zh`R8?h5eo71+UA?_=vexfvBqYiAMHYCu*7OfvB%W(>m@#0cwrkTNU~c-A5mWJNxnC z_A=3J4&L?Pd@#EX;dIKGKiukM;p8&__+$%|3(y@xs9#J*ny!B0gI|2l$))@-4=-;4u10Dn^d^W?EK zwOOKbm_*=j#}{yYas0rwr!)y#ZcWHTMM`1G3G}Wis9d%tE?>haW8}zzf>P29Q8H*+ z*c?|EzFq2dUX&W3Z2|~Dnb*kyhDszW>TeS7G4Peb!l~7+ki53Q*QHkIc^9p{Dx{Vq z4I!h4HwS<=SENoLLDcGu2>K`CAhv8K9NK$Vp&2JlV+3_sVaSfJ5@ zD`jWhF~;JKFTwpo*e3}CkoyO@yCT_zZndngg*ljMwaSf=$tYl=V6K>su3`=Q{&R_4 zjb4Xb=O&~3AU&rP9>o;kG-CYll0V%RG*sbK>m|yOTmwjXF`QtK- zgcYVIP!ll7{o_u=?*f6^wuAFlAky#f>~Psc-}8I}{^S>|ye9%~+x0iT6Q2zLdWcb7 z?0{lXv?x;o>LXP@Ioz-=x!AB^iZ!6b-M=ufyr3b82}DE5S1Qj(a}NYBtzK2 z)wT||LA88u0pG$G4VdlT0zh5Y0d`r0^h!I3`IL)De`~85i|$zvOI4B0bcq0lFN(Ckpe^ zATvt<3rL1wghc?5ODxN|uRioC=g8Yl0vteBD1u01>*%fXAuhba-!7@IoOhsF6KKT| z%#fXl?agm(rLa)7QtxL&UC5J-ZxD0>&b0T~OJVmJo5b2P5SCEb!otfY7uZ(A{F7J1 zlqIvfl>wQ9yTAD?BngL~DXF9da;9Dz-Nyu&#GXQZfxN*6z|abmqTL0a0sZ#(=XJ** z2-c*^q_F|jz7uT6K*R!|kRUMWfE@jtu*WH*A8-iN-OAxs6ZAY63CboC{N1T75D}}XGHwH#4}<}kp4X19n6;1d{u|N; z3h0n3sfSRAgNlQa6!Iz*=w||IzU#n^N&q_ALto89TSsAGL4MC^lJ@VGC!iwS6*J-? z(NKuWLxKdHm4{$sT2n7QAnhLvKI~%2RvafpfMpc8&%mMh;}}aKh_=6mcIDSpoG$_@ zKwlhX4ZFGC1J`V-YJstD{TqOH&Bwbt#0Xr}4)K41`}jykxSM7mm}WqU34>8HVJ1?- zWL&REo&Y#6YXP)KP|%r`?gTEnNQu*pIZKW6 z*`1MSSz3DFY>L0x1yG>?KX1AHklbZ{gnnn+I4;H)r1FG%4OIkZ1OecQh+}&|z^8(# z?i<4nA9gJa7QYX=#Z}qg_B}jXcLIE+=5-3#{aQWC+?~_G#T_gV2BY>~ZMbi1FTHPD ziz6`(04@RZ9q5Mved#bipxRui3m1m{yPFEw&ahi)DRLJto4EzE<@orxX7>=0M*r^} z_hBHf!{xJXV@2cXfZ`Hz+v4qAuhPTa!-Ibzx<08Y=w4jbKWd$kJIk3{k>pYO;nuNC)(NeE`Z}0lvylZA!&I}PrUU!;M2n5O* znjR`RfTX?)aKc1v4KA{L)C}PP6|KdP{1~_Ap&Yk@vXz^Zlss%)f!Y}!QcQ5^`D3UQ z$oL9D0g*T10YisbvOWaB;B3VKPJ(_{g)||nKUh_ZzTtQdbpR3Fy-n*kAQb?M{D1%u zs+NXSH4lKIQyi_*4WF7Mf#vBO3AG5-2JNze3M88@Kj{}h_3^k0&;u<@?Y9n6k<%rr z!K{+D#4$nz0pw%Cm#Y9anJY>-)h1CWQ%))ch?UQ0rzEMe(3)qZP%+yJ(?OoUO(g6k z5>Ss$OwNMjb$4qU76u&g0!5WT)@-A%TNb>t!c_Pa>o?&WP``yro6Usj@U&CoqX*6o z!4~Ro0uYRQNmo=MR0t4Pk$M4l4M?C&yh;f$o#4_jd%XNAbe6-BbobCA>9F7QVqN30KCgRI3x5c8F0AxWC6C% z(OmspGSkV1uX)jHV1TrNveMtTqw;p)F(8b{KhI_#_I5-!9!5L|hk-VSNMm&$br=0K zwaNq9hmz(~4025PkGZutVcoGIIQ4iAkn%F>!Ie-M_031>yTD&gPD`S$&7#fecY75G z6bP)Q+UV)1GLWu+WOqeQ9OUx>P&j~dDf{1O48Q#yAg(9Emly}mV7oD>$GIe<;()`T z({7vWNy&s4HGhLu7FI?EY6X$evb%3KXJxO!${+`j0|0wP4LDjrf_jz7g{5~bA`)ZZ z0Sk{JgwV01S7{p=3lPup6{%>uY7dLXZDDo?O z2&kY0zApDTI|GN1ML3RrC;K><8nN~U7BO1JUMh` zx#P-JVY=72>%5E-Xq{kZI%b=c9 z2rCD^&Z~kpx*xuOz+j=Xi3S|HRF#*FjbVMK=&53RT3VWfHimjHnvFXS@0Vh_ED<1` zhS7kkb*B;H{F!Jx^x-Zllmy{R8qXCo21D7NzzqvM{q49uw& zg`rXdz9Xv8BWj?9?gcH+ZRZJU96s#QvIo*R=gdbbQCcpJmhiIr!8J+Yl%hieko?2E zdB`Aia5-`Xc>un9UBZi3wm?d;+N;=^1J%}m@DF|gC=3IH{kqba#mo?z{YZPA3Z*gy>+RJ0@j zys&MB=IHU|xxNos?(bB{M@z`(ls$Q5@LlgKrDq{CHyE!ZhtCm_cdlT$P7LjAjXZyrkIm7Y!E{I+Tt)Vm42@#pn~ z-5u6V>I1T9<#)h`dq6nb^M+hH^iJ1{hnDM8*&kUcSMNIZtOnREY291Mn5=mvuAO0Tb`4CE~_01%HaXV-v& zRVs8}0O!n`KODeCYYPRaEM;DsTzdyDAH6>WazhX0{eUFJVu5?Q!l9lRl$bwy03|rW z&p+r>dx%@TOAk+y0bU>|zX2Ev1DBf!d}-qYXvZ7vkn5{%G_jXYkFvbt>_1@zd-BryP3z%ni?M7m%{Pxedy01pd4mDDxn~u_<^DaQ^59Ya)jD;*w@hYHI3Jwq};1?^&SD-F{z4${u27r{Ko*`@O})9&;*LI9dT8f(tCj1x!K%cP}k1U|tN_};5BqM(5Bq`oe1-SOh;YW9P zk3^`tZlSIHBJz{#JT?g&epD#S06doT3JL(eXLhe}m2H2Ei>6YU4c+3*mAyl}%gzex zz-c?^-e-U!5)*a>l83Y*OpXWU0_d+Dfq|Fe9r5ilI6y@+&8n34@3-rL?N&%ifek{` zL%XSAs$nXV`)1I9o+Gnmlw0sQ$uhF&k7exGo^V&&OvQ#CY(Ma&HzXK6tVu<|KeH!r8Idf3h3(mBPg_}pxu*)qVb1+EUqvLYMtU&ib==C!bTb7r^8OL_Vc@_OfjdpR|DTKlc+%FuHwHEh)Dh-X^4NDF23U2 zu|^l03I9Yiy!CxqC+=nYmEc-SvX&VgGJzJA47GqN^kI2EXHrp5!Hyom;rt^3{e2G~ z1eAx1?@!{%{qKt>*B|1^{fBf4{0C(dxXQl}&8PoUG+$oAy+-|q^M4rlFn``Z&7SAq zpFQ^{NH8&KRNk-E&C5P z=TB<+pHBY2Z4=KQljj2eFYFTUACv#TFZx{Izu2V5d(8iM=l^Zw!@d8z-s1xQFZ3SY zAJc!z`*+Fb1)l%sWFL6*e=7W3;J@fT#+<<)%RU4iaza@J3yKkQ$GG%ML2dG;8s~DMQS8~Am2p4px(t}T zQkRCI_)!hqieaakOB*6k(&LIDoOtGqf$OtJ~&7iML4b#lgJj(bnB zPfOAYDXc!B`p7~_g@--&lM4DZ8#IeFYrJl7DUKl?2=Vt19E;w-?z;e7b#RJ|Df}vi zw-~@mcPS=W4Eynq-NnD%y5IT;%>SoN`M_+ugeU(jxUv1^RY(aG9yIFJ5;ZQ}y}w{82o zVF%)of8VwV{;>dDfIIhJVFwowB>czJ+rxGKg?jsod1EZg=mvJXF9A(h*3mN^MYA4s zh{Qj(_dv+ue=>D;AZ>}gWrYpPekJPzz6m6 zcW0N2>)$td+<$lV|11*V|KR-pwMcON)#5dBoSM)@If&b$7Acz6|C8XH>-V2MEC<)$ zBXcgUe_z>h|G(t?b8-Du(-!*~z)2wfBNg#`=)(g1$=GoH`#O^Q@4o;413HrHFFJC4 z`k!fo-yn{qD10(Yv$9`PDNB(62 zxc?X0@b3}8|NYpHi~BFy5Ru@Y`3S#9{&o8Q3w6kA`rnB;F8)7B0Pslwmk3CuIk{>& zL(G2X+B|K{EHvb#fZO-i6dhpm{wqbN&nasUakuy*K_{*Cx2J~xGg+ta=wWY9{qSJ; z_naIT_g_?@cly2|nt_rXOk5%Q3EgMB_lu3sXFXcX71EPr-kpclQom$CBOG$oh}g0m zy62Acs6U6B0nD{kR0!9XL>D~^+sjGje%;9tS_`djj z(Rj16{?;L*Eq}iR)5z+=an5zh|Ly*LFM=GWQCwuK%c+7-5_x6-ANS^CqsWjak$HSd z4ozYGzt}$5^BZ1G!m4f(TI~ay-GlOavDL(V&=h>oo)FSXCxpBKTAT20led_@89&#P z^?bc3;ViwXR+JTzXWnt2q@i(l11)Xo@+j(PovJ#1|7xN~d3Nx&>eU)+KFB&rs87N%nDw!&9ZOv*XS5Tq#Szo=8h1XXS&rB^N=N{ zU5bo!{zhMK$AyEso&~4ndxU!wY$S4VT)$sa+fS{=LXFz-h$wMM2>tJ5rB+Av8o3?O@?`tYi6*Zhby>L(Fa!-a z+g&!U>A?8T85yu}OtyZb7(4rhvcQVy0m2(^!Q{FUj6TO+_siop=0dKal!V^Q!V zHrembkc_Rs)YD~G!5Vgij9a=5btVpBa4@wIqNQtX`J`Q2Y7mxauR)r1f0lii*|@zI z5!hcW=pP21P97m+XxJ*f2$X&=FnNuHoS}g-rsTgQZ`M<MMpe2RDxWI%%D+CFZjX zJF%Vtxx2tM_S~ce%jjCi9`40YA-(SrhaNW{PSH(>u{+Ak|KD^3*+%A$IB|3J8(I2abPewIu*Bt?kIXEINFKO zzRVqdt+s;BhxK!)yk3>dlgPF>EL%u#yUvccy~z(*dN+7`MNVGIV#8hwQnv;-!(VjL zitZ{?k2{22-a)^9b?MA@r{6y)fZL{6Tg9V0^Tcky;hqYtP)+mAN0(l+SuIxJL;EZ? z$kPJ4%Q3mdc8B*N>tvXWF8fu`?w=x_xKSt&SL^phuwhnDcaQs{dVSwHJEmj#ThQrk z+*iGf{kr)>?GH$z(^Ved!X?#TfojqH76f&=Hkm3f6fn>*YC5w<^PAVLTqq$E@BU`E~>iWca_grG;JPYG(#6KN=`9-X+GN)6vi1J0Wy%#5FmNc_!M>Y%L572Wc{V}P+ z9;!;`p1yZXnsB^z8bnK%^D}`9q f{XLiJP2jhU{r+gyUb-~`WUk@utL;VM6upa& zlU!RwuiN&~{OAuzPZ2b~KAtf{ETY?bfoXlUq2TSYAd&LkRkTOeEnvxP>_kk*pMwml z0t-{Mv|AfM#82z&+BAt&M+&@R;5-H)-;qbQhWmVvEw@b~nW%or#mq*)0v}ja>0yW> z`v#U@O=>_PWzZJPV+9#^iuT#R$XsLzt>oq5rz-YFvoak&8zw(_yKQjj&NpGMn{2|( zP65Rewb?^9Q$a%h`Yu=SN^)^M!WF}Gd{4^X-P1uJr6YeR*!l&u<5|1v44a$ZoE>Pk zGd0eQx1rw3_g9wTNOXjU%+Jgp#⋘rDc85v7tYRZ&8e}3d#shaghDL@Lq9Q$7n3Z zmdzM*6-A7kc-l9oy?*nPCJQ>=BK(sTCB85@^i3|rk6^^i;6)z#GA8R7X(>gD zHwI=lNA5{$yrcY=)p}CAVGQ@uF8An~xWZEOW_>~@0jnB!`zLApT}}l{bO?i`44k;9 zf~b}IW&}^T;ePDM4`GBoYd zE-u(#TXK7JvZhG!VsqD2E#Z+jXYs~{N%&jy7Z!+*X#_rA=cCYY@H+c5)Lo&$Rk%20 z(>41Fd=69Gr(Cn!y0|RWYJF<~x0U>U!qH^BRXSTyi_w9-U8g@M#_u9~H`)6M{eJpP z=rY#cqw-g9thU@5E#)G^ZWqmxt!76*@r#I>Sf~Oo!QQDOd4ge--}N68+gj14eFgdp&LLb+KilR+^>DX*bS}tCG%+7$TV)(Us}y)hKm$<{ebmx^wFZ7B}?Ndx>2MPfStr5*C7cn_KA-81`GdR{TC z0E9JKq+ccG)--#se82dDN!mOmym@pm$)L7Q+cuyWi@Ce zuC=@7o{TGWPfq-t#p%d#iabMwvsPt7mn2wHOv^y(ato2Mz0N?4^R2hd3-&SiA(6*B z6wv4&ICv!NpQTwf5MMez4WXADX360_(wUh3{tO8(Wc9{6=Rade zU^bEcnAdyUJd9I$U%?OhFvcETyZ24W^@NUIEtZ7>f2x~2R#JbfQD~0Cc+)zCNk1uw zKzUUtzIJTVZ}aK)H0^jtE}M>>_4IuaW?9GJry24k22JEzGgO<_g>g*vtBZ?4_GBgP z<~`i8QB1gxX6_^gbFXZOapiic@N^Fs`K%{eq%b(!{^4R?0*|+|g(6FeTNO<=n!Tc0wl&rIf!GL4|T#CcMwM*lhnX zDS^N2S(&3lCilzcBiV;jQs{xnxY=_ay2e*2vq4fuL_${;9#fJ>-DWo}dWwFZO0-bM z4K1{d@SE@BJkc)XE~e?@*e<@+o4B+m20G*@vFI9u?vb1J@d&N%Q%6YM$)NSu*52C) zZ?cc5Fs#T1{D(yy>DIA1_@#(~B;OvaH{88=_N>?8nYZZr4p&ibM2`29EW55$eI=wV zNxQ(Wag2WSU1%RA^p}Qwq(%Z^<8XEnb-u-BJ24+sQ73C;s|{{ye_eji9d%Z8Uki&h zA=Dw??q^bd!%j?SIgl*ATr&Hr4`d{AUq#U5Y3Xm!J{Hk#bhK>P31W-!5L3RF$(c*X z%C;9qcT4hCxf`qr8saneuksd|Y$fu0r91NM_2p72JkeH>5qx=k1UGH=({G-#Valb~ z+7sDyU%m}eWDe&q4F4s-o=XnbcKZMsuX&))M0tq<16 zQ?1EDtK6KZ#EdK{qg-35oW`|t8C0yN>k-*?Jsi&(7_%y$+F_Q5%=`XAm=gGN~V<-j>^Zdm(;VYG=^C^?P$O5aqFUOU=jdKJ}RFjJS%bI>V zH*J5|FSC7}H*d$T6RIH{Q_RGTmrLMQvd<7ETSbd;Lv zbQhAqOz$!GkaVNY6~qYiqp8vfW$3nWXYN3I&#msQXaX1AV6$*mU32rKc<0UatYUiq z8sLcWAIR`Hm^ColH8U;{Oegx(FqT}$lk*umOD_)?Dt*KxSx?6XGrZiGBAe(d{7{4$ zl(oD$P8QgATvOjiF+D8nXlGyalU*SCMb5i>#76}IpA%zR@jMy)vZSP(;VYmOa3`aF z*1j82eu;$XI2b{~O4lpbikojlO@`wQxlk`0)jxumN(Ua>RDScxp(51Sl80V456xAo z`DU~cQn$@8w7lW%nIu~hYh1+3J)X|bW?_Z+Q)+Ez{L0+L2yvXq1YtDOU+DJ4lXRW2 zJLTuxOkrm<&>^6$Dg10o&SF%pkPn(Hax|$Tv5&g{*kNrH`1Xn&and%Zt5x2yMYS7@ z7WOobSc;{|zO+rSqfFBA+k2u}hcDmq7$dE((o-xsM?IcBGG|$+*d@|G^`%L2?3&fA zX9p2DXD3`!LZ6%d;>n!&vM2=lKpFKUPd5y`{On7RS$FmV&|5+b)o{9RJt1lyW8iX} zfL;tx>`=y2WXl#GEO|nxk)^I6ALfbso%mZwk+1n1<;B!AG^-T(q!v+;X<-y@b6mjWSP;e zz=Z{h3ZzYRLB~9tQHAcaPZ+uhEZ-B*Dl}qJnsJ=YxU*@7S&PtrLZ+(Vuzedp+@VbM zBMzN9^GQz=^q#^*!bI*UoN7Ab`unf#SorZ^bEW$D{_M|JUP;lK0lopQmXs4JZ22nuDP^p2k}mVd^zh7|3lin~&G>8Kp#=NZp8bgj45X-CDG1K^ zi%K!CD5<#3H>IT=uzRDNA-ZDc!Rn$CKAl37tn1O^eTs;l;UthBD}fkcBSwBh^15Zh zN9f&6S)Jy4n8mQ!=+P0nu|l~ex;L{-#M!NH?2F!+IG3m0bf5}QV5RgkwTWj zYqk*tosVaD0Y|1Y6ahShVm(c&&NcWt>7h4v-v=l;Y}Ze$IK`+mk}(Fp#m9r-(8^qH ziGm!}6PREA`bpX4FMw`CFt2)H$wjzLz7w6gSmI4SY+8HrC`*^;o8*_{e4mgpw_y>D zKDq=1B!i@JXgvM;KA(2zRzw`3^X7cfD|HN)OoWFduNt5fo#;tq6lWD}uzios z$iR=5bRwWPS{;$=-hQ5HZRi)yMfEf~17zicjk^h?j!E2`>Xk`%obO4%6jec>U(!41-^D_)DNtd z*}m?sifLlQEalyDL3ngWB0rvmBYiiXg^uFbm7R}KuifNZ+UrK+Ff)0V`D5EDQRJ04 zrNx{oNY}b)fea%`_I*lIZtf$r1$pEbFSV>Y&8c!#V&uT*mT;P{`5wv6pR-P=UVyiB z;B+2?Y7;C~ZX)CKcVczR^+c~cr%+VY6XYGbJl^I?{Mt*b)M0%iLcuWnHn22jTJk8* zp7~V%J#mkJtLSkMF~ao1&OMPJeod{b(4vMHI&{^bk!zuXA;NE){cW8*qNoGOb^_A)#4pzoqZ*=}7QDU#JyCg~l?&>5O4O!zxyvnk_0d zSl#_1m8YWBIYas=a+XsvA?qu)ESR=ghd3Sm^CaWtH;SvcDnzCqNn;nwBySp(UkGW` zdGLo)90^)-o)FD8l)ZgXNqxiN+k}E*b0-n_{>cUPP3`Xe0*C-hZEb0x$mZz++LNuW za{fuqz9w;aP1*ZiFru;kTUO7z5|Vqk@=%dNFY^pWjU}ejUp?Qtp7}lZOT&{Ev@ka2 zww$fc&dkR!dhWs47%tAJ#3D!5;Ez&3JifeuK(=e6+J8noI2>61j3ez`oXR>h-;(pG z*#2prh*Up4r~!;*YGHTlJ}eckOz+s{f5nPj-E)mO(YP?dfVg?3AsALN;ClHMJd%y; zy1*;eE-AEq0=N>h3BrouAS9mfGs=)VBoX#a_nBJ?(5g->w&6b^Q*d-< z2%x!WQq61iX^uL7xZUVLfDbGf-{d|N7l%q_70ygCN^uhS$z3_M6O#s$Ow3<*sixYriRy5BVLeF!w4SIc-Ztw^EEZ<>VkI35d?7y?5KE*`Q(MA3eJ~c5@!peI;*Q~xh-?dygGR2)eTV@zJYMV zG>*j0x=zS8U0I42sQW5PIBBb&$!xv3+yUIi8vCx?D9ksekH~YeAmNU9%-egtTQAQ>5r;UP>U-3HZ?^#6cFokR z1Dk~mlO9VY#29@Ugu&q6PmasEJ9Io?H4O9b`|^oL>w1x`mJnJ?R<^tJ21WbpE>8+( zBMzmX)o1SwGoBY^XD8(6qdl!Ci>aY)m>>AUDR9TftD11c$)GcJE4};dc+a-jQ#wiV zELlcfko_!Z7v~}0xEzLiOLM8mBP(utwbTNn1nfSFH**iLzFf)jP2!DiRW|P@Nd7i) z;^j0DI=Vh#SOV7S)P;1+R=uG)B^+vx|b1liY>VQ(^$hXEDWCxGT~-4_TnrKnTtONHrhEsFFS+39knSE z?W2-#qm0Q0N80BNu!j|WSC@3PpEG_8x_wF9qOBD90V!GIcJ6s>^6t=jmYs(uM{s=T z;qZhisE#V2w2{S@0gt5Dw=1@SVi{f5(+WW!q^-6~eE!jyy3XdCEvKA_yYqmjy}Ab^ ze>iYw0&RJu{^{D2J~U#wQHJOgPe!~XRdI?r3jxI9?9~I~nJW}fp1`}9aKf^AsUL=9 zMQ1HCA;kweH~!Aw z6LBQHA}&UgB+eugd&!a90Cz>8r4orH@}4zba_C5*?D}Ta7bZ<1>?wU~zaU07`*0IHY_nalQE|lY5JJhux z6uL8Ql2DGJSjt+NF7{?`M^TTZ=Y6Jsy)9zfeERJR7wOuwF%rh_wp^6UicGj-f;lQR zYiv2?4SWzB@rZ3>C8pri74Cl12o8Gp@zk_7>rx$`>GM4qm((c2;{nc9L3n(T~k4FI__WHS{%x z?3+Pxv0$D}+LB>p1Cb7OH&Bwu70Ct>vhLTjvi8#0Hm!qqqEukB*MvVM@6EM`tz$de zo^l88kwzMH;k7SYyl=7Ksz*XJT6`qa?WwaVP~b?^%jTQib`OAWCcVv`ctf?=L&?(_ z2qt$R56b6BdK0_0fo?mb^hIxuyp97mrbD}enf?~(ikcM;Ci@MNDpVIr62ge`wrPD@ zL~_7P?G<=p&|2G7Z(ab$#@$BEz;`Gx%U@n=>H;3an4u5%W<4niIkvocb1>&8lbyl( z&zu&VUd!Ty^RQ@AJ*k01|9d6DIyuJa2=@n?x{=t?1+^s6W&xZcJz+~6{#@e z%$(ZyYkx*N@N1lSGqp29Rwl@Pf%nr{T5GDynByhM1!?%UHTDP6C*3HzF8HD;Sa48D zXadGQ&HE=9H5H4s&JHQBJzqZSeJRU%6*PoZbakv!tX5T~+N85##Esr%hI%}FWaze3 z$h6ZT;t^K*JxVi;FWX?Q#){ec5tU>H3J>Tx4x<^M6&93PAuusJvRS3PFm;et&r9Vg zJPG$R?A)P<`-%M6+=90QJ{=9#p@>+Dn!~LnmY1&c+&{G_jzVuQAZ^=vNCL>v9hJZ`BMM)G1|`cJ*8-?D(ji^b1S>aYHB&KFX$BcrgR?i%r}PBQMgx+wlR;dnKT zC$EWKlfb3uT3eL%DIndj`>LpHv+wFnnR=bhHvkfsxhaB|&@rEBv{kQ>&>kl%UYT{!?rz*O=TGus;Aj=c zCZ>2dVpFn`XT+xs`{Ho<(AanH2nk2p)3vpx?V}z)s#)+$m zTEDCt$jiN8{8v49v|o^NqYGm=``g&VkKY_?gWUDa{7=%*Iy3vThip6u4a8|rE&{a8 zeLZ8H3xi0E@hQan#tc)LoA*2CD4<$QbAeK)`>Xpg4UAk!S-#mVSaP>9Jysp<6Raq2 zT_Zsgl!5oSbdmbxYf6c0gyr(3P*9WXTofsZs*#Ty8ZN@h?p$$& z9^HhjS}tmKWiyNLCSU(LsDG1v%D+vfFw8o)`HQz}75QWVByIRCYN##A>wJ`cMteSi zuD2cE4S(S_MUqIOfL^{MmN}14;qGJkl)8tb2EXw0m0zM|vEBy<~PQZPGN z6eJaO$5qr^Zk^h75(K-sxR9vDc!FtQLkQ9mbF*>-K;C zP)J_#{L!MhCbpc7AKM2x=22(rOtkDvYzFJS>eXM}5mF*e7K|Egah1-LvxMRUgerpx znx-;gGQRVUH;dZ_ukL;3rgpI~^`>Jjo@gje$s6Hvrn=NIMWi0X$>0rWh$S8#Y`jQK#n_oIXZKhF zxEksKN6wbE_MgSZ;MdokjD+j8rxlJUb(UF81Ma^r5X$3a2;L?W1U0Qq-97q&`0Dz2 zplRt5Bfeh@D^)F)sBXqf4k*Wz4BNPp@O+K5sVEC$pnZ$d%u^=%D7;fitH+s{=pE1S zPe|~i`F)PWEd#x7PWACuJF=ZOrvwnbysBZWwvRuzCKf#$HK`C^wbkrL)4g6x_=zb}7!OQ&# zfSTK&{5~p`yCfZSKlHiamT``mxQ<_cs$G4T{PB*Kr%uYp9!qoHvDN-{8*?lVC696j z{_&9>P5(Z$FV>#}DSw2eZ0r^}oKU^vZS_pbTWw)^r1ibOkAVbRWYsS!cIK6*O7PBI z@XxKma~rrwUXRnn2Bgd5xu2j;DaRq9EHsY(M`{P^7@tOLJoha4~4 zo;P%urB4dtne{%Q6$?T24tPGSHB;WB_Qsu!58MpK22v;I&;|+ZCd6km_V;|m?=Y}h zIY@uuceu-truDM+qhz&E4EEQT&*Id<-a+ zP1SxX807beE_N|Yb$)X~@nq@!Ko$E>EEx~Du^2a#4~nn6#5`Y??_QPBKHjcb=qfYs zE&3YaadcJjY%d=6?J2Bc=?dbydLAzGjRH3+W#78d<%HY1ZgxlYwtV6121|!+7_q5Z z(teFtHg+JZz4z_H;k?SRDthbvc2I``lJHE6%fKL=ufb!6@sF~*b#X47ujWe5_@l>5 zj&Ajp$gu3al^%-g3YG&0rNZ^ItDCU zkjy|fndbHA646mg{5zN({bu~)a$(tk>VmjUTC8L~cBGlLX>GB=p@lUIx8OP6>!|>t zv8DD!spk4zsO(fWR{I!sO;q~c&AKQug|H*`Rs{-kj)=IFEwLs`YsJ;xk^rT#o{v|*gizo-eTFEI3vDicf$VcB)KD_ zC(GSC=O;mGxMb^70@4lZVNCaS{_Za7FPtkSF@xVdzIqJ3PO6XUrry9uHAA+wsf?GD z%)R{J;v_@2{W6L)>#M3BZV_$$hU}`V+~OEwh*$M9FVJ|CT>WF3wNWAIRXzJ>N!}Ww zuV4$oIPWSs_md3XO6qNn@g?$!yq2^ub3S(Ch!koR3r6(`yHIe(<~CeRIi^kPasHOa zYyI>(966Vft`DBWg-D*N>ASXWw2aar-_Rq}W1iV!^1%9yH+({7S2H*nWc|h$kdy>> zF$SZ3%XycR$RhaN_gf$9$GAM$XhY&sh~41N@GI(O&L!Mvqx+Z46ES}j@(gqNINjWA zkbIItZo;Ho_e&O-s^8RFO8y!082u1Iu^|4!dNRP|eS55D1nkp>&L;ey6MrMuyCv`8n?tPsM(8BLVc5=qc@tw9iG-PHLP-NlYfq zy^;n+^_GR-35{qzo5^YSX3Y@MzDI+|GMt*oj-u4xYxa1+abEdK)#HHq62q{`Q;pC% z)JO7_Sj55(2RtHo5V&&1Ks#Q~y}4zwbe8CmG={GTS`8<=`pJ{@K0m$0Lbf%JZ^b)N=Ip&5c(*`hCXe|YuDA(~%7$H0YK&pQ8QCv}!idCJi$=M?W9q=>DQXyK5rYj;m1dwHZb4)_{50^eqR?Yqx43j#81rVwWnj_ve45 z6~~6$wvB!kV)g2r&>VH{P5Vu?sfC{%j_Scd5CQ$$FQm$Tg7~$~g(t1|mR|{jR2S60 zKuuY;7O5U3yKKl}Q^xI-F=FvWsYEZXiX{KU+H=13EAtdyl&iQo5e-4s7G`|Q&TsQ> zMD{xzro~&l>rH&Oy*8%T7|XoGW7dxJSZTB-8k`lsP8X~RPs^~NZ;s4r2#wWhqo@#V z*D^cW_0RlK$lT!*_{M@=arYUsKZVhd>`s=hpue94yi$9BBUuIiPxCU{S3@@W9kxH*Ji{ubea zcN3yUW>ix-LmCwvJ~TFdeB^gf_tcrvCD_vtHkXui~atC5wiG!`jEGk53 z;y*$1?_Cav-zpxP*e=eS?`P)k880kek8!*)Gm`s1?7eqfQ%mfnS3nU?6kDaCj3t$D6q9DX1c*G-W5FvN zCsFTW>(hc(eJA$R=LzI1I8u%0&(hY#MevFb(>!X)X6B|ZiK?F z9(pDbOGpoPl^Jh8aI@=La@Ck8 ze*eaJ-`?6sI~l|N2+sRQSas@)V+@@F!})?aj>=cQ{J|@7WIhY(u9|V?!=m3%j|v+c zibuw;#QF5Q?B4K47iP7!&xQ*dLvs%HKc2DjOjCm5mdpZ`uuM`=Cu3pqi#Y*t9nHg2 zVN-z;r^@}TyS3;`6a!zSf?>}$Ng-kBm{MwIy!~C@rR<)E^Zp1%a*VnjU{nHgJ9aGR zwy}kqA{Y)k8TTR>ZoxvyTwVLut{Iy&Ria8xEbO4l6&mAy*Iiyc4nY*mX(4bXsmmYO#{p0w;!@<8_nH6+krDv$zj8p5Y)-O(txZQF%frn5` z!->5H?+x2pvh%k-#7}A*A0V#nxV`*qQFTiR6#KBMxY4k^eoAlM z!bO?Bd(H+I8>A$k6~OMMH8y?7Oo~mk+c$3*ntc;8t_fdr{v(HZU903FUNtqEf_t|| z_Fg*54O*ChnZYwrouP0*>`ST8b%>opkeh8GHE8SNdB1xc*>|#vJ@)a~-5T3J5`!4` zk({)dUq1OP+H*Pn@b3Egu!=JH%is>Lrrc*8B!sVDgXQt|j)GPCTtoHQRU4})<2?_G z`VM*jP97~j#j05}nCd;w5Bqv);d{ef1G8s3xY)l-dATS>Z?o6!`nqkfl**T)_CuW` zBDex!?+1BPQ6T>F3(J)v3s>F~^#Io^*K!w*k&*qvWm~IG@3VRSW>?Gzsq%4obA+*C z@Eh;Isj-ef2EETrm1kU~LMHcC_GW38%M~pxa&rg4;Ziq54_Xur7$TNs5SLmsNM6+} z2tDK#TV~`FwtOInIi^ywV#`zjGjE?~rBiNF|6A23*x(P2l_&NdYFc@zM|?ixF;_2r z>0U+X+}9az6J(+W6ru*|EM5v0uMRUauAQy9dFnvx)WKQI{br^nA8STeMHSSO5Zc&3 z2xpfc_le&*@5Bi2;CgJG*fJ$5PX^Y3PkR8VoSmW*MKgG5DerMjcE&mZ{q@MRpLBxu zy*u7^IZ%JC+lj-<(K)|InD2Y*9(=pBREBeW^wx>`BPz3mizmEhY#nsY6=ts8cvmgr z!^Jr6=$Y#rZ|euSk`LM^<{csyjD7gyZqVLRxx%H2v*!~hO?rm%sLIW0ua^GyTn*a? z^Qv)Kde(-eQRvHTuIb%Us&c|lVau37L&lXi3R_mC*xlpgPAMJ^6?3oTj|DYErYQtH ze&HarIB8V1q#eFze1=1pH!ey$;hqU>&bE)eQ%kNl-UxZM)bzc%MD;3pl-hsvuGQL= znhT*@A-aRl^#;%F?$2|06v#dkyqew|Fp%~1Tt`}XD6URb^}4WaMkXU*rR%O(`m(i` zHk&*$3Bxy)ZNu&%Wkp_D^LXj_JM~LFGC5zGUerAlEedWA&Hf^gUF0WoC+|U7#@gDf zZ7YJ3^j6v^4)d)JeaJE1?{<=U_o~(LiS4-3PR6y|=g_6)C(fhSmM+No{cEsB@a8mP z&Xv)pa?IZ}xJO(nJcu`P5^h{)uzTA#JNCPsc0JfSu|o4i*2Ek$e@2+i=_lrad4?*x zDOv?vA6g!_?@(X)-ttQq3yJ7oE}FGC;N}N-K4-ruzdtt9&*nn3)=0CEkH)3^w%6xua!FOksVE9+)xHw0GIbWCzY1|3A8(%xbxp%Cwl= zx`b@S_m5uRkt#YbU=D41w=1SkZ+4CB*JS5-pV1HJX5v>DS>o@#tvWuiku6%b@7yW* z9maQL3+A0HaC^1x#`3aRk2lOa4>K95)9UX#nseVI%6xeASZrnHZ>Gt#HE)H=SE>7v zzJ|`*Wj;7mHFh=R&DcI$L4VwQ;Iet~#Npco?4xIvz2?yq$D=>5FWtXiX&xp1Sjxhj z*@>_3CmdeR*ISra9(?wLNpHNB`=OJ)=XkFZ9|k-~^T?qQhyC|-5bgFDGmozDD15$W zugr$u)*69rLHiZDkq@0m_rGyB;8C`?*1VK^@Z3=j@Ngc{!)OIZ&>5E!TEhz?CCM> zFcZFFvp5XD~Hn0z}Mkx!f=#DmrZChoijx zVlvFiWI5mN!tLYB6XNF{To?Wu{||r9(JAdyYRWE!t#hL^#nHIoR|}OLmn+`X6)OBT zwJUS&p1s`lU+1#t;iEz>Mn~uiITcEh+{l_O6(dpafJ#2SA$X23!4|-dG(suvA=lebG)3=xD zg`nfKS9RyF6;}Qskze@orQTL0leJK19|1eVRrl_*m$MYT&bU4|Uh%0f=D->i#Ssn` zdxpH^A{o}QXj@&>6C!^ZPUS>s%%e~0GjDC*twQ5Or9HKS^=@4wxi2BwGSfSA+8KEo zzO%&VejjmT&epu0rDWz}TB2g3ac}9_XD5(aFVsB|ejcYDVBDtCFC5cEtoKWbBdcj) z_nlaIZ+`zShZ##}k2z<*D0;HOWK@@VV#fF%T&uoiQNyEe@CqB-VOwB6a_zSa9x)I? zC-oC82i14r!e-abUU&SQZ;kAVeV*s@eO)S2?9R6PtUj##`GdurVFHDJHO+d9@rW&M zoEGmgw9>S1H*aXgk?U94d)JpbFs$d;)Sb{mFGYX?*6i!P1pAx zS$SK(nQisVHtNxv?P<0S9ebavZ`@T8qYA^?AG%apHk%Z8S}D8DB{)xhSKFaI1xwW~ zzT5mBxGY0&W{?qDpADJT{@lgx_9L`=>tma0WIx&z*qlAnw&3Bz))~I2z*L9lO#u_a!E>j&?5IFL|xw6De<%sVJq64}}^-Sg_ALb|ReJS==9$r~Gvx67)c2nJ(%iI^xI_@tloPq<()q< zW*GM7^>u&08$v>|=z{ppsZL+5F)f*3%6gqoFhs)dcILPW> z;D1zl>o@0C%d_!===6(qoHoU?eWcRrlF)!yv+O01+ltE@y{<*4x=wnK6=2s4yrP=M z_wTPBU!VC(W`(;|s8Zn9&jSaw=iSbyIqbyvKA*xqebel95?j5XftpRC|!|KYXrp~};*b}S)|E0b(jzf=qC zbi2m7l0CHAWn`D?ShZhp z-*Zx8MdSk|toN38AI~T_)S^}#lS7ClSrVY5m1Bwp^W)sz^NoDZrN-i7Jx+JaIYfO( zg8FuQ1azG&UXyLMIOcj>9HDAIK0_YAEpBW}M?`&LpV_f^->WV+49}&-U;aXyi21Pf zy(Cu6XzD8Zx1MKftG}F>dad)x@ipi3M;X_$mS1vNadYww^V#LKl^4`} zzob+=JOA-$bGS)_Kexs?_ZYmSnp$=c?HOym{p(tlLp|MV&wX6z#k>44Ve78RPsd!R zuI{H-_eZ=xy-<;}Ku~&m&F`@zdU3^%+utb{Oc9Oxa!jW#GNxv;mAgjSSfRp6kUQ|% zA9tf-mQlC8jGJw@;qvnPu?drBv&4QrH(mXL*2bJ&AUb_wOMZkfzwE_KyNj|x7lt)k z{Wb46ZV_or5!JemrhGVYsYQ=gH}}rVq!SKKMk5xs?5VX+ZY`fVYSH6;-RWz4MVCg- z*W6w0dV?#J>LNq|C!f;RZXCCqveJDYA5`cU+CTMqK0n?AS97Sm-~EB&e9Y{#xN}=? zE;xTCb*6(~#zhvF`fx)=Y5&gM9ZlzEZK%%}*lb6njn=jE!(kt~v3;v|#_rZXxZ`8T zNU^tt2{VFbu*PRz$5VR5e4bd(c=TG)RB7(2bGM4?oOri+likl$FAPBQ*}=ItVbAq` z)2X^I`znEVgo zIBQFs6=0$%hy#bkgA=-@I4f%c-W&snV@(O)h)q-fUclK{nVNrlCmx40l|J!_f&hZzO>;vkK!0-jn>2v}-qPkfH*k1!Q~a-#!SUmtl(AB_ z0)n{jH|93r9In;>;Ilcw)N-017@Q^kd*|Y<{?dgYxX%yLgzxh4qc1^FKLTjq-&WcJ zYd$Rz()t6+(%$^R4iKQ_2W1Pu1AH)2*5A1+B@N{5PYE}-23489farZwq93HC0SpH33xZ%qd!Uis*2`7yRCrfGX3k#-}a*wVDX=V&)iD1J&-%MPnidhzdEdI+3TWncIkd9= zR~usft3LiGd;Kr8&3_06unp6dl`14?g7l3)>;3^MyIf4fR>aWUf{;NX%RiJ-}`2Q5YKj~QkE@1yOgJ2~47Yii~a`RIk|MzP>P43$$ z^S#zsFcAI9YiR_TAEf{9*;VNX0J;(PrzHO1wKN#Z57PgS#u;-km`Uy9PtyOQkKf0a ze>2ehRJ?!Dbzt1T8$WYP^J&=dllHF)`49T>PX#AfTl|0_-(K>Q@^^jww|MV+v=lVem4e9cL36(mlY&t2 zcF%p00ULM4c$;~;to#;U$;oWfu7nuy;Xfnhf`_D-G6>)=OKI?y4bm_~Ky(2zlU8E7 z2*eE(rI>WO2t))FrEQ!pf^G%HX)r7OEa(YP{6Pw^55&#-K?+zPQ2ap(7!6STK?*23 zDE=S?loJ$xkdlsYAO_VBTISRBviU*Ge7a&%F!yJ*r)y^OgPi$v)ogy!lh*B9%*Joc zlveIr5W{a}Y3j6 z!mdn5Lk8&b&$j%39fjpDA$StwVgo$TLiS8zP8pI;cD-e`qsw9CUVc8 ztU04saBt_xY=+@s-Ki;UC+*bs_SChXcYZm;Ku8SyQN8~8zxT2mOX?rYZD?51Fb=_~ zwlXrti;dA($C<`zc%{WU%jM^5T6wa!%!HY&3<@&Q2->nmLxUN%)bo7$3dZJDO}yi7 z*4X1au6Wj$?Co(<-c@`=eg)Mu)zjR4(Vm{(*=lDQr#5Wf$mhKT5F1}rR=zK8Z*26K zXjoBM0jYE>>+l-))oyE_H#9CXUAS~{Y033H5h3BB!oI;=!v5c1hBWVP%&!RkbmH=p zGo@bl_B6j+>RlDybt{@*$aOuczdW_4_sZI{r9QQB;@_Tns~Fg&eja}HMbpeLWor2A z6MkeDd1^}Tv!<~O-WcIOau&n$TeoCMe!>*r-piA3x^&@?&(1|ADw`cB_hF-2d-X$&p6re~W;hjlC_OVr6}`Waf*3`x z-c%Dbut$2<+a7fNv_Svi#RT$&X#F%w{KdjtagF_(z?LBL^0%h` z+WS&i^3R$N;LM-R$NgwNxcjd)AJF~}&Hqar!@rgN?{Qv#32BP|=U@szw?Er%P9Ok@ z{~qYo66BiruQA};tNsHI{(_@S3K?2O{u@d_(qN^-m>`o&7FmWjT}jw=7?C4qk<1br zf;SBE-0L5KcS=(ZHO#h=zpZ!{?n5s}*=jGL+!;$SCQ{48l*)&-Kz5lM!J^>cZdB6) z!w&^tVXr3Q;mcgqce<$iA#pkcI73^**|8TRfH^Pb5wzoKc!`ml-im1M=}ba{m5d>i zja0LyAjiu`puR6^&(2Nx=95$=iv=V|D3P$ZaLZ^cou0y-RQrY9*xb0Fh24#|;2Wpjb?3kRL8V_#>Xk9Nl+b4%2Z?9ZnSxDmwxhjzeyG*LA77FR&s5awHO_IW7 zi>PyC2vz{H$!h!m)^nY7B$L6vk0sL3ON*7&gvx@W7AzD=9*&NBgZZdy?czv~kyU|d zLU>* za4p!W?i}!4sFoRANI##etVZKuuh6uWQ4w$ogh$w7{Hbp+Z0rH7r#1o=?eBXeJ?=<4 z`G)U#s++v;WZ$^y`}BB$7L|TPri{AIXeB?TzjhW#ZXC~+rx+H@XD5%F< z-n2?lMpipy&_pR1o6ZCRe>Z+2SdUAj%$=FmVd34(J|VNspN)FiL@_LJ#HME?-e`P% zUQPgy$bof-+(XXTXc=DKl)XISlP={NV>1Pr8O4Ta4zT5E#9DFx6p9-Cz&Q^lNv;Fw z3BMv3OJqRSX;jQgMg7B%zYID!rgx|Fh~tV6ZhdwKoq*9eSoL^^#6j7lO;hnUr33HCkYEz$Oc-b=!g)RHYi(<>j zB2Xj}jlY9DETZkWAucMx-qKW6RV`Ir2QmvZJ!Z0iN_&0L-A8G zy*aB_3#V$7doM5#E9c;x#(8*ER`*dvWf$Rk?M;Kx7L<-1zZ`F%H1*(%| z*jF0nPLRjAAQ{s{WKq72bvQwnr-FFEvxlG1haZ2l=B~@LL8Ip!lX%(={X@%{hb|u4 zlzx|3l)a5-v3(y}Uryj4P7-FYBDaX@=Jd|dU9)$2@l)GzGw1WfV!S*sH9KJW^rNI^ zzglcH6yKRJM}=~w+Z!2RX<(_^I5XbTg)Z7PGdE$YEV1^PDpMap2+17s6$Eh zb!dA-*c3JOHd074DB`yft6<6s<(g$FGMz2qQ3sB$ifZLbd)t7&-LrY?RZ`G z65Gvbb6cWQQRCyV+2o3tTp|4os8j*MRA0nS!08{}-raJo%>RrEnW{2b(e5|tCnj%h z)vOTQL{=T!nX`P(;fRMj(agIfEbiPe-Bm#-=hgKwF?B4EfmV`>s;83b-}~3^bl2X^(cJI;$pC5(4Y^v(cs$3+ zc!tQo7?Wh@a8*lzzCoL4a@4HQB9A&g!wrgQ+i+c~HY_mgPisR~7f! z8e)X9b2aa!tSn8|7k6q#!|}dECskZLy$6&B6&;#9Zw?*GMvbt~aZU zKUSr5NZ$D;ppk@z#T+C|4f9xbjsRw$T7PKg0>)NS1mAB&(;l77vkx-B8`+Hr7~vH8 zF-v4GBG`GIW6xYWS(mkrkOJ%o<={pC4)FDqp2p97e3d)44#Nwaql*btYNT}&pUEV* zseT2ise@;6hj_7uRW@J7ZSys}?_xO0LCEx?Yz!|(l%;vE%ic z|29xZ4_~(i%Ivr*fmDJWMkElCmH(Jsvf@DVL8P{PG&97)4MyE_o3OlGyS>&A>MXIW zL)hzn-7RCkU;a~%vxX7eywG4oC?*F0X+M=NFN+|c>|_=o9$BhB%^?yJKn?F2p?6Vd z>zIvdKc&Wok`{zo%5J-DyJHG%t%%F7r_h!IMdyp=1M|)>P2=A3qD{`3qa$SXYTAFndUOqSCHjq4QeStngea$!& zMJLjGH#?T`Do()#Td8ixmSLus@6ODk?~!?sMx?+{;Zx2jQT|o>dQWWoG7+X-LYHVZ z4S@;9x=YZKh3yZI(3;g>E1y44O#n%SGiy7pg%m^HeO7~55vMF4y#!=0%?&fZc{c!4 zDJR3g&$@5;MP87RQcP=JXWCNnhSE0MofoKrcvf${Gb<>HDy!_s?7?t!;3h%JRhUZc zP83caWWe3}XPQ%xH8qL~$H~(k5Qlbx428|QjLDAD{?hhw68yeXIFGe?2&M){6Z^}F zMS$u}!}9l)=Q?J8frd?O)4N**GB7xGB{Pdu0>DhL^R^j0Y~lS;xQ$FBf}UoiGXsc` zArnqdv$;K2!v(cpF4XW{ZuoYDNj9c!-TXvl=w^*lJ}HH!0@Bq6D(hlEE=)KYPbx<( z--LFn91*UYznUrTD*lkaTw4{SD(e?>kmU^v;M7feKoH4yW{A6z6l=DMpDQ*O=-D=O3lF?} zSl)np5b-U0q8IVtE%A=ZyF@Wm-36rvDGS?QODg%?xEpna;#%j_woPG5FYN`LtR4=( zlwe;w^g;3pDdS6Ip`wo{!5t+x5AuBdSVox z&XOP(?0Ip0`@yK+-fY}kIeG&%FRNDtY1N>cSaGd~FJwW=Do7IL#9U5XK4EZv$g8xr z!i*rUOZoz3do3AGQzkf^i*0s`IyYMTifK;`wj$Pn9DSICc?S?TQ6vRKood{|K1?`G zh3jNw)Lc8(tD+z~hlzDj(4!d@;3J#YY14@t8Ww{w7;_^~OV`3alGb&DDqBgdQJ^cx zBJ41cQ(O3hXzxifRC*MhVkO++(Bg1w5Yr?VidYnPwbJgBj;d)8Dgbi9b{%z7C=v_~(%AzDj;Z%@qf?K4$PM{m*zr}g<3ieDx^HD= zHj&vt=+5d>i+;dWcuv>GBX)DOvQ2_iR#MH5zf|Q}9GKCzYF2P0O*tK9=AV9XhM%RP zw)6|xZjJ2gw(>s^6>K!K#DG;i-0nL(+=rzqK-*Qw@x?{`z!0Dugexh>g(DD@G6CQn zxeKbI1t~YFAm(?8r~|P#AQAOB4rNqpmOZtMM9LuD$RN>fkWgm9ZJJNh7v z%GG;;ekR`Hsy{SWLL#@);a~!TSc0Vzi!|mU*bRm^nAozw1T{F;^WP`9GnekQnRWx< zEzN&PdqI#c4E!_i09efU?i&KxPriFcZ2p@$bqL@^e?L10fBhHtkVzq8W(Gt`fS}2* zX{M~9uh|PAj)3f|Kpuc#q(&RUUSOBL_;A#7Y+rm%ZMqxxcjD$r~=WXuOK8Dg4pmIijT$)(hpCq{w#qoV; zHVLO)9>-F=#&NWi8EV;{E<^n z&(zcUtXAtiCP1g9miA|ihl=(Bs5EY29-;jnIA zR}so5(Uus)cS|?j}AmSIhb^UW0{ zpV*0VLV-B5n=9gq9%VLYetsJswR4Ounv~eDpuh|y&C=eT!aH(aC!-_j28^b+RaAMp zh})K^qD1M_fNPuqUrxb9`TnSMKZ(*K=xI9HZ!=4Hbu2}k0X`}|Nw>C6p^8YY=m5Gt zw_#V@`0nQ_N;iph*+h~YO@qRgqrfv$HJPOn2gyF{VSeH4C9xykb;bUO z-j=`1kOJhXC)j21KePcPiutd}!+&(EOZQp+sttdaif{V4zi5NS zKL-uJWNo$r8!5iS25aelh5s4@rr-4U8X?^z{3{Dg3eQ9-IKDD87@8_@@D?Y!k)=uR z$@SzaarDe%#EGdSe!=4zYmnES-#zDHt3MG*OP4O4#fO`5fB~;_;Ag|E>+Ffq0(((G zf@?;q3MaDo1$hE`4Go&bvX75GDyzbTBaH_!E6bK-5_`P53qpEVZBQa*Vj8T8pV}FL z`xNU;-<+72J{y;LB8)vFJ zg~w>C)DQ)B@?3!gMY6wZ(hw~3ZuiO{1N%(ydeBnM{T$bFxYXulv4 zKmTIyaPoQX>e+)1AyDl^BqXdOlipEDjezSQP4Bz-o`d46s;b-|-SqCOL}U!A)sgW;_hYi^lD*299zEue ze3auuNEZ0*t+N6x;0T8HCG5+&u%_-X;-yC-BBRk1g>|_bbUcl>Qig~|&a<{q?`{o6 z+=Hb}@<=k$`HUKo5<+`FBg=8jGdCEUivaF@N8lVq8YyC_v8ktvDyxceW5(DSt}-hV zG%t4F4IZ}-(j^4zALf<^>s@plQpXO!?aIpaRbgXry;+90c}7}aXQ{v~DJpJkRQ*J* z*0G14F?mEgD_MQGj$_t>du66g57s+oS=BT>;EkSzN)w@hjP^?^6;K^iYiB_Q8OuR&HWQ$rc0dHW{{8uMQlx3h58n0|)M5 z8Cmoiw4c`@ELfhB&Sjb%Ic(3FDel7rzn@6hw^vC zLgn_>Sy^RQuVi>!kzoe`C%wCokvJKrn4ivg-J_F0+|sa!Ef z9m-_3suFBf7s=DykHM?uAA3ioi$3Uhw$nS&DHf%|%ZYU;Vy&73{8Xpv?!HHf^PdZg zPGip(#^??7TVCt8%EQDj06^0kseKd2vcLocS_}RPyfqMKD>MmBus}h?se)dnL_%aRkAcPppqzUpj-rkp zYx*4#wvy`2_oz*Y6z(Wu^;jqG)db#KT~~xjZ3d`jI;#u%q`RV?Txf8O><21T^??Nq zNV>!Ti;wO<8@s^%#z5BH-P84Pfn+3*Ax%fRlirw3gXPT?bcT!+6z z?~t4;rVS5czRd8$%6;*_*f{Ih2&gn5+T3G*!N|->Vz#-tB~>gjK7fo@H7xvusi{Rh z{78%sm545>z>ZNdiTY@FEOn0TDkSr_unm8xwjIQj_3Cu684L1lsUC^NJjPgg$qRWy zdf`R+1;~y5hf&K7FKY1O?;%mn7h9C5ho}b-1eut**rpBIaF?{mA$j_l_ePFEHiP-v zmSa&p=(8Kkn%gli<&8|y@x;uoHy8Vlo_E=&^+v0^`QjiZBFn`Cw@+|lMy(Kl{Y4u)-%p9*aii!-Z~2U7>KGP$JcG67xC<~Vu@ zSNsua-7T!4pMOC%0xCnz4B^TuKb?ufUc2vNgDiPVX80#)Xv#Rw&r}`4A(frmIPZrY zMPYd`q6-H`T*27-d2R!`N3CJke(=3lp%*90=+P=8VzKwq7q-*hkt*ELZk~xi?ZIjd zwtBVR3a&eFj4LpCBw#{-pOm^TJ&Gk(h`48~?V za=^g#TV9Nsl)2y%<*-YukgfR9U7Y0hXso|6!|=( zy*{sID-^*kc&kh#wG8e`jf$7^w|=P2xZu-{phnqZ$^!TerYUBnYXvDWl=pDd{_F(5 zPJSmJTm!5QflM9ByE3OD&$i(mj7N#>y)ax|N;I3CWq=afYq=b~``jxUa z$Dc4Pd6r$Y>XG^*wGHds&&GC!>5L0%>iErb_ZzP}uI&6UwWh9e&_pd923%pe3B;mY z5`jBs?CS%%x>e>LuJ?qDjSMA^q&X1!iW)Y5R zBbTR8q()PEq1SD(cV5nDkoo~BHFV9;J;d!&2jFf@gW&ps&uO+=0?!bdHelT%lAI6b zMmh!K4ir0{s$iEv7E7{=C6JiREVeX4JMu^b^k!mFHBH&b$dK8B+RA#^ps!ehdF6yG z$GqBUlr4dSzG|`#oh{>)Z*hQKmXDLMkf_46m<>T$z#ojlAs2EisOzs2juw`HbXy3H z103v4;Dpf7ZZH(qu@5753MQbXes%wJux7^rZoG`TmY7NK48k*M&yks5u!4(DrfsfH z$}w%kBI+hi%FFIxjy%oqo&Q%+a-$kS{aBL?+Rrd|g^2A*_7 z7e0p_?hX!a)b!7K@Pr^gA>6)WncpRSwGb;=WvVOH0pT=3?yOeXVP|Je;#@&U0JrsQ9#(V{a; zxYn!ZV(xjg7q-m*6nY+v*bh^>Z^40akOVaCA#CA61Q;`Kyt)+KUr|xv;k~0ikp!9x zAQ}OTl01@pxYu?PlM@WwlS~d6C>bgGa~QT-3G^m5#qfGmVA@Mrv~;L+UcDTq0CQ1d z_JD47WCPD2RSq5MNZkoW%RQ{P%r|J$N)}A%(}-};EF=uO_84O6s0P{#OzSjkJkHMY z3=eqsP;@P^9#g^FzTImrTvK4A_tm4$;Sa6@6e{7Dk3&$NoY(=leD$f7|xq0+K;5-c6^*j0S;Rd_TbF2d>sXLS)+wDBFO(MbL+B{LxtUM1;+P z5E%FsQfkQ5;3s9|ymnDr4v2z_2X1^gf9xRIu}Kt?53VC=WIqrSpzmYcNZOwenZTUg@Pz^_hKATkm|5lb^B*FZyN0RcOK1K-m9^WG(mdh57a zB2rv+OL+38y?1UhIfK};mjon${6(l>?74#n1tR}K8b`SqZsDzzlC{nW!*j%Dg#k&Ou&zay!}N+|{cP*9}fmKzbNf;Iz4?h|YD;NNcl`)`Ly9ikazN zZHx8goU!?-)g2R|d2+ofa(TcbdDq9F3z8T)ieA2xltN+$K$58$7jqZl<@dDYKv#+nAD7MbCT{KnK5YFng+`)*9b6)YrI@mfGoh$p1vvk}q0lOW^ zAqid~^>yh-Z)@Apon4&`?-Vj#o$M}2nB&3nfa=D{UCGO;doAM}YbDykPyn}AGzn&( z;}|)njCE3lq_RA+fP6V&Yrhn2pVo)xbRKxxmp^6aYcOTxh$BOZ;a58;wJDehy6Aub zWo{;AZamm%FzKfRkvmykUEtnUwt&eQtwxw3%wS4fB{prG6od9?ZiPxhCAFnu@bBie z0d^@V*|j|s@)O63Q<8jN3?(T^q~2`^fboGYDX12LZ?Lfk%u>Exp9~+(CznE{`~d*R zp)ViQSgg&{f03~MctBI2j~_KAGM}$?i}bE&iS(`|`(3b)jfk>LHQs4`)HqAP1F}B zYt?e)7jSdc`6UPJ7OZ9ruRIuhSm?5`&Q&hDS?ushx3Q2=k1|IQvuNlnB9CU+e7xWS z{;MLibt8$3@eSNafx!Xv0Hy|pNzcmm&oas<93iIixy^1cH8%n$r1)bCUdK&pO2Euo z77cI!fCduDL~&7F881YFXe3;1*93;7yT(PZu!u^ZLog^I`F^3Vih$Ff(2&nyhsRBu zvIFeV(#5znrRcWBF&q`*T@T{DG_yR|&@4=6(}PIYnKkE;$_a3?PD^a*_Q~ev<|rx$ z21S;Rb%7O#i1X)UCHzonKS(5e(iYB^%Ifw3GW2D}!{V$^#NyN&6YT>jH)}l!OERhO z1vqog)0yf#J$&~#c6EW=Aoe5zQ%WjzXK&i88y0sGrW6VT+u1k+S%-*Rg`x}DB_(fx zg&v{j?#T^-P&^V$0W=j0fS-ZXmB0aZ1^5%FiW*BWV8Fvp+hl*RkpUeMO$xBT)^wK!2)Tw z6?xoY65`i=#w7ONr!wN(+rV39@wIr~s0sxhAdAZu1^mtQ1Nt>LBlU zmo|!Cg1wQ^I_W2rxQ`BoZ9>m&WUDvMW&gJ)a)DW1Ol5(XUn*wmB z8^Bwms8CEN*sH;4+4lyG2~2C9JG0vd!;Z|#$^yJ+3s75iUE_(2Ew>e)5x0RA@Sdw+ zx%wM&zDAo1pTbs~%lTpy;go-PP40QxZg7#DPq z>dah(5k`B}gAn7H*ITuBdqSezI55;o(Mm9u*ke&79sWr$s;m?hrc2HZ?74DP`LnFu zWxU)Qk*X^j48Fm<w&a3wUdUpDGD zf(8AZ>}yEU<+q1khPmoSmzI?U>PPvX!uquli@^kBozqVBz3U1r&w^SbOmd6P6=y++ zY2A<_U^GU$+nF{kJHcmgA>DuJd1$GaS9S>l9a5Okb9%Ru4)y`MQX|KVq) zw(f&7P9aX|pFe->EYSgO1u3w|l!FGFDyv);cjvTvx#C zBG%^02qv_rCB+BZ&&J$|dL4Js`?X4BZC&?M;w3n?ylkw*Uifjcrlwp#;mEms z<&xMk@>_H&^{PlUq}M4l?az8pEw=R|cStfB1FdC#)MLYtu)HV%H-a1Wn*K%=u9en6 z$QnGEF@V&1a*LM`-~YxsDJfQgW}phG!VYj?@IGx?TC=NTrk$+KxbsT3GLOg%c9@zE z*TXvY=sJVFj1+h6Ovm7&`wvt{;JR&AjEF*j?7z9FkuodO1dR`)CZOtMHHE7{Wq{8( zU9AAI*EpS}a7iE!FgljV^iOBY6H#XAx^gP;d}Y~a7x#jR_M-EU2TlK|Owt};`3>Zw zu~ALTIs;p z>O=F#S}C}+gXqy-Pbz+*nz+;t|vYUFy4YQF`pLpw&R4RYtq6EdDC>~^NuOjQ+gWk$m|#-H`-i;H?@ z!=iV@ZS^kXx7DIcKrb#!$A#w-4$FJUN$QubB;WS~%FX$#ohIz6gOFRrP==quh@^yG z;-r-h527{%*#R{8Ro4s>cXiosOABDU=1aiFtK3)JoBa4JUwg0>V$6g$Rs*W*GV4R`|;M&otSYN_-(JsI@KVRK zOZ+gS)UaazGFw%==zvG*z=T+yF_+g==e(QPIx?Kcp6viHw^cR1uZq8W8ewd`uYgO- zZF9dNxd}}+LzC=-Fyi)cY(ElPos8aEb{`FJGRYq8LHw+3-w+1s@x~x=ZpzdhRx5v^ zVkl`+>2;@`NW=~moqkaoIx*1IexuaFK)Dc#1SnW~QMOWyFLLHty~Bz~MG#d9@_@)A zr4v<~#)($_wa36y0{~6~Fx{456PY*^4)C>vB|)3P)C9$%P91MQ3D{@??Civ-<{;@% z7UX1olmn}z4p}tsNV#!!^z0i0s0SeEobFa_QfifWYB>ZP)nISV7=8NvQ_H6j`ji#s zF*(=)f>@BC7C4f?9+IxEiOFGue5BS?J~RPSv(dQLm6cdZ(}z1hr2-$oQ~DuG9enyl z)k0m@5!S~Mv7Ma*QyQ-^4JP-zM@=dnAwL`>HFj7<$38%P~a9_BD5C?4a+ld#0HQKd(S@aIApI-cVPU*=|Y zEkX-JaEjttb&15P(Q$fY38ciX8=gWZ+X>kA%3T`Ot4Acvj^|2naQet0po*NFzL%R) z3XLQrF-277RJyKrQ$%N1kIpOOIqD~?+ix!A-)}77u7~Ga=ycZTS*Nydu}CT&cN-6Y zW4Un_q)a5idC{J>ZhqAy4?AVhL9e0bs#H0Ot4f94eb_;h1?ic}7`A+8dVl58uz9yW zURd5^9jcPaHbIz9UhG8&J3AQ>^cPZ%l-G|9qY{zu`>v6f;g&>qu2Zu@SFNDjk;`zX z8f(p`t`<;b(;1ty9f6yB9(>x>y;q?gj|Fb-GsGp@Fg4_7J+UAYZO;P-C;)T;a4k=P zCZrISlH}RI&j(yDz+XajXUj-^p|3w^N{|sPDKWsAe!OPK%@SMsrT1FaK?T2MJS+?* z5e88^ZMQyW?1xQh{x90z1S-j`?;EaEKm~y`MNmPlY*d^rN7B;D>6De_h*>$NmZ>?B zfM_;x>YOqw#Rjd+p-v7*QvxdK94ku`(-bLBWlm@gD8lt^&wJnR{j7Vv_p{dXt@V%^ z3x^9X_P+N2|C=_IADQQgWPcoVPtG!=85N(!tPfxuWl#oL-C6ET!oF{>_>ZO%CL6@9K*-FQE~gbZ^=I#gkT-2VW1f#&)=xGZY_CH z6w~RD&(qw3G)B4bD&Z`4IyM1|)wQ!v8%|FtF4beOUk_@Lqv&;*J#>Jo1Sh5-Jd2iw z1Z4QY7L~C3VV(m2%P#zsx=k5wQlWC&Kj&`ILKVnF=0!5yAp&F{s#4pvwAgd zfHFqpBj1tmh^H~yPq#x;F94Pf#sDKW!@HC(@FsPD53oKx@5w(u!lL4%hk7DJ%rqN{ ziYE`Ck>A=K5#K01Wt38tTHhjs6A9pBEbD=(DD@&ub#|92UJGFW;4x5^_W)Z7a}g&S zCBR1h?hs;amu0z@hZbYfvuZ$FapH%w$Zrbr^VJ63^zkL73nrSc!4-Du{pOK%s+6rg zg6;A!E^s|j${+LSqSyzvwO#VNCIm};fXCtZx@$x7y{~V)N(Y)-po>T57=}M4Tw694 zr`b~S=~lsc2l9cTAu0bo6VK_O2y`xuU8zNDwNrQdSA;F#!-WS0Yk7CUxCgJ450ujJ zLDH8?+L3H(Ss|q-x-vdKsQ?RH69C>uVo?#RPYqpV>5!Y)LQA1lIOxsp4hU~=7gRC< z`A}xJ9@Z!g&)265Pw`YV@hI3M*jq24b`5`s;h#b*IraZa9Qa$;@wa@<&dT9mK49Db zxqfXinB*Ul9eeVo|EpTD2gxS?i>j5yBmFn3m4B15tu8I{RN+N7;92+1Hdq4}fto4% zWgp_CdOX|7=Efo;=VUXI$4C7_x)-^E!*Vk~8rS+IcY#6a*2IyM(yTWlb5hl%r;qn& z`NE$I4pQz??z7`dfi2IK2i{(2x<&Nf%jQ6j7J9rpDAji9Au{9fqC=ntp-mAWHX&`@ zA1jn;`y6-=Qir35KDH5Q@3p z(_1b9btG?ERXX%x(|$)aJ1-Zy$+j&f*Yf-njnZDF5)hs_Dr)bi)4~-{^oV0{j`pZ? z-oVr}t3*utU6B`RdZF(cQto#yNPvcRio<3;5C{0232M+@0!yrXVubfTAe)eD#?C@Y zsnEhP6#x8#yUueMo`simoJ%?w(OgxMD3^^-iR?5vot6OGjDYf-K?7#P;^nr2I7jxVezV5lDAHTy{FBtDK zm_)%!C+Ux~c}Ej%HnkBYtE;MZ7Z?CGjZW=A5Pn8+813YA8|qZsi%hGg*REsq^yr~k z0sJ=6tth(jK(x%SEukuoPTOUmfWL=kX^LhVw>vA1l*16{{%V_|9YPu1hjMKoPgT|T z0F^Hk+AKmVRB;(A;@4yNHN2n*%zAkAEV(C(uF{kQzn zuwpFM_*yBf91q3nYM(b{J0wxqFPC{?Whas6gpMDOr%n#)d0MMoRT2EIT1cYem(d+I z4eVtH97AC!`}uG&nstLY>cra3{_%KR75AFc<@R)vszND8nsU~V0P<~|b1YHBoF--e zD0FRh-WN!_<--naNJbLN&RaUoFq$ZD4xj4m7|8e5Oe1HXLv`eRxpJpW>uc^ej9nph z;N_B!ZM&bQWx=;Ir+(H|*=J4qrjJBAP@pg*8S)doe+B>Mf)Y=C?>Dd?vcDs}?&zQ& zPy%!>T3iUutqu#}E;!PvSgiJC4R-FquMDau_H z<)27HrD&dMIMd_pH#QNW1o}?;Q3SHA0#YvRh zdU`j`YUyQDEiGUC;6V4^UywP2E?ZpTT4M(IYXw!+Kf+3+s*9crPqgWX#s@gY&QGA~mCQiest#bQohoJzI9SiQzpE+2FC@mQb(_|{h&0U2%8{hIVp$n_^YNvL%a4V)O5#R}5 zTL#Tk=(R$VSm6=kW0{pUAY!j9bCF$OGiG1v7cOV)Bib#PV3hRdYD_(eT9ofG%(+ht2gEp>%X4{|sf>%P4u&`a9plQMoG@4p1qh_Tb^$HgGMILODRM_FV9UEJXLP&pfQA)b5F0P~1cV+68;Mm(~)eC(esy znRbc72Ccc(hZ-l0xX`14Rsr-`oCSH{Xp2N|IBqEI!k}iq`+!+lajr5ya_ShDQa+@B zL}NH$448y7jxy1(L5!$W&2tK+!Z5x|K}8^9G46qM{iUGt$|5XVY1ax08{y$Ah_kSZ z*YO33(MU#!hfM{k^E%ddW2KEg>L}$dKnPL0gSDT9SfONCq-M<`3c$pfJV34p53c}R zB@TW+F*Y^({=IT`RPtM?NiN_QJbA!>UvpXrILX;RD^Cl6Tymnvw$xE*J+8R60U#npIRag1dW=7CUomYZVDli)fp0#kSG)*6`hA zemLJ-YRL#vEu^l-1*r#yB~^4ejO01lGStF(RCZ`0UqE^?AaveL#T=kZZMsL{$SwKa zyA6_BS4?TfXY6ST=Jqs1g?WNpc}5L;>+K3ahAL1hhwUq5ug4&Q6u7Ae@hd z`Qo1fs%BBNv51^_*xZM(V2K2NM}VRrN#TW|b#BtO31Ilf&b=B$Yi(Y+^se^2jOr4n z2lcn7zb9W+A@8HFAkHWBee#VE1gvD-w2n2D3|lY4NhnOF3mrqyY_KPh$AUoWiwl83WC* zetRcxvr);o(Db50l|YfLW(;5DGMC5MHIS&v2d;aSy3eb`KRVuZ&u<@DIRHH&bKeCX z6wFUA*y!S^Ts(z28ow5KD%KI{^6rd`s+u3UjPuEX&v?diJM`M9g#GFi16|Fw(U7e} z`)Qo*&I+$#qy?K3g!&AUt}X+WX|h#7WEW`>q3e-}zUK_23{7N7W1eg|ZlS--qaJ@OU5JvQg&=gA`ns{&!b7g`e&S3M_|=CY)kNAtw|Qfx=i;M3$1gNx>(~PwAOJ!Dr~>6J{vkW!TbQYs zVI)kQn$Onj$OfXmVX?Sw`G|RIZEY8Dx(cgSmWWs-D{}>eO68nxDmj z>FtV4j60<|w8bxdhARbl!ldTwj^Is}pPVeS(F4j!{5TnTY-3B8dj9#VDo^wHiCKy~ zKh*b(eIBQkGlqCy2KtRpXgP+Lu^0RQMWLI}gLp_)3~9S7bD#r*g)H zUZg4|^18Lbz&3Xe?Z@vOipAC^+pgn_;yO`>!<+Vr6?qw@xOJ~>h)g+clL{RsnXjxu zvNgyh#@C}*iJPPBwJ`QSmux$03)3pjEK$g)kixb(jXFg{bzREzG$K%yP>>SmOzOuu z4*_R0q)1gvOBf6GO2f13*SyIZu|QAaT=%hqL28OLX0E3F>ZuRK*2CowIDa%7X-WO z7)n2PCmHsL6_6IVbn;RA)y#vCYZ6x9i!lUO3qg=vU5o`R7r=kgVLYZFi_~soE@9$h zNoj}uK`Sm?b~2y6lH}o$cpssUJY^12WOk&PyRQ>^V4SS(Vj`^*>9^R~r1u?9gK?(* zMjCsJFT-rKu*j`NXNjmENpz#!DGs3?Aygwy`^F z_-I`t*DIN*``oT1RlFw z|N2U#Lqp;KKPodg8t|1&N+@EZL5}2C8zj9L@w0)4ZKsvSc?K!1Du>CBGH|&K#&a~2 zzg9qgx|?HUB@t48Ni>$e+MF{`KHdV6`h-p${zWL5n>1#eTKQxnDmaD{Nx%$#mnZ%|Nqhp%` z4j+Y#-zJZC@Tnh3^VYgj$~SV(?=_=XRVZFL^gUr(E`Fc&#zs8Qiw;r<;x@Nv3o3@o zLis3HvgYi$AW_gfP(+BuWsgvj$Az`ETFz3zJgEZvT<4sLOgS_+WAyzlQ3d*|OzVlJGb=|q6%?ggZqec97nI{S0moQxv z=3PBt2ALi8pMsxDY}%vlj`+M_VH%n>p#;uHQoGZKi)aU7 zg2PMr_52gNhuXcHGSo)2JAl3nM@mP*nRt^A%a#mMTouFVO76TY%&B9(C%$JMnw}De zA#B9+AmszP56NdP#So~>E}m^oQ4K#fwmW229w@7g=(6QkL@R!HKlKY0Xa=o}xb1Yj zY@KOD2IeMm`5~U?3CVazm&jNgnNL$bTa8HsI*dYph9=YSzFyqEXlVx}mm+xF9&@iW zJ|%~ag(18$05})UwAl#HWntpLgm>eo3XRZoj3t(+K83}==mfwVcTYcXCKbC$Lx>;o zs9BQxtxu0&;kRuV3G>a_$Omhr-Y@!D?bFepnYC+_aN`leJ(D*~Z+97b_3Ja4>pt0$jM&*k6GZR0)A(P>vN z)>*SAc#Xe*W3Fh+h7F!V(<`d@kM+itk+vn2ZeNg2bPQ#W-Zvc|wioB$)4g|^CWb14 z#tSP{ljbh8(Ui57v7yq&N@c}EgKf_O4#5=ND zWd^>AD-Uo%#!+thnym&&iv`WgH*27uB^m>7)l~@-h=Dh`qoa?Xd1|GOqa-0Y3m`j@C_Vl3ZW_6izc4lE? z6`EMvy%Qgd_kSL5PL!T_4)m1d>2q*B9@DhUJ$h2ZVD(khnkk{)jk(9Jq>f|y?i|^B zCstziIYvV|jq(-EwL_P41RsiZ$K?_ZFJh(%M%#v)PK$*(YM*K)SI$YZ# z&Crwr2}`l%f@I9OvcXMar1fPsJ~h~k(>5HRDrIzNEvkn2; z7QaVQR+{eN;?6+WN!U(!2iC6wY4HZkSymwFC^F}-<+xZzqIZsf{b8w!@#Rwjc^~OoyrP;T_St(FUHd1a8_>`>ocTcVj{F@bG0aT=DNG#wl;gHYyiG#K z6u{Swn3Ve!jl8=x*|=o!Zgr*f+FW5T_lM`yCqhJNz6Tn4uf-kC5eL$kMmc#?18qX- z;f+%W!c>}hf?55DYt{v^vUX_b!vuQig;|M;7KZ8o1ViL6JT6?&Q#bF&ayCN^^d9(T z#!BSvp3ZOBCzbcq+YwyH<)hGoqXxH|685~Fnw!$JJ$0Ho;(`aYKOcHRd(qTWp%kb# zQ}cQR>i1yR0CL}nhQ~KYv}e^L_wppH2fKCZM^<4u(bX9ZjG6I=jpICl&MO=DBdQ&w zv;pwLC{)de8Qi~@ao53;h1m?HJ^qAAln2Jvqq%t!0Rrc}d~>}WnrX7qdc#&hLjx$7 z?vrjqr8qFkJkY3m(#r_G)_cdt8zXn&NgbOQtXaBoEl6Jan1^J!?a$9|irE}Zhl`{f zrO-&z922PtKrVh_nh&g4@nEfkogUJLAF264=R2bW_1n{0WmNO+NuPy=3$sD&VYp7Rr(z!iZ5X=Y{w_YI)mw||qn%lPVwbb@F`@z#m zOZy~Y8gnEc0n(y?6?(5m+D72KJUoJ}$z7_%uUc-Wk|GI44ubqcPo-grIb#WCiKT#M zTe)ZgJa5{UN#Y9+M=8p#{vB}xR2j7sX|a>~d=<8n)%km6MfW3Dkm;4|y?l*2%Hf6e zuFHl&yxhL<(_CmirYNy{y-%P|Fer8IL(p^k7r%v zi9dl|ypK2?6Hu&B?;JHX1aOv8~unjFkp><1GjA!^UjQrIH2KL3TE% ztEuE-XMrkGn-na0geTFxSplmtRxqd2TL``geN?8g9C_V{;<0SAN_xr-f!owrhw(it zjp7^hv@FfXZ%xg=IoI4M(^fB|Z~^1r0H`#OoWq*^D2w1`^?kGH@DssM3L69?GjHf4 zRE{dUfE#GDdm=TGi=cXa;XyObe|_7Iph&^>e2 zN4W}_YX~$f5~aVJBA}9gWvM5~fsE5nFx8=`2K^CIFKZ8a zadEq`+};XnyNE|& zLF05AAq5=EVU&=1f^89gEC|QTWJ}LcK>Cd$;&FPWhwG zk*~r$DNKVlJApUGcCOPFpIw5o=?IM@e8oA|6h$))9DRlBc`8rOuBvX~y*by~Y76^y z6Rr`Hl}3)zj{2aZ1LCq?cx@Q;LUx?>+w6eHi)G|!@2v8na$a75p_%c`BPS-Z80DzW z)$>%BEyWB!UuL!gkfA~7>RD-)-N?!-%**-anX5n@Q=TiC9-9TIs#vI(lEvx8yuSjI zvFgw$+uFmE15d~hZVrW(zyKgFfrQjGpZn!3Jv!u zTHZT`WX!UN-?_6H2nQQH0P!KST% zhV%3DFnqMaVw(N(LC(Ni0Egtfc3{^S0}&1%#>BY^*MavqWVM@AdQ}Za`3Wdi`*@&S zWN$&Np~D}L(r^Z(MH7JAp)Er|nw!GNyGsFb9rkP6=$A|H`L?GYJGG{V2BbQP(+VoP zQ{^$Fta=1zIGhSPdU(H(gIBqFsp;{TnwTu`BZOd*EPOsYE#vCtbTlZvW_))TmN_R^AJ$do^2)k+8*P0RHWRvbb=o@5Hn^iB zxS}bkqA659VupfMpkTTN0KR47_O@z*p=tkx$PC3~MzW#|y%prfZN_4`z z4@m_j7Q2y71zYS4T&Iz))2Kni732i|7(p(8X~?q33-OXs+Qd07)a};1;nDogC>ue)mmkVY^lt!-hxu}kT%MPa zCSL2b&MF}Hp3-+|DM8%$K2xJavrrk`fIo0jkYOXe=r^BGMgTc$sbSqjgx|p=HESv$)l5Q_NT2*Vhc9>(0;Q? zN5!q#=CJ0cdE*;nPso{O;%bxP74Tla2GU94H)UxqnUKl2Ia{9RE4jF|x%?(}IjPZx zQ1*??n7P@~i-s1+^D|l}nF{9<`_>__Sa#Q$!zZ6K4UEKAXg#5SeJ4GNN$J;yG;?`8B>4FT>;LK=C73ni|dh_pPJp#43Re2E$F0-QQ=xoh% zL6}13^Ml5pTTe^_Y|K6E+Sb0PRNCz+G1FObHx z@Nm?YNG&s=n-k6)CAKpv^z}tC2eN~8tWW_A<5J;D;UOs?j#P}xB!)x{+_xOe6NmJe zA*KPoe4)G6`08IRKgb~<;o-P{v`Q0#Py<#N6s*v%XWs-J?w0eF^p%{|_nmjY?-QN$ zVF{hqtc(sRbL?cO5Diip8nepMyX3&|hE=!SFUUiJ{8FY~j2W#>Dkz}RL&7A=xWFiK zHMU}8^Vmpw?lclVXx+S+uI<|!gmj<**IO`+VN|&WR4`fP>F~0iXr(Fa&$MTx{Phl} zH3n_^N~w9UnmF8Q_1ssFT#i8xtl&AvRC6Tvcn?AZ2s})0iv`PBl~T$#Lv}3r12EX{ zKo@Szp&pgFN?;7*O%7o4Y410{sx3KiPbE*n z>@?RN@=UHu3qIhY*-)$A*Mo{9wP)qaZd2e1N0Tn1`0y6T(S1^eab;q&i*^S^vUxH3 z_wB@iTUJTO$?2JMx(Hw-qY!%n&Bb&brPk{ zE4)r!ve`ge3ovwE_Ns(g;IJJJ**7du<9GZw&-y*oc<0)%1kwA&%3-_gGqxZCw?Q9%tFcDLYOJ@zZ?5b1SuNVTxFlC~ z<`on)im4B5vqnR0yEt47GoN7wbIXh63XM#G zKoSV9lX|Yf1@gx{6$&ZRlkxZZjY}0!P=E zky4W>zP1QQ1i6SEecg&+z?eYRBlOiUTR50V!fIR^x01*7gM{{*`j#(kcDDD%xQqcK z_Art?tg34oc%09=Kp!#IE%at3l5^@&jzCsxLs;;fZ+0T}=P7T9qpJZjknTCl_P0&` zMq2o=oai^ct;F{*I!_i>J_Cu%ri^CWv+#s#sFFXWr7jm~jYB=%W?x?@@Y;E*s6M!t z-Gu_QNK^ibKFe(`(UIXo_3xA^EUL>DT$YAzoZe9K7*5n1BSK*_P}P*)@}b5wzxiD8 zp1DGc$F&Ji`oZtxkm3Tu-^3{Q)BVFJ*3xajcCJGhS;r#g4O55j^b9O~_AQ1$8{V-}~VkZGGecmSS zZGTWoU$&9`?>$_ki3JqS3(&Ji5zpgjqm%lD=FL!cwQr8{FmRuPHAXv_-9x@9MxBJ^svQT|GneVcBX)Pe6jSj<0m}o!o5Tk)+eI?l zN`E)f3iY18mOsO2+`c6vfY|NhA9GLQsNTaQM$fFYer_3TR!|Qda1redAblV3<1j{I z5qc!bqI1rbX_Ul%^~S^Qt7-Ll2hmkVtz=D<1?w&@20u@AT698VoIYq5d}-tS7RUAl zR*Fn%DM-5Z`|VRP^7a%k8tr+XJnrK(m)FrT?XH$a-HoO}cjjAKv^x#}GAe2{@v|iN zVU0W}ba)u5u0}y^7VI}Vl+bLu_Jmqd$Eb^_b~GJT^_Zi)?C!`ktdjQ#{A#DCr{rM= zA=$Vue@yVfVGoA?jQkKqEvqZ)iB3SR->Zz;=n&&hS~DZ5J;$E#X63r?*cj2}Dy^>{ zIY2G&w(C9!$PsnU%kDC-T$tB$ue4sR7cZb&4(9=azkaN*Csmv^oC?j2-5p55kqXzu zFLwFh!Vb>pqGPc=g`v(e0FxiVz_w?<|LBM~08V#abS^M6_NElgqsgkf;#m8Pw-^H< zr-=#aPkIdnSnWV(Sdf3FNxgI=I9eDr^azo&3fQ2L=S@ue7dFRquqb;Gi&|ZhP*HwA z`F(eQA(J1uBJuw;@-=Q%a;{%4l$t&(%_omXr+XB0m7}};M039hd=5kdP48fmp~ksoSdqM`mg|QtS06;HoEcye znhFqj7}aYmR;qYy}p17ZCpf`YDi3N-WkxxR&ry@r2c++UAkXvsI12 z+^U_5ATU3`*v=#_w-z*fG~lBcSy8vV-1utzXiDk16WnU3uW@#IoQ7VosaylHWPle+ zVcHtbOEAs%Xi~02EUvG&%I9~GE0xtc%-LB*_ov%VVrnHjostX8 znd@V7uc3*+pN`9iOg+sIW?#jy)}%NyM4^A}*EzRKn35 z;Ds$*JfoU3_utcMioh^i7v<=8{`nd!nZ<&>!#Wc@6U^iM=l~!o23#AtahLwc)-udQIyJ)VkN(@ldC!y6>%%6traE}~}@WCK7IWldNieUXS`ZF{; zj)ri`8Mw>{W}jSUu$sV+08e6^qV%1ElKPAEDDHpe=)8rq+}iZF?HlfPoyvj$KW}6jz62V)23$c19o^xI;C-8wR>EeUoEu+BQ;V}9ADBZZ=UU~ z?iIf$5{z%^9b4|~PMe;UUK2sRA8OtXzc67_J}X%32tBkG;NTNKE|oGS2x-*nSxBDz z!lK7-;i<(lN^AVKILrC08RYdI_(Ya$(y`i5%GbuYI^a#A_ADkQiT!$ddRFH7RGKr1 zR1g2PSg-%8nmv#)VCA!T0Fk{f#_X?I-85m8|M{Nd1M#v`9l@@BKvW_ovmPELO;}{0r7RJRA>m}Te z&h{;!W&OAf>yng7R!#`?xOqK7Trn}4<2I|Dxe_p|oFrr-FiLERT#41T(g)$(?^8@E zDw!@4Y4y5MRRdNA7cEh^PfJgyhUkeQD5wp#UPg75%xBS{s>_f(^x@ujU@U7YdqjCu zCU=bcSpqUCtXx=(eMocDgZU5$xatlSF*J>@XP&G{qXL@X>{CncwWw6-ZQK50n~7bj zE~MXK3tTEKkRaJW5(ZG}G^`6dszzAz*gm!9yjkcO>0!-$C=PhD#0usL0s2lTcVEc;X(@l7 zs?l1Dxpw>t`A+erx1`sc;JE{Ske59!Ia)a zd9Dc{^3i0?cGA&s1QQr%hs(<=Y32P-e!7+hLfjZMsPfj5aiwUmAu3OCurV)~p%N9J zl|{fNNJX_V6;}{?$dx0uxAl~`zYG~>mWcJ@x%z5KC?=biTcS3P7TOyyfVEvOWi4UZ=4e}XpRuFr8Sq}TBNPQ=!^c)DW1#UbUIriOf{7M@O`;A(IrGsBI6!Pk-sJFWXn92tls5}P zu*n~2PYe=hwV4aqX!>3xqFK-O$ zmgKd@?a^F$kYU+Ns#aa`G3Ve2wVT&%OGPY*He`T4BFc&=jKIM}lX-Y5H9w1NCnD>ho(@1RUOErKTT zZ>3D5aKabC5l8_Hlcau;I9|Z5X5t~hX#+a@&m8?z5e&^3khmz9cSz!sum&Cx*VH2} ztb*wo2eX>kqGR}R1U{nKWr*3e&*W4$Z6%E1blr}4S`fh$L5eAnhQyA~;y3L|1cVjs zglGbHd139F9hd0GfVDTGBJIM^ z{hkGKQFLYX%spswB{0-cX`evM5XwcF9PE(*N+kEiX+jI@WYw0rA26|;o?htKMOdxF zdb5kLDY50=aH41m-wo0wbj;nPo*`P!3D=NYP-$K(*CGNWuqV8=^xcPbK2&yu7I8XP zuYgtfWb0|hR7~mdO^Hk&nTe&$#9a`O$g~iR?~$Kdmw(>$Opt#wtoFR={G~nPL)Emg zd@+P#T!QDIH&X3@5_3*;*JBl*h(Rde&{M)AapEg5Z-w-DyCD3HdImYjjW1bTd zu(MTuA$Ve~6VXHW(++VzpPw*W7Knv#+0Adx3^p7g2`J`x^~sT^QRYZ^-$xJUmbl;v z3q47IS)n$0onrNJjZzz48Yl1DzIO;?ReES+KqEzMhg;LU=50NRDo|9Y>Ts?wuUtT^ z`~?$wQC+QzP8tIr7!NE+%1xhE#8$0y_MPdjJ3$$dzfTT1q=AAKAI?=TYTpZ zw+B+wz&{r}UlW2B?Eq3b_)>Xa3`ws54q>mhPi>HB&kk@#9L}w^-7{@`5V`WO>W8VE55pxgzS(i#UWKQ5JNeu9 z2DD}fE(Hk2>IAc+d4g;_?mz6!Y5UI@ZM**xqiy>?kI`P-{rev>EW5?6fB(5d<6`{z z|5}vv-$(jy&fUMIX|FE5-aw#K!+%W}f9sOX1xbQRSNd8=^l8!jpf^Q?h~w;2@vsXb zb1W^N5*-bU9SxLds)^ye3@QZsAXuFDc%_~5*p^gWk|i-(F-4@n=4siFV5PS`2lAgf z+2((p!e?`U_S2P|HLNvrx7}e;$!y=f1C!;#W0oxuXp|hB)PMRVeg><;s}maOgNyX5 z+E^x%m{D_DU}^@dzAdI=4jbeYkcBZmUWYcRPU`yufJ~y6ZQ@Nzm)Q&UY76ZL7(o;< zh!}c5v&p@HlMc3nTq0o_F@AwHf&Rw@xj*rzRA53$*PDG{cweBVn7M83^kChxGN?S& z8R#72wum#6ux+m+k0A6d%MdIgt zEqUgCI?=QRV##G(`1E^$s{eTQXd{dG?gKG=#64*5gkEoU)91ZTM?CO#EhfF)cMrnF zF>B9(P@gRqGBWad8nZ_jrqW(5Iin&l`u>7$cG1#-zVW=Q%CZ}xVI-N6pQi_y=dJlR z9qjgyeO;!HQ8pw#P8f@EYrYa2^UPzbSCopX={sn9;rj9W3A8i6E$ROggaSlCvx!h@ zpFlALeFup3Xhs!Ckh|Pk!$odz*|dyUtP*9jqyC%g71#S|g0N5pO)`tcPZaS#nqU99&x@Vqe^EQy{r^a8 z|JOb*z()IDrrH1IMlXLK=|4|1yMIq?=TK_w7#b^XX)#<*hty4IWJG0j>_S%Eps!o&h#>NBkz4C)sB3Hdg;Adx z1a!^f&fELl{}K!2PxrVzGWMbKb!iX5yX9QZvk z#thanMF_zhplu7kN4l4;#Rr=trOFM+fo_v18L5L50mRt@i-BlHAZknTxmO^J!Stl3 z)YT|v0aET?jA6Nl8*;`ks_e;SJ=f~(WW`oO)jTnD*7!|f`l?=8{2PtMEP?A0Cm&}r zsG3v-T;kd$E|-X+9mVNLm&8lqZ7-up@r{`U1xS+Ar-l)q0QQ8qI`GH1h>>YlMkP}d zUV*JhjXGF8?ZKO$=sO {2!j!d~~t71MeZb;S0peL*I2}FUl+q1y*Ly|t>%ktxn8S%hWBYi|`YOS;x@gQ%(T+p1r z&hqP14W2@|zKbCoc!Tb!)^g4iu_=!;J+7R&2?U#}0sC^hV+CrT>gsf5cK-K3y(u7(FYIh z^4tQ}^62E_{)djaC&VWv96fY2nFOZkw&=LzL&r!#7Te;e$%i}+9ZZN^{3Z8*|8qBF z@eeFM=1)Bqf1DI_^h8`7Y4J;lhK2W`qeqgXNS2m%|7I~yyFQww9%F%0OWfVpZo2FV^4ym&W1z@&H9znqa>(jdZQ0>3ohODj*2VSJW#!er@`Zbv z9nlkI$@?y(r==VInAwyx8Sr$-`pBCb+^Hj8-~OtRuHTo?UvU0+LxNrj`buZhkm(soP?$$AKLS9r0Wp3KxbnSmW%k zJF_$9l;#t+Bu?A2{frj7VAH14E}yQAqtSa0NPCI)%K4a!mtV&I^(v!8cy3{DQ|2+# z5&YZVT271zUBB=5Y~3v1!$kgidD$hi%6twY;o+NK29`oqxn3K09XJy_dDSH5yRc~M zj@k0yNs7lSx9C?luO8cNKKJLEN=r=bijbD@6IU$eK8`l~dZj!Y)l5*{T&jzX^LwS5 z(uqpeN$INGdBmRn+V|UMS0_!SWS10ek*atnzxk8<%APi(vE<6M?w>9txL-NZuP8qc+tyQp7VA%R$Cl!;mf<-!!g_X z&S{x6QeR%Py}H)&MpyF^|K^J5rw?6_T(#HD#toS4-KVK&*n2|Bi9AJBWz*H~4dYcG zlj`!YwnOu!V-Fs3gG7Yy(LGHB*=$)@oaIjY9Q)FT=PrKp345{UUF>pkkxApL(?)}p zg}#_qMhjix>Jfo&{~|Qnwx4rOZgdQuEtr6gu^O-4tFoRfy++HEu1e^S3ec-JDQ=l< zyIrvy@3OD%NjL4ED?E(9ILAe-b}^xpPBc zdo;$|`{JRVSI?g>onR~wqpSDZEU~)o>3N~;%|0KRJmyyMQA)+@nX0iz_SCsz4BOxj z^zRRT^GugET_leAeoA@Y3b{T$|MSRpT>g!YT@Dz=pLqHf!xt58FMEZ84KeF`1u@Y> zMq6$lI~!+Yv2XL1Etj7zH6(+*xETfqL$9r9opdlPX%EG9P8RJiTw{Ot%+&`=d|yZY zB9!W;Fv!~{znydF(xMSx`~h=D3{=fb|1`<5sQVGNE1u@y_i%Lilw*1v`XTI(z6%Q9m?yZ39DpzduxalM6P zXRY}P{jBTOYbTelJ^$=8@=JzE>$dzr6t=rR^4p!jv2C0F3cUT>y>dj1%3x>tnq~v( zV3q)PJMz)tJ@358UDjJ?PkIj~ndKw!EtG|ajwOBXSIrhZmT`5)Y2UwC3~>(49CFV3 zUeA6p>D7^)E)d~_kPka}%HW#bv3;@AM&w5jD!BbCEP(C9`P?$4de##;s# z-Z>0D4VslXJRQ%me(r@o8gVg}<&paCoWtc`&mIv+M|=@?tN3ND`DLg@F**3#rO_+x ztG(JXY7BneKU;}O(lOb6DeTCPK1Oc-@dt)Q(30xsuRi|CI92(%`T+f}>VggJJ~q~f zjAG+r_BpdZ-XG80|J<}oK9Lgbp}j6f2RnJaz~zK^OGqF7q(znO{@=EIxiROG)JU+i zd$i;d*UDqF)5?H%t*NTZpgSXAd+XP^Gv4tR%UV*NPKd6i((&~BT_FLLp?{gL`5c$u zXZhsdyF)16?L1-8_NcY=><=3^(k~yMet&El-}2zW%lb91Rs~%1<&jU$4_-Z{>-^RJ zqi@b!iOb2x<;&W!8$tWB4EyBaftU}+5ZFuC(JLJwk;_MK%xNc;W6#ylD@^We{!q0y zr%|Ia@b<0ZJvV+OU0Chm^b<$ado?#tW#g)67M&4!yL&&jCTJEk;&yu&^2#1xe{o<( ze1f+2&L!X_ZYyhA8@67}EP3;i=ljl-UFvqKJv^7e&pNez49_qgprHaQkD`<}tIiiX z4O#A&b7v&FCY#20tv28tGrkzU{)Trn{)_pV^x`!h{~vpA6&BZ)u8rdE1a}Qi;Se;q z1$TE1t_kk$7Tn$4J$R5LxNC5CKSfqn*4q7d_t|~X=Q$TY7d&$mv)<3g7_*A;e$yrO zx*cOPsHEr?6W{bc2o!dwlE=pxl$0KSO7a!rViKXlXn0_U#S79|uq|$hXwkg5AK{z0 zlSs}-x`Co1PM6|p*?hM27`57sZpFVaDz)GotY9fZ1Dc?$~omHsy8JB>~c?*feV0S9-oUU@i zaw;lWjvpnEiNIo={81VaMMZz#uG&fFu8d zSlFU2KXi3A<+~bwa{N@UcA_T*Ua5OP1E)V>b;S}MqCi^ey=EfT7~5pUd37kaqvT$t z!OZzcZgD3i7h{DwPyMA8ORYLd#_?35m%O>m(Td>bfPorjY|AgtS8j9*eiUYc-yaru z5Z}5emuawIk9$O)9T-x~k|ASmQCQw?F^kS-#GzAuYmmee#qscJOZItEF1L|X9~>7> zTl*rv0p?;;jiYIOS8sQcAn_#Xl~$s3(MWKLj=kWw3kKav<1oOFUXvFv2#4u%nf2*O z-EaSxGR6=}{~)jk)3EId*BCny)IYM{b4;DsVR}?GJq|h-kHdpV9>Rjk{=>Hpx=z)Z zZ;&nVK_Q|T^mFv2iYGhqU#Cgp>KM}rYTT2fL^$e^Ev**!f@y_(jX^3U-|hC}=3&0e zKq~l{?DNI3`h&YLr{oOsINZXdy+SZHbLe_xWvQB5`n5nXMD4-gB=qP5ZvS!(Dt!-x z9~%Aa8_&h={VNVVWvGp#9@HF7{5ZDOWCHud<2C#^g+`R;iU|vxJt8{Y$(1Ln9O3I- z#f-Y!$xrWfu!-~W)ywS-kBG5l0Y`m`oBrbc*T!A+>TggVHS*LAuv%RB04iR%pYs&I zcek1JFLu%>Q4sHSp_95_LxnqlrsCqgIcR8Cib1k&n1&N%P4zZ&Jjq!Rb12D4@eMkB zc#ud~Mx*~sH6*_g#CXs4wP`nH2>>9zgDYotquUkAQiyxkKpKy$Fk?(`b1=($D(KIQ zW=Z{{xFei%jM?>^87~#y6Zv>jC9qU59wm+^^`U}VR{V#N0v-7)Z&vb)*o9BZOSY$- zXvSwL1-a+CIhXoPt;SSKq~Tsl7o@Ru==Y?QH{TzqJcW4-(GtFK?thdDL0Lm2+g0Ln zdYx~+Nw1gPpMcARFgj(Hdqh7x0_~3c`BsVldwI9h=mrL(m*jt77Sy`lf8& zM5?L?13GCq3tX_ixUIMAXA~CsMepd z`aG4@aK2w0MhN63~|k)NxyeXDn!X@g>JV*Dw@+A-v+nd!nYHp z8MaKXb{}c+gdHSJE4?2FJLCY$;GWK}ZXpB<0uKpkA&eHZ(rV~^)KERX3ooDLkFA^c z&ARVp{E)W>twBn}oiP_exr>aXT1}-dN*fwGd^>MY4HZh18;u*?`eih=4pKaaDu)H~ z89nY0Mg=4c^wswhP>Nlxr%EOq%ca+_QfJiC`e{h)^@&t``P$f!Arof<6R9M4cT=G9 zGsjiz8Z3rDbMIpv+9udeDL*MNGB|0@;C6T=f%9WLo+`KfUUc>vnE{tgzK4K(~ z*ul=_!4ssNy2xVbK^`P);nSg*b*Dk7$+!g-ig}B%Zzn>3xxXLECb(;2WD*O{d1N^T zPj)-0t}0gsLn$}4s{!EK-8orxD{yxxRtR#N<{306P^^(jJmLavm*0MCm!<5f6PdJO z@|IXZl3&t1TF9ax7!D8_O>XiGrE*Q~I z4osK+*n6!ws$fWmn$@YW&Qn_M{vAkMzp%e>MaSteczY7uC+&SJW5(mKASqcGu}xZm^3E!YCH~Ra&%D<@~S53datAW zZqIMuonC-Cs!9dtGwkx0?Alu`vXPZs9La>XCi|XhS~>V9OrUYa#dy$3L;RD7Jmi9< z>)5RGPl~vOc_eMO`KZ7`)o9iXT`((bnws+^LZqeS#SZdy@As!r-X|I(Xh(g^+e^6a zI_i71yWnBFq`>^00^L!TFH3M-`*z8ILdWObXe*{f-?lRN)$%AZ(dt(HaEycAPIl6y zG3x_BK$|;D;YTk7S5JS>)f$lFD92!VG3(<^Drw4hMLNCG(sXfB;(E5#`H~No#Ac5g zL0o&x`^3pA_YWnAItx0^L^kqv>QGEi4|CJVrh4GL)fZ-i`2~@o9e(m->Wg2D5nH1L ziaNkn62x1Hc5xZT^WjiC#Zt=qwDvGl9A2${T~MhsNX0hS9;xPQLSYBg;q`OW+?eX~ z*x9hmpF-OB;ZB$c#DFaHM4JLfL(p_fJl&M#sUEkg0DNATwZpf~Z3jjBgKfz-?RrE$>Nd|>sGp%M1 z8B!aI4$zAa(rc4E@dc>w-uf=|AtNI}m!+QGna@LVlL$)HFDIAn3-k;05AEK`Nc(_C zn+T+c8`lM>(m_8uWDCDRG~KK$j+t|_oBy=qx|qJ-%Qd%(75e4*AxvPY-X#faT-%2_ zqz0(|&5H&P`eJg+q6#5fa0A;(C6Q zuR*SMUu(5C7^x-Iv)})QFs^ePdr^eRU%~#>O#9d|I942Vz?rmAq#wzDLV_?2I+8jrfGNC&FznOQ`_%V-xFfrsi^DbHJ$LW$XPlGk9`9AoqR1SuG&82l2O;Gm z)LlF!_fFl^*gk7B=?4oTYpk930I6BsQPVh(1+rC?DFp(QCtx8;?-;zL-35Y;_E!rO zTu3U5ssdnCDO(ZElF>(p%`2oACqSWR>pQqb_TVFOmP1ZEqnNBMI|Nb;3Mp)uAPKbl z{kc|(#JPv49>nSY+KD?>tTc7dey8WI=4t1K2uH5=GqXV)ab&utw zR72KP_6|Xet0QkLzJE%^Z#m*KN0< zB55nBZ@9#Xlgzq3X@nK_n2=ms`{R|WALqVop=ZM&t}7?EK62+ z=bVjJC9T--ERZF1-B%Q`oRV@yQrb(*ZRm^4U?oX&3ZuY^L4R_3WH8)=7t8) z3K`N4Q^^=CZ@Md7&(@FXxd~_Eyhq)0ZwYF%!_kjS%E6jMjmyZ9Zp+N(q|>I`T zr7??X&ow}8we9o9^umfTsYS@1?BnrNFD0ccpF~WLnqtRcN@m`x#Q7AN$avZUkj22 zPbG>mC{25>)YdI{L1$=Sxmo}j;4pPsxtHb)x{>3`O6Y9H%>pkd(+}6 zX!Nj0f74M^V4KcdLAUBQ?Q*kT_1e5o(Fjr_S`;?M*{uJdt-Ouup-7Zfi0ty|5FWjs zz7`FkVEzfKkMuc@J|VbR1sNBar_VK(H7SVoC^{goIna;-^G$(>%EKdk2#Donmbko* zg%9UBm$NKY*0<&qlD9aMR&~5y&K)~i`r+P6~ea z{uI{bOa-fC8nj|sKto(;R|dl2VN)-rk4z+}R!i!t0`c%;vmp7fj4dCN55a2Jrz!W; z9!1J9PQEhb%{v)6T%QPWl`L?k`ALzw14H%sK5v4u{3JTB81CunkUqAkMHNdoZq;fP z3~%<#&2M%F42S?E(8xy@UyU#7ofhC*AfG6{nXHMg!e_BZkk^~*+s)#W82GWt)*;jn zn2wEcw>}pTtt3c3FWMd;77#ee`E`Wt`kPZx?i~xR4t|e9PtdlsG8=zF>t-#0{-hxO zDMgpYa?&T{toU*|7JrXDpTnGn0rAke{l|)#Vjs16^(!CVl!T^EeCd2Ig8tAT2A&Mo zs3uy$JOwe4cs~V5Bz<8hm{{bENCgUEPB#4V$pOwjK_l+q0-hhhJ~l4xwox5@3Vu^o ze58yO4^5-U9N{9Ggy8toqG^^&8?DFPoZBFS6^^e$gk?rM_+BTf?Q03R`g2`EJC}vN zPFtNS( z`Dsz2h>xQ1=rxw_4qrJ~zq~5G-~4iu;&O2I9tn-0Q3IRX+jd-@FXDBF=Tz7$Q+lG( zM#>d>IF!5f7PegcCt`H@JMt00{+Ug+w9IbHr>2wg_TOe6ymS>G_p67SU!g!LYhuo> zTv)b#LPC&PL(GkA%W>xxu%Vc?*A3p`5XR^AV}wwMrx>k_k@0^{W?s(cO=Wgd?qUkacc+BoFX6s}Mh6JO+zI@Jc1}#x%=Bh!f@ypef_h8Xj#~ z50pH0IlvfuyzzN;`D_#mbPwhn+-26cMGaTvQa%gP#==C4?g$~v#@mZ91DHhXZ74P) zQ80#`o$jT!>ZiS9;CSOKwTkV+vdtCrKgKnN6QM3SaqaQ1n9&_>b^4jShfmujQhH;> zmH2jmlUS>g2_3S&&fNIj#={9GF*w|gZdCoHXFarKM(E@W%KRjXEiL?w`5vesD61H`gWi$w<%Olr(L+i)!xbAY%YwWR({hYqu9$^F(C5=av*}#rXFBIq9pDZVeg%fk|Y zl6IDYQWOJHq@0-T=)u@Az(%4FsvNSu%lEao2}NV+2U$tzov*S@Q${@8iD;J-hgGxS zg2;!m(38y^wBj>4SjqZJz7WPbR8s!#FU3WRT-MreR%YQkQzP9#WIWL(onxqP=46St z*{^wS9)eqmW^>N$-cBWpmM?v9n?Orc#YBQb0pDh_~a+G2l-MY1+YW3EgL5G1%(gvP=viiIuSZMD%XC+fZ|jyieoxOS|# zIt5`&k@4Dw*eJGPa;ns6%8L?sTxI83$beQQ|qM{c6cjp38~ zZ#&?n^!W}V^Y&PY-y)NIUE6)?zym+>Nae#6yd4cy>#dgbh?mRmJ{yNM3e5bc3>slQ~1Dk8Iw~c-`tX3Axk4d4?v7e;;KK?}SRtk>3VRSh4 z*_OY*B)KI{Z)}*`atQ2ogb;OW)R!I>+uGg(;v(>M`UJnQtyjwog6sn0US%=E-2I#6 zdUu!jx$B!uylTrJ4%%aDz%y*;2lL39jC?yJb<>~gFTU)w?gf~H=E~0=MI`3K+UmnT z0b@=#!V$_0tW0|f~7V$0@#LGXK%YIGCKjd=OZtI z;vE?b9Z~6KHqq<8G2wVjaq}t+V$iKFqH^uTZ?1>+PA)?+KPhd{`n(x%vRQJoA4-7k zMZ%s^k+9WwvKbv#wNIwd_{A4~QR0&b_&}@OP?|>Df zwkWHZc8llT=;i}sanp>jz2h`Wng!p2?&~4>K3go=zGe<3Zxp-EtxF{EeBk8eWk#~H zw6m7bgm}LOXAVD*PB3($PF-aFg`_NWGRct2eVmv_<}H9z%oz`L=h-&!xH)Ku3t6}e zc|eZ>qjc$B@nl;z1;yUDlG?T}$mAic&6(%iCZ2;wjc?gTju`Ht6GRq`8Xnm$t@6jv_i8P=(?F12P)&|0jf4G#g3z%P~F-=x=K8d8Is zRZz;9?sv!%nR*;+^r)0cT$1~+Pb1Ao;a20xoF1{%qFu+ypD}vg2pXc~t9nyuZ{t|9 zg1$q^BC1d95ILE1*}d_d7Q*U)3Uoy*PdoCht(Neh{f<{={fLUAdF2yvF(FMt3i+kK zx0$$@9*={S#DXV2PtubY1YQ&FgT6IbV3ploLdRj-^k{rTvbLk_MuulKLJlO+Vh*l#p7-P*-b}O9P zHz-HqnI`3afYT_6Z_sg{&85QYRC?kDJ}A5+!~-cMzGQmDwH;>N;0V`h?5Hg$^Bii& zUyVBYX38viO}9x*b;0}avif9&T(z33iX#YZhJ^odF_-Wkt^{FHAUK;KO7!24 z3D5_X4Dh8jF~eBT5@Jbh(yMr7Qok9JG)OQrnWo18B^Z@tH3*W&-M&Fv=22h{oBIx0 zwK?cXQL6iaQ4F+Vb5jq;t<3d3>x2j%sFu1g9bv?)ua&_@aHqazp^J_a!_ zrs6o+B~o?BQ53x`r&jAYBJ!^r2)-FIC)~u)5~wK9^8aVAz82`WiPQF5BWA0eCLpw=LeTEs5r;h zu-NhR_6I$v3~!4qp4u|_k6g2KBN%bcG9OAG2HC(kcs|HoMc5O<=#Z89GI}99PBFV$ znF`h#;GIxMRVTh8$$4h(;fB#|f-;O)b+Eq1E?ha@n|`hsxBu9+i4X3rKQK3M7X+#+ znrp9)1SOP6uWRD%uX9yRTs7|`#hY9bv@Pg<0k_@KCo>){l-Er6dY)DC`z%Y>h^mWG zBBpU)DeM8YddT)j_Ii;~!{We23{+0l5ZSmUe|^g%96zZ~b`4yc+ckzODnt4Nv_W)i zGT$1RGyG@$T+d?OwQb?5Li-X+tv<;dVI=V}BtyRrPkLt}6*qEDn%9k6PHpb9We~D& zY*Qm5uoJJaN8DhF6gJd0K5t$U;2he^;9d=U&C>+!QsMsnD3!f6 z5py$4C32c}yYS5%5%Tl-?w-%B?f$^#E(9Iyq6E=ElTa_j6%|bN=(j`*krEN15fdY) z?ZH-h^;n{0zx+w%)zk0VUt&XnUnCMX;k~Af`9hBl-P7LaEJ#RjJ#KIjV|>#S=buKO zkF=1Fk?dr9}QIlIKqSM zPBUcI1Z4dHMMbnE*G<6;9;^jDq`?9PXMxrDO&joCdE|xr$&-)h7j!M4IXe$<5AP@O z(hU-Gv{k}0ysa;LRN{Ffq#zO#-l1IFendQ;HCm{T&o2mKXdPXW5Fg)pU!gVEEx{?5 zG2A6$@~LrJ!5f_M;J8gC?X+mcssxh0OGizJhDvKLO9hidY+9nsO=;FxYtGmeeJv+q z7Dyx&f*{w*USlsdpn3YL9{`TNsto=;T6t=$^VbMj-0*;LfKwz*)Gk2SB@ zheVH+qf>g=yYemnk4q#7;q8HOASop<+s@dZlbm1Z&rlM(7SfZq+(}S6LnmeVfa6 zlbo|iplqDPAXdstT_#c({KjCWR@W?CB~_9QTDH ze&sf%;ye`vmir6;QBK@9XUFkf1iE9s4z)U~EntgbG;eZIeIyZ_kqHXUli6i9B)Y92 zD|u2t4J#tzCdC8y=OiUuIal;3rVs*T(2G=W%7)yw&bKF4^9ds#0}aS)6`&!%C|M;- z%sis2e$TDr=^FDO>o>}LZYkV#-Ywv?T0$51jQ0jg7nE!n^H$XY=mxl<>1N+tM~)wlKB2S8uRx! zOc:JKwlc-uE60V|+ZjosEYkX=VI3OE_2;Lel2P5@JH%Q{Nmy(6dfPP46oY)QsHN@yR-yCK2-s4FJAE1$rmLN7{ zG^^#mnO+~zrr)1fIi(n#RrSQJeL|y4Sybmcetj?m!$WfLuFBo-6Z$}CAw8*lHW zc@^(8%t1fkF@<9-!*WpR4g5{wC3YCFJr91|j?~)IO0ST^;mhlS_RV9zplA zOl06UXQ=Nq5z>2dWlUCJ$dwH|r%0RbpVG^DgA|FnBf^B+8x>KNMIw$rphe}vY@$W9 z2V}v zVl_apDvq3>@?2!iA%6Az`KLZ&HB?rC3Z6GG;=&{f;c!r(DBKzTK|!!FR|JCOO^RKY zL}+Xt0pfk&;SR9hZGt(!xpH3e^fUJn_J~f;Gh|+=sE~@~GJ#Vq1I_ z=$Qyh7}a!?4%T8A(f?|0F)$I@VgzhJX>o&=yM0ZzGYn37F_Ih&F{=M#udzQuecRDX zFT?orc;#AoV#Tg6{oQhQn(-w-7Y}vx6O}NHDT}5~HyU2+vhNR<3^wvZoCx-@5VFKD z#Y6b=6w%jR^d&i)!<)Nt3DG;AlYLhd3zxZ6tb1bBDE3Z)Nvf~hej@1HABaH=(wUKuK$1a#!Q zQR_eFWUR9TlF4FV`uBA}w`MHXDSi96lT&(nBaT&@XL@0ok1d7AiTdeURPb4(zd%z3 z{~1QN$aE^U74eohtq&tn68z}=ah6zm6F~<*N@}5=>m-#AdQY~m>53hrL0Ax zX_;)EnN^58K`H!x6}6_oLr?!SF?h)T>eiO6&o$yJQ()ThP)qe8^H=^3-XN&h!YQ;o zPqQCk2;ucGJ&Fy2*@qDrl?>w2-di#sZki(;nn_#*s4q*!JAXLuu4h&k=Lse5eIIUa zIT#>vm?xs01nBW`I|o&^Z?FXMAbt{FDp^|yK3#eph82h4K#|Eu`Ylj!vzkCYTDx*h z$Q(DU0R_A6@Jea@C^yiY$~KG>FI>U@-U%BkzTWMe3~dMzxki*fop}hSs%4`LJh*FY zsRe?N0t@yYM(!4sw#!S>YE-1uyJB6vP~>S50PEjPs?PolMtALw;ma$1L2bjp|D;&D zT8skw>Bba)5xdgvVhEp7F&O_dm{wY>MU^Ot?;6i~R^$mEU~zx|aRfWACC{m#=Z=Tr zLJh1VuB>hMJ00ToZz$Fs^q#0By!D0&NLh?p zKbj#)4Km-#+N2k3wHMexz676Q1x-P#PAOp7Et(U3liCvhUUZ%2IdhHu8t(*$8^Q$% z%jZ3r2iK>%1wnE+^fcKzz07W`Bd8}F@z?2N-!_qR!=}}Er0p2|gtM(u6 zJp^;Qes&YDs&jUXfi%#kx)rBCZB7v2eX1>iy@#NEY=uCBKR}-bg~TQk4p!X^)eVhE z@+3dK(r)P>xTQTAvCPuF@-_``#~^j}1v@pz;4^{L+9qABv%yIt<7KSE?&t*00=pYa zuVB}^>|gC97|p==sB7H?=H}Em`z|XYlatZ=Ff*7?SCHJmi$wD2$up~*Qhla*ZPT;T z6_5pUEht;KTa<;{b>?utvixAbU68^$vlE(7`0ypzfV}Xc;e?=HZ660Lp|nr-X}3*n z?}kA>*@@UgTm`?MnvA_Nn2YbhLzFqz9j?Cyqf54DO7p7%L;kr4{%w&@lm_;`P~6q< z=#R>9$(4GD2l)O^TT?%z32&6!?(c7;Nn#Zyb)?L}B(IO8=_s%gV}!6VQ2`h6{`v*H zQ9b-hqHF3x&`T>)h{Fj2wC)4-Cy>>~Qa_LtSEOCu^NP^lxD)An<;@2_ln?51(#rUe zn8zei4d*RT1J=A-$h#%e6V%fkmm3N$-&NB6U}IDdxo=P#-P}0kGE+<&Q5$%So8Px` zJ(p)8xHL-VmomJA=e`gkbXSND?xDr6ZPrcD7ml^1Y2^yNPe=ffQ;4j8!*eljOFFuU zJ{oa`z9Yw6)KI4!6aXU<086USENhF9sJd|wB!V4Qly&+(Eo54+>P-U+7c1WSWaNzK zs^in9B>cL@SLRw5P|A-Q(5t;LC zQq^kQbkanF!EgmK5(TV_laox_{Sn&|`;(@&?YC{(pZIeDNQ$3M=`2D7WHn1Z3)|i1 z!1lh&pu^=^1z&KzUo?|yi*Rau)x!%$KV2Q1yUv6DZ z6W``WE_r6uIy<*aVy6Y3JXlwVi95?H?8UQrNwugY`DfypTULP~^WBrDpg7CI*D1`| zwcyxPHXTK^_>=*#BCbONFx5N9wOhL-4%eFt#*K_35g@CXuBWQAurb^H%ImqM3T6A~ zGv4=eL140JT5)B2phw%F&!OKc-%kYOD+QdjK`b!q_|Z?q4ISz7L&qKI0T^mKXViB$ zIz93nRD%5>SDE_C^bbDV%V^fOnMk4$UtRWT@5Vs9{m44Dse^8X1Hgdo3AkhOFwg$nwfdotBQSIb>AQa?>Uy=y`)@b=5MO`JsV}kOEx{f69L8 zIzG5S%7KBSu|_Ey-B29Fy96!e>GRkXs7=}pNFD-H8MuAfhn^34*#({HC)J_>$C>(c zYOiWDd2M{obIa8ZS8Rpe1z{_lcf95#<3yS2F$-oPw5#$!YqCQ0vC0v*?Lrqk0-k`V z289T^Cf^$kEk%hN&EzKdo}`2*$j_>Lm495>oHEgwNWGL1S@%FWQjOqBoAz z?gYESh3S$AJ|+=bjrg{x=!ksv%Qb-0+`Jyvr+eG3mHGzE;_^@u1rUuadU!mrHjLDI zL5V-n!%K-Gvh}r}_y~jIsV-!@gQjp2fVY5be`EmK)jZV%@7Rs;A@IRgS#8uwt)Gxc zsu>;xduvEAVKt>@lLJU1Ngt+D!EL~ z)KjKj+=qHCJL7&2?)`qxnIX&L@10!Hi@&^&xRv-j>JH*F$ghT>FUQ91O@R8i}sIW5@ ziNsFZG!hD7a^?=tW<&7cOq~A2{AbA_Gu83&%Kc{=^pNRlFI^1;UPBPxJ6Qm^PiYdW zyG;1a8&YkUYPWELp|VL*conkUAMTNu{VCzx7+b;bTQ+=*wAJPDpS_DVRm0nNQ8bLC zHv>)msOJ2n=)yfx=rBZm?5K2R0!#OmY<4%=ka}iO=MhQ4q}r z^fSsP%1=)-pii*pV_qT&lK;#oOy+I(@*cEZ4kU#eLUQSB6SHsy4)o=!VQDM}6Z^)uV zuFF0i*-KN;3h4;9ICu>`U*rW91>OyN+lBnq!Fp;*Mg;tf+pYzgs^W~p)2*%i=@kgL zGM7={*e4Eeg17He?z=C>_|30)*bMT)Ta1Ysu6p46D#J~|CSnwOC$u0k!7oBp!Rbhd zr{<-OrQdk!fEJWyb~)D1>>s?XY?QNLgRFW*`e8Z1NT4_xW#isW5c`#s>+4rK1bUWR zMlv-SEc3C9!juyJ0~P~DA51*KOnI{6+5F2V`rARVbLmv;k_*$SC0`!}*>m6A)Y%N% zh~Pc|P#>PmWx{SD-Z%Mm9%GTj0)3=xB393)i8@fMj-cQ><(xAR=r^vFaWNuKw*^@Z zJ~>D2B&7FsW1DLYYytZ;DU_qENOoua6SqsJ8NCpm>2mI5>Dp1Ek7HPVmr)c)18(oO z%QaM6MaW6Vy|IJ1i|Me2{c5jJyS?WY$lz2q=(?m(AAg`mB9N2yAy_SN-nSXy6N+>t zn--jdx9(5^(Zm;zfR);H`E;|78D>*>H}{29&f00KT5w7nmh^2=5_Ml)h&y_kN;XOO zA^Ow&#qnejTF*WN60m787>Qvm1ua-lp40;Wp*c()j%uYgjq_W|d0&RW6$8?Cr$?6h zX?$wK#8Xz=A(#TYa~09&xWpk{UDbdH&nLN%My@w$TD+hJc9;HA>9@N_JnN5jJ3Ksb ze2k~lVcv7Qw1Fw6&aIy3#~HMedDLZ%MZwYiLl56;J(LC0ERBw$K+D0+>_5=7>3$7W zqF+tI8pXxR1#S<@_Ni>1Od1;W3DM6DU%A%EgJ}(Y=b~(evU<-2Q_(AEI-}kM8&g|B zoFM@>l-$vqyS5NkD+*mV9FGu(gC#&-%`FEjcucnvVI#lK+__C#vz}6)e|D#-Er)>( zH`)hxQryijJhf3s{(j;l-H=W7w)^1pR)KAFGE9(s$T~F(L++_+u0n(wqkJG>5L7u& zU1p;Qg)1dB{8`%kSu@zx?5xwFpbgZO5igLI1h$d|{sBV9RRDJXvuxV;w#h{FzEd|F zPme*Pqwz9v!TLc@NT=^ov}dkTk*YxY%UFt<4a8EszSo%U$)majBP4fdHKzn3#3AkX zXy%SXt9R+fy^LLs{3Q~!>4@!{?1DpvYjS<(GLquvC$pV1fnHazce4@)^UDjl48>XG zwWRB?`x^H1s3a@Tg&)eF>ya6R(+`>bc*~0~wq99WR+!v%UYVyni%E8L$QigyUw2M> zy=!NDBlwmfu3HBO~e#~#0H)kTZg#jb? zBu_V;%l4s_o1KN^_v^8D{2V}_%6D|k{6pWqJpu^TzZ`{U zV&mlG`rBdnKN)j)c>Z?6{Dm(^0gjIk&cV^%SlHgNL)>)TEKydA&*!~*^h|DPB3roUys{QYmT|9<=5^!Y;< zfYo2vOiU~s%s@LCm|0nXm%s}q4t7=!CSoA=A6ETBW@TV`F$w@YWY59C^v5MLD>DNp z=TA%jKn6CQ|79c)5a>*1V5>3)RsaVlkQ@lWzyjdn1hBp!GyQbXFXZ0?;PHJ1cGjOl zm|6dL73jlX{`(v9&s$#n!NLXP7| zzmb7tM!$6iu&^<6z32@1Q~6&PKx7tH4hFVA?0)ey3l{@0?k|#9IDz1Q$YJ4P|0(A; zIFRt?FL1UO`(MC0SlO8Vb^!$c4fX=f#0pHHKL9!ZP!=eK8E66d@-;g-~Ok6J{6b$Tty5pCKpE|$P)2}H0l^Z|Fkrd; z%`HrSuSmeZNkC>`RsZzG3;0X6vHTfZCMMQD%ZP*RXXXE;Jg}|c?;QMh=znPSXFP%R z`iI*&INAP`!VIjO|Ec-^wl102ekaI_<}AMCVj#0tb!N$qn(AeRH=;SBm864v~VmE4#4FxP# z;P5xpOLZ{;WB8}wmvR7(e}ldB#RQIjqrEWO0mr}LUI^QOh_b8>JPhst2)rT zKk;5X`ahid@~&S_eF-V?pZ+6eRCF?M{Q1gH(l=s88GS3@pMg&0F!_^8hmDi6 z7jQ_hi11Ot;gT{E@1({DztAvH)6-TKm04JuTUj3O9Hg**7@jo$Zu%vy-t06sef;tCebpne1M%NJ4JU_03|bq)M%J=g0n0O=Kco&$ElUb1X((kB5ufkr|s6 zuZIW7Gb}Q27BU3A%Ov6nU1>VHFLVdQUQEmhey?C^s%UU)V7Z`bUSq=1;;`8VbH3AR zIwdZwv73PYnwJx%Wk5rTtjzD*26csFM`%epVrm;j^57Ka6O@dK{o#u*!WBa2VhbxK zAYxsfCsgc|im)%&^;OjG0U5;kb0;haIopNjKb^Y%jIJuh&2`fFcLnZ*Z9Yy@Q3`oBN_*8~485B#gv z&p(s#rK;GOIQ~{^FAL3oR%^fo?^mrc{VufsCQ&O;%D*YGe_&@@1p^`eXEP13E%mR) z*MGD9A9hIpo8|Pk?Ldovaji1{H)oiCYx~bF*k9Ajzl{8!A3FSv1C!&=P2;cK;s3&R z;6~wWD;~H-{XeY#Z)W|!jXdW+EUK{oikW(R z|MTe%_^|NHc;Ms3@4WwS(ks8n`PZ4x`42N5Wp;}GF{KbP4FB7XP8PsZX7F3TlSe3m zg#(wM%_%5EuRsL;8=LFTUL?RaM6cNigZ0v(cRMn_%zC}O@yGTg%IdCIskS|tIA5m zaqB-!rj*&yuWnF*?TH{WV0ifjdXPhMcZl`R4?Vaqp6k7yRgX7uimj6npAWn`^*K&5 z`}UDIH*xMoKxE8toFIsD0PNa!Fnkwgy*qq9Prm;U3u01_)bTh7qUmbcC z)?fI_>g#qIK02q~JsbGGt-R_$AxA(tD%a~7K+(6k1^?XS`ILDJK30{1@{Fio9#32a z?EWl&O6=Mg&BLO5^gKw2FJf^Q*%D3eX-3Zn6G$AG#_{YX)OdaM)(+TkC74rtn(UB! zN@D}611s=7i<>4V8ZY=ETi7Nt*bzCNe1YE}A?!5l-qK#LZ10zWo_W~L9e$3b*H6z< z&zH~Fo!8oJ6sw(U0a_v?1oyCO71-2O#I1eVuJu6aT290Z5a-{6qIo#I%lGv!;g&l~ zWS_^t_Uyd&A1t3c?GcdIJU*VxSL?M`v|t-xc4P+}+T)02KwmsZE3|(O%k|0>|4;!mCndLQB*a=zdJxGw47f1md1!-D`wU$Au5t z5Jb<~mk&Pc;LkG+Gl!lCjGSn*Iz9y?P95}BZGcwdse;^!M1fNHy$4*%G_waYR`(DK(9ofXQoi|spclWP+pZ&3V)&ioH!f}sL zb%FficGuU>(LB^%@R!e}=iwX5)3f(aOVL(^o^OTxk@Z&!dpl(V&X|CXBX^ zd}NOIj`veQW3jxVc?ge)c9-`95CaJ+8*%s6suJ5&8gRGywOuVA0G)%D*Eh!j$2Z;d zQTjH1ufcnO;*N<&!DRRkfltq8kjVS{HQojSO_@*FHiu6LOeg0o>`g{%C3?(F`p3~c zOiUg@Y5>D3qgR;di3~C5 ziM}Gve%E+E>i1kJ(^p|}P_$UCs71@E{k2(UU1myS4C?1+( zA<$2yhi}Z=RUdqA2#=pvXYQYCyXzmHyGv(?bb!IesodY+#2Fx6JJ>!+L4Dvz1t+?G z!f-kcOs;Z`go6Nd_VoJ>-l}Z*Y*F-<*NH0$GOk@Z1*|u_v^e~-JO^~eMNVbno@T147BK-6hyHp zA!p{;p^@LrLZLa!NpW|+oVUYl$Yb6h9wsG#3b#!Ci(VIIcRdP1hvB8ka!jzx89~Mx zeHV-o8r6#AVdTioKyOGFSZAqb92+`nTqGGrap!~pn>X}(MXD`+;P3QHB%6eT;(HqO?}{WfAEx6FH{$FAIQqp= z#wy}eLF6bBG~5kCW0-*hA1J#Mp@{N=tQ;=ca-&sUaZ^Z79b{!fJE9iUIx&K~H2Pl? z7q!B+o!uwoT&@4`T&rO+-^2mqbkjn9_>wh$*2!x&&Ky22j_*A?g=&e z70AcYy)tx)gGV4%SP>nLk2DR0H~QPqG1S}ez}Q4w2YOlQ)KX*`PF0^?2U$%OmL8Gx za0-5ec(?(9857DGc9w9K&(!TbM3YG1VuKS$QwLxn01e>UAq51^fUm4CHakQu87|CJ z5+Ltw089rGKR$YlxagcsAy3abazT|gH+b)zsr!-2h;|!7BQ$kJpdn?z^xX-V69V9{ zsk2zR;d@)0|_ zyywaZuMBS1S#Eq3S%QYCO9se7h|pQ%Dgy_TkrZxHOQe5joriuEw(TSn(>k<9{W3jk zb;yhm74Z-V&IjV&--1iADQxB{Q?W+%RT?RNHr1G9TA!AR&Xr-97!^;?1=?pGv!cPx zGj&5Xs15Et=6xYE3V&B5QORv7IzZ7qb)qLL6Ss0y3~G@8V>34lD8z%*3_u&{0JW%Yje%o4h=hpLvr&xY2;5{1PZ(POJfV86mA5!smh z0hqh2#r`Zksp4cGD-?96GNJ%?H(L}DZ7MO3KG>I4Jz+2|lH$87Cw>xY$sHsOG1mcN znC)=@g}8l`7&sVp+g0{rDIu`^zU1b1wp;QHxX1Gb-RmM`d3_Atwi+vv z0IQ1cPhfj)$szXI#`XAtGJr&gvv|66LxI!r8_>W(BG- zFceh_V~n~EMkww|$K0`zbHU%bpBX_!MEV@Cmpc7Gfb0vt)FdJ*oZ($Hx6RrMJ;+(&y24RHi(~t*+CJq(sTlAT5?_s%w`I|5eU)CxhGxH) zq5=!=N;Cl161vLh(}CB!q_~__T!3(m(BjHMXNmx=03em($<5~4yC-EQSUZG+Gi6lx zP#!vxjszy(h12ib!&pisu;AW%hxVCqOXQgaiiPwR8U2dV5 zWVt71Q$mI7Bw1)kadDkbH8C)Ug1qhK2DUUPVO@W8!I8FuLgDbuo)CO4#uM-glHlUN z)*YM%l)?E-BWaYgN`hd86php))^TR;AN@EVV6*S*ajoAIg0f+o2#qH{B<5n(D%?cuQObQRXaoAzhoZ;%D6yH(&42dG>qF&bZsAwz>yp!+%u z`b={gb!z$?>?YO(hc*WU2xqNaq9D2Hph(75EJhMp>g<&zibZ+a2w`d?xw9P#43iG^%3Ovz>~YqV%P^lJ&~!6!Bd}oO~};h!~}KLNRP@D zH7l!*J;vH8n-I1Dh48FIBgnrBCw~c=Us@$=Dr%0oQ;4}4%K(lr&^Z~PRA<7JLI%eJ z1E5%C0FBkHh~k*MFN7=;^5`huAkLOmEJ&A%s!mWw_vXnAn7SD~+EpJ5j+!Mlyumsn zT+W39gaG(u%_kl#P}&2Pnt4!5`cVze&RP%Q42*|ry1&G`9u!tRG2n_y+idSWsk*k6 zL3E*XoCf;zv=sa^Li7O7OkSaKufIu{XwPD@UF%{(aZ&O@A{RiVlH2A28C|9+(nQ~6$qJ5 zuhKsZ54nxoKn`n*6~03tvpkuIoi*nZ$)fnY1m%P=u}Ir0ExU)k3*Hv%H5ylJNF2=B z5CRCdmn0|x1Cdoah`_p533DMvek%*Te0Z3nz9g;2UUzj1oVmq5kbx<7F#clG%9V6D zwv&T-EebG-QA0-Y_gFo%Yg)Nn+p4iiSSslgc}-#{t~mGUE#wn8cab7*Xny+)DDPpk zTW@j&V$&CZqJ+QmTbs}MZVe575>+w(bN4M6*8b*n0r5@W{a8i@|E7Qu??M0IarwoC zyCkQ>TOEmw)UD)_h)dTV4_Xq>0U`h(ga!%%dojMOzRy+gqLJ>`)#iiJ-oT0!6&h`r zSDVsMz0?nAwP_Y+dOF6b*#@A-PB&tP0Zc=q>DmWEErE#~42qK0@SzpS>H?*nAJV2< z*B+@923KKnr1M{2(-!EGZFs*?a0Ft>C$HX8-pr7^F zaoKI<0K*F?6B}8+8=`d)UN=2`hv;1h+XYQnsHCRjI4-VDXxb?m2}+pSw9?KB(QR2S zfD3@)u!wP7vIJS;ahx(Iu?#OEd{wGv$DhvZq#sg}!3~%SxgArT*3j?}- z4)&?QjL8N#fVg@T!a$28s8_=1*)asY1W^AB0P=@{kkPnuroW%QTMEG4}Jn6rSAMw-u5<{{0b4NN4Df53A|8E8k|1peU z@rxT>Y;DeOLWlg6JoA4FqVN!%e;7pJ0fWAoZ(#Z(l3-wHV)3tfz5g+oVDYQhJEu9w zP!&5N3a5%idF7Y4MI~edEj5%rg4aV)y&^>~O@h~|I$$(pKrxPjuY}WPJ8beFPd6H$ zwuEV96L&H~b}}&B$wM3)5|_lexgo_f^|nbFPN=w~QF1_G)SKawmdx~B?@uWKv39*4V^y-}c)P1=!KxK$=zOR~XPv?rw3 zhUAjWo9+gTiKO8%U>uew8Hwx_$`V%sW7 z54+w_5&=zs8{>L#ump&WuoEP8qcyhgf|xh<`LVUdjSAk_zQd4XF}mPCuZtuS>xPR; zz|xH?yReS>cmjZ_Bkm3m1qJ$1T+-CTxLWKOy_ks(-ZNm_k80E%u$u6;2T0VwnMYOb zB7oGmVrmb&m(H~IiNuK%N9qF-3G()mqPiNP6&}ro^BJ`miQ7)Poat`cctuYP5@XtA zL+kD0vdemCeXFP6vT+ z#Kl=XzzR0}854x14R?OV05vZ!UWLV4bC+Ra30B6|w((+w&vl(JPm!W3UAQIDNYAu6 zL=-`uj>EB8sOi?}*tW4i;*%#2xsWLww|5qKRRrg#yE2mE2Kv+FtCF&ELvcvfXJhq~ zLq=5yA4xOHfb!LJR1`ZlDt2appnHvcTj-qxWridr@37B<#)&q66Fd&}P`vt~Gz`-* z(iB)9O|UX-dMRx??I&rae0WS)66Dux-pq6*v>cYvr&0z)Rr42d+(JYhJ3>&^v5YcI zWlfAaS%}pin;XCZ&^825DtTdTg0*aX&zspjOw^nB9;aF666Pj7ZeNWpJxB&zYD=)E zWMXA5f?~hGk?B}qA9;EjlW1oSuwV$=lL}r6pZm=N*~1)CIf=IK_^ji-O<+;7W3BWr zyC{qiI~!L~tweA-*l99yRyB6^jR?Lx9nHjv^xpS2c-ssUipy{Vbs3=oXF7VNm*A>! zkB|bsFd-TAn5gwE0iu5gm|eV+iJI_6SpdT<7?4_|r4P_)ULCb@D-gAz?Zkw1FGY9z zru`pUHxaB%0FFr8TY=i5nr_;|I#>$ll(Jy7@p_prZD#naDmPRrZ9pB^?Fs6tw~8vy zIx@<apB<;~1VXOed`#-G=~Gopk4};;D~h z_~ZA*)nWZb)_xinC5Th^dRI@v8eG`yRKV8&KHGyQH?sgb7wAs`Mjq(_WAGO;s! zowS!WyJ?$qlei?Qn;2mCB<+(P=u$^*pp!VGl)%_9dHsv(%EQoL?3L?D~S{`$#hj4}FoSRf!PF>iX7=p%}B6zk>wK|?3 zS4s_Zp*I7K1{BsXhK1+2?v9wOep?(ZFBZUP$Um$Mdle{ERE0f{mzc!`c1bVB=g58;K zdqQ`Vs>UcQT~c|THWXy|KzM@O?}aQ9UDiV(ytxd)hz)l~Yl?}*f>m&P0-%&KH_SX@ z%%WGJW4o8?u38sfbfi~^jlt(UKasowl_Ot8-pmowO1G5+6>wg zivWlg!DM77ywPN@5Nx_5pcscF!#We!mp+h z0+O;8a)-thr4F->u*z9f_JJy9BcrTHG6|8lN?t4u7aZecdRV_=vaW|rm>)F`D6J5MlURl(JYb8 zI3^M=h9ENnvYUOv=xA zr7;hFG zgiR6?;6Amx-H_F(x28%9rtV-MG6AHWq|7kyI_K)zL;zxk7mL-W;2}$_9cl|)32)53 zt58mg9zAGbiA2%ZIPfH{y2_!)f>O<**TEOKc1z^?eJkq3aZ%Ud>#G-ZQRrC28p6(U z7Lk523M)|;J^Tb|dm|Ca5e|=f7sK_@Jo%U_nT)^m`t1CB?JpN#$^b z&*l`BsKo)}>L#Nk*A2jE+;Bi08$<9>G?e9xBr!V@0lbv(u|^*bk?wuDW?ydNrjZH* znN@<+&uWo`FW@;~D5BdoY18fvwIU)RUUvpIz*tFGog|JIiBXCZ1GuEuw8Io|VFr)_ z!7X|rmqZzD@0LcP6DlaoI7LQ>p_Ce`Qv$L?)8~G1JB03U-?w{2Cn=>i4Zo8nT=V+T zv*QbG82NWfxlS-ZN}^PzHV5Tl14AJ zZU31Yy%Ejau981Yc94CaNGpv`eP6saGu@7kcCvMr3M04xY9>d;#8G3IpRV5mkbQnFro4c}f&^5+)_1 zx%Nm{FBrS_qCj&sbnW8GGX-FXHBQa4*cb$HJV)w{^5(k9n=zH?9y>zUg%hmc6~U5A zZ4t3=Ar0GS#zl%`2CLm!!qA;!QNjx?-SX7Wy~w!0iPJ!jF7Bx;W9s;v?G27unNuQB7n(yB)w!H=Cy}1M$4H2S^w9*@WnVu7 zhP1_$1gC{?C>@9Ci;SP7+ES@VQb@;iEvS=c zByL!-3qEWjrN4jJ)e1~S(46nzNE@Aa5%R?P%BymA7cdNxngp6r;`BC85ljABM zA3(aXuY@}IjkBkjU0*s{OFpTEkTjPIn4Eo56RQ z(`q)+;Y+4R^NV6WJ;Pmslb9oY5(>JW%lcB1Up|ajH`-DnAaYs`ZpqzT(wAMfH}}rl z>9i*qUSZiiAkA`cU*`$BGg4B;Va9PY#vzqLY3_LQu8$;iCA4e@J=u%c@G01jKGU3! zvdfmJxsRW!j^&&xU+iK$x=O8L|Ik><%OeY|Cf(0iI4ua+-@z~m>=EF*+SnwiGTPM`p869$-;KX7qrvQ+_usP6r!yb#&kB4n;XQQfy$p=VS~UfaGMQX*;PN}e zXlRC)>+@3l`7XwZ&_Y*-fumgsFtHHm-WwLFDWk`(nkzaXt|!a{nWw2je6P<0SrSxb z=S6W^V%DxH(jZv5i)+d>+nF4fLMc(P5yj2S=}8V-|MC$#n5F4-(Gc2F-u1`YUikbwyr52az3A4y5Ypbm}MGI@O#eemfW9Qb$vEv zqjyk+@RJ_wt`{Ydn*P@jO8bu`Y)#EKfT<14 zKj+d6Z(g*mpzUs0uI_!;X$MGF=4fBx@u>xh+aC*&H`j~YDx}CNX-M9B`a;O*#Zzp> zWYt#L<^6`JXU}t2UXQWP7@QYn`sS@^aw;PQCjgDn!IB1wNt%0IghrJ zlAq0vRL7!g=$BEuW^tJL zveT9=*h9y5gW!I(l_KwSxkpeAA-F3&cSKtq3Kh;`x2sd-L_;_I5@t5n@mxSI+bAnX?yoNvTnu+8c`gm=TJuYI8M=ZkWZT2n`FUK>l)~sFL zR9UxdLH7cYFLlBc>A;Y%eTCa^@4Tt?qVez+m6U7f3oG1pw}x#AAC*2p@wQfJc&&%i zJ#$)mzZs1fLcAF*pZI9XXxVNNrOj(Y>UUT&(+%E|k1;fMW2wq8S+^TY4kMk(2X~w~ zG^3TOYnu2(V*Bj5bytG(d@FO}r&6A8=gd1weH)!o7rxcR{rq;RLpuvLytku-ZF}Wd z5a4Ke!T%Ii{J`+Q$}Y(Z^<@et1z$^R>%Dr=X7}Ex+_YiJ{+B$m#}w@`5*ROwsw>4EMD+xiX*;Kon$a${El_^ zvhHizyWP^}5Ao?o)j9>*T4kNi44JkP<{wXhQtDKOv3J~+pB2G(P29tmKPQL|OMJc& za=}xn9o=ky;^Q-2?VYp_H~p~-_DZ}vb#XbOvuD~V_0jn0g*g13omhyyAv1pOdE;?> z^|CTziZ9Nh)9R?}hfY)9wDYn`J{&`ngJyeH*0f3XjoSK#T3TiM+`pAtU_%Z#rlqS{ ze{zxP?(3^!6O_Xa#y3XmpX^zr_fTsTT>!nkV9iCTF)z!#k@0z+mdne0zA&YNPHL`T zRk)mYT)-;dCLdj}WIF!(;oFze4xe4A_VD0TO|9=LK8XV^@bv5*t>y zKGP@{im)qhYY(Y<=Nz{=wRB-%=CV+Vr+xhRKF$V9G~2TOak5qA=du0wEAetd6>CH` z#$9l$K6kCis!qAKYIo6L^|XtYUDC&PEmL`E!*R=s84J`>uESj!+F=vl^yFfkLM(g* z#Cha}bBA(csZLHxcc#wrcvACvH9_&BnaT%CKeY!lqNCJ&T@59-QrC!xtV=p9aP_SJ zWJvaln~sj96P4lAp(Xp{pwzMI&(9{Ff4;WxT}{S-9&&YEkcFpZi+lO5=YvIiPgvg` z5cSfk@j%iGEhFw!&tl{ho^<@(DF^;`;Oj2O%zzzndd207H^< zdH>-00O>AxN5sCffA9%340&t{JcD^p|3+b8W(;y$@IMF>V~bxX5q`?q&=@4l0Bca! zkjDuF#@@bP@N@o&4`GgA#mL-jj`PFp7qSH~lr~pp!|&7_KQ4G|B7aBS@{Iw+#Kh=3 z>4zc6xxg#^Ty21d+~#sN{?6iHY{=si0m}@MdVp*$e?$85J!g=X;~VLRp}qy5h+-~h z@CezDoWGV3%#g=p!_WCER}Q~6{*G=2tUcXt#3>+~2rtE4#^1Ri!a#N%{qK}J`e1A5 zgE3lNeN*tm=T@0x_uw_4!5q=bPmCEx`d|5Z=Cb~ow&h2T-^<4Vf1-9{(A9i$20i;7!xq#Z(Z6LWXRz)?OdUMW^OV6&V2$J z2v!OB2-B|}=C_RqvM%u1PQXC~Qg!^5$L24rEv6u01P|i#y~)Ah_O)XE&#b=<9aE6t z=NmJLq0!eNIag?2;C-&^{TI#njev;XjQVpG%**oofVcS0MD>lI>HApxo2m5c^vIh^ z4Zsof6LR7g|68y|z}b_B5a8eP2|)PgZTYu+{tvUctoZaF{4<&S-}0$R_@@;4 zw|r_6{s}w&EuWf%kNx7`@~KJqFdY8vS85VIEamGxzg&Ez#@Bm(!T8Vx{yonLX8;Zl ze%*pcX~CcG;2uNX-0_D12SfA!ifY6Vq-Nk(%Kuxc5kvi7upa+QHS+&Es*$gKfH&%Y zrW*O$A-~N9{~@E!4+z))AgU2Vkk1DkSwGIh|1Z_Z_lU>;{{OGlz;6J9UppgjRQ*gf zVyOS;VWJ<1!5_!O|4qao_>|w`MGf_T2@{DcnfwN|{WU4C0)Cv6H{>ls|MZPIhZr=R zgUfc29@XKpe zP?|PYEA+cz&ri*(0fQefc|(IgpZ0!Gj`05a`&kXMe-$Xqf70(qKX^{$FQXr%$AG@5 z-6wez5+7_sa?Bp&W`Kv_K^POGpDlI-WHJD?ynBTTAYC!>y@hVYWol0Qy3YuR44f7{ zDU|s>SmetzH=AYUNTTiFUJ)k80<-4CkR!km3Tv_iYLChn6MB4tSS05Qg9hMsV3UUj zB(5b$u2zNGVxn_6>PEx#%Obs_Y|VKoR5rJqcw>rW4h`|TD!G9=l^c!nei{FvWWZKk z83jOez~`6w8Xg~jL48qilHs(3$jxtitadGUo*xsxM!fP!Ke0}m40Kf;K1gDe16BGc zrauP1f=k*=2}s*5WzUQ&u9bXt$=6rus+HZ0aABi*iK=gJ!3skmxgbPRUfR0h@l%64 zQ$wj%P-_yAILrahRd6D>EEqC#?pedl~Jc1#uf#2yZ2^NwGwB7cMW$$n%R13h9#=x`S_!<8ot9X0rxc)*7VH_HZ<>>h9 zo-Zz(AyKa1!C67^kH)z+JJ`f&&#~*>L&?X?hv|h>jacZ2%&|;K~3f!G$_{xAGe9XB&xjcsL5G zaI_(dlraoEA$CPFlGYm*gZGtAj_ozcu95R@UL<3VAr|`{URTMy67@twWYtw zj<{e0ATJFgA_L(4Ao5jn$^OJb#Xv+@t#BPg9_jwU-0uO@aq=}i0;0i{30fqcI=bz+ zaFOklB(d8XnY_dR71yRc8Ygp9=!ywYYr0R$q&14Xa)C{u9HxwDt(=StKjI-Hn8C6y z-j_W#T7f<)Kz4W<8(g{&Sy)r@!CZYkdt6v_C1V`oW(NQPEwjN#`lUJ>4=xr>aWDR_M=D!8O6};z-j(Du)`)xeM8qAQ{-JP6g_q0B{%L z4#C$pCRJm+%Iv}gRJ>H64#6hq$H5IvDnLZ(6>=GA`+%%!tN$2eky506!)`%UqSVkhXl-=u24V_3giNc9F?Bd<%G|r~$mwM->gohQam#6-dsY@!$YVBQroZE57#>Es3(48R>`H$v z=mG?dy4EOj$*D0m&_Z3;^-8lFn33=_?kc9Rie`P4vsr+VyuIOGuZt*>sOR9J+B+f8 zr2C3m)n- zRyIpa@|hAl3h=+}j=Zs6Gxf$hw`Dzgeu2UgX*>Mld#}to;1B~wemhuJLXT@C{Z=(f zTL@7d631`ayM458TG99jcluEKaH3RxblMJJEJIV@3f`NsfSbIG)IW*mnd#m;bueR;%Zcs*#LE!*1GwZ#-WOlHRh|TG^~Y& zM=P<^Y2}JtCPF^FK#3d99BN6F41LqFT!>&G;OV>Cp5R-hYe}#g!J>#{M8SLax00dB z-kFjeII+(I!F9J_>>0u&t~!sL~3C3hB?xPt_6M!W6JngoD%e!CzI8mm~d zOYB$Iuc|(B)S9~xke9X~V;0SObmBdR_(UOjG;RQ5O=0;!mgx%tK@~yN3ho1eT59mt z(t$VoA~etSG<7&QM`D~QLn8_deBta`Hn80`VR)p;LDUI(?b%)Eq-IoKFjODq})oW(VbLqiw|@P4jjXqPLcd)2IFeaB&Y)0?T}xD0nubN>Xnt4b~5$rwO3 zRPJOgXa7Atb6`=Ea!!n-Pe!D-RZP|h|M?dc&G+P25H zolHFtO+iQAR~_Gn?b#X=KVa1*1sfNcc!S^T-YY;SB~0)3v@ludSjXC3zvIL@b-9UN z;&daj2Pd9uwLVmDcowG(V-kWeBe=bU?JYAVO4b>zr2T>i)x8Zb2N`~9eLSq5R=Ciq zOM>gPm%Q{-1gW{SptgwK#;uJ?WwI!2qcc!#_*Nxc8h5G8s_6vULVLqJlT9M+$Sr*& z#Ow+E#=won=ldQ&>N%PhvwDjO=pC_fih4(jn}a0ov}jx~2Y_Mjn$%(BP&M~nSQBuA zT0~XD03>8|KkB}k-bgeZoTvtD_n|SaagF^byjaB;oc!L`Bx%+&S0nvH69AHEIE6tL zBe}K@Ye}7GB>FhCYFCp~ku5!{K+ny)%(c+hC=GmDn_DP zHJBRfI?kOkVTm$`t_C4w&(mWlg3f9BB`V!cz59|tZB^9Lj>bneO zSw76^WKY9q7DT;&O>Yk@Y>Uh3y@e*8ipWZx-+N6a|4vlWtWD!`?KAVwc^FoBL~|;c zhM}kD_uNRfq|9O;_l}EqE2s#ruA|RlUut+hR3p>t+u0o9>Do117l?5Evfd&Qsw@{Q8rb{dj@bj@c2^roVZ8^W^?Pnu>E4kIi_Bv0L ziUYW-P&9&>(twG1N+$<12?wTG;BsbyJudRFl)=1Wzx-inPaPn~40PLZ6EA^q@-S&; zv@59^&M&e??zFkP3S3Mfx(svh=WXnoF?o`hs|f(TV{P!%L`rDJWXq8R7zaZ~+l1FF zHdh3uhbdHU>#a>ijXl>j%br{<4@B$V&lV1X2{{cI&hNo`+0u7D1fRauHaA9NN&yjR zylV&Zqz>M8NpSdSdxYysYndd#i>nDzxx9#p@3}r;B-0zGh+;WDnhuaRnGql_qb9|? zkh3z6Q9ZdJ`UuWa_#ztocC$^;K@oh5KG!x`XP;b%AW2XHMYO3( z)9urFPl{`-uS1s|2S0tW3&2iZq&i2{Cwj@m zaDUhGymY^|{GaPWkKbbzq%%F>Ho$%$4|*K|HnygL%!Yw@4Nq; z2-(p5f6n0rLlBPg7wh?l7lLGW$Y#BLZ|%13v)zU*Cv{VYbQkAY#hg)O6_*k4rCsd` z(z^w>#7WMVGd6g;X#4FaIw!=r`Zsho$eAFKXVNRY<;LLF^MPYbu29Vfmn#on-QNEy zD|-L^!7m%%KYV)8^!=q()7`YJLp9M~u5|ULk@ugw`*>5zW!W9<7d!W_ct)qJ$8GJK zc4Y}{TcPdh6*lw6`(};h_8oEoyF<5aigo?;Ozy}L-QAT@{jajx0{0AG)a^Q0Y&JFi zC6-9rEf-5;_STnN!Lj>pOO_q3OK7fdK07qDc+BK^d;L8wMEksL0gcm_<`rJqCw8;@ zn5ETqkAkHEXD+jrvK$$av1=YLzR^E>(N95DXPeb6%JA6HloK)5qg@T9G&xj`Utg(3 z_~W$B$_;tMmDyeQf?WqsvN(>*7iqt0$}Eq0pBKh`dVZU3_3fcsh4#I>CWzHvSSklR znnMrmXT~tZqwhhB$KKDXZ;72FNUha;{?qK;+?==Nu zxQPl!Mq>QEkHon6(0W!7Yi8QxaUrBbpWl-mZ4_DbYkS~uV4$_h8t8jYbk4Gjoys^w zjhp7cY`BvXiKsZv=(G)Yc9@oO+w)f6j#IF=MaSB8Q=2|9&KE}%WI;!;$B2HNnSIB@ zgBQOK)ZUccwawj&6inf2_V0UjM%h3N^Wu{43{gXx{#eQucnlLOGz!@r_?w zvVT)h$!jMFQ?gw1nvCMrD95Ea_Eqjl4knYIubdq^rtCa_t%m2ZVP($8j|Zxgo|UFN z2~?QTsa#cb#Q*X}x7AY#IbLOIw+|bOBlHHP<`?dJ7bLqmL#T!0{YEl%$sR2wnB=LY z@7_To&L+#@l!P>r-N}_&uhKi$w|(~e7`cR9AZ(iZ1@*;V_*8L}=gnudAv`1Eur(ogBvbw~rh?iU* z#jC|@X$e8au)Sn)MRSpcvrjf(uf+=WdiOnmd0erGQn4(xTcw|3(o+yr8opKe`2p2K zDu#9z`d3aMdPzN}2Axb2H)pxEUlQ-QUedKm@chl5wNv%gp0=dEK|6e$Od{f;`+?hb z12N>at}4y}o$}sc%9rFAFSdneJTzuFE-{t4Ow4Gj7ZcULTr9Ea%%KO){+h;WetYWB zmrgmVJ}(|u!w;VENxalYa4vZIE+^V_q-=YBjv^D8HLn!nw&=L!&Ud|jmD{(j?qBG0 z??&AF?Hg6?qUbp;mjc!9p7xS#K)55u-XsL;;8$u_7#(%SJ(LX*M%hQfrasSlPiVC6 z*t~RWi|V*p-#Rx9fme+^%vb47N=0ct0xnC84z69NCH$bpanXvvll^FTTmN~H!=d$! zrx-G~7VgJZtlJZSM;uJPx_wt1q3@WaK5h~@9l4atdO z+b1s=1ss2!E%!!xp{zUX$Qr>yd-L->Vk*Z~mu@)o^!SUF!*cS+RR?8mFV{Gv)U_7T zmY8+x;G!3MJ2bR}bv^9g!$JtTFNM&~$aZ^Yfu(7OI^$)PbI1vKqSL1nmf0{do^Mno za&~@fgo<@sb?6Gz8W-3D7hkeN>$Bszl5>ilZ!S!j9T<~d)Uv@6R`qsAx=6futG~;Z z#$##bs#BdBVO1N`6Asmz2-MwNy?S7BBi{Ivl#FBw^+itUaR)BCQ%`m>x;^<*%(y$>m!x%DoSIsD zaa9?|GuqPU&0p-j=BhPjvFMc>^+`VE5bFL}m6j{>83CG$x7wv!rBlwWZ?zb|?G^F@ zar)xSS^3YBVI!oi=fj)~wm;8FGQYIRa8Uoyty80Ekw@-*P`WPtpvk!Fb+XvAcUoIs z$+$+B>M>Gxw`hM@!;l!wyK-ZwssA?O8M+OTVi3rMzT(lU#Y@tdI2BJ^Kq! zc#7zpiLJw0VUVTwT+a3^?68Ke_D9!9&s>2dLpBV>T*OZI9ox9?fr?v3$5gsAtitZt z$@P0wC6Zh?0>YOLP5m9LkH6;Nt(m~s<_|(75ZU`<89j%P{;Jr;!P0e-~6w67W1d3bT*iNaM}LQO8_!+v)H6+g zUt&`uQ&1F?S7rnlDd*!==W_lT9{oeKa1PG=6FmASu(-i@95-0Qe8EXDXJbPXKIC{V z=fB{iL1efwxC#16Fmnzp4vPN$gnl&uB{;u{BbtHeSAMC%V(T0IHS@n9q<;XTzpHUt z@KDEpQz74MFb9jxM>B(fV13iS3ZMRrj|OYYj3>CK`|HK8Am+ISH83~+ULi&n=6}uk zXLvM-8~&=%YWlV9K*;e|?D7AJH2&7@K>5ONl>uU0`OP_3(?6r5e~gd05%beP`IZ;2 z+x@^@gEFdf)%+`j`R7v#-*D$YH{wtA{FgEK?Kx&(vwcHH8~sks^6w@({^Z4*=y>)2 z102g2CH!g6_+R3ejriV){}8|Ybsqgaewm-yx2ON7(u&~p2p(49`FOT|$1l%;alhi1 zzrwTlzvaP%zrvOHw>r`u~+i{QsFWB2Rnzo2%|8X+%SQhy1f{{y!71%+m$` z8BO@Vcx5nh^S_^ew+4QPT;z8~-l+NuUYRc+{AXkB2VVI{IOyLDh5chV$mkc(u%N6r z_BRZ_hWxZVTYuWl@%57b%zkj?@Qvd1M_d5B`qu!<{}>lA{v{mdx}@@V=JS*CO#KP3 z&DV$iGxPrrvkdAi|7+$O{!=v2_}7S-jC_+n2Lc#c{0|BSoBT5C z@q)p-g0}BathraVS1b3ie}T{8d4)&Z&Mqoa>@V$DU#qxpy&Td9DLYUrs+)8C>E;`Y zY9Ps?TFM2j;?k!imP*Sw)|(hexi%}9d7$1Ycnz)YUrojU?Cj{KD~U=UHjb|@J@WD0 zgHNk~X}$fIdhN;CfsA{W4=qiy$1aa#-1aAD`x}*ZhDL6zK#!#78RTc1n%*jRY1!>Q zSwFeKy(>EUfZIXOraGbLt|6ZHV%D(Qg(N6n23>4e%%c2=m7A((r)qM|%D;4+N1tL2 ztv%J^IPB_t`S9M49}~^?Taobh-0eN-=P%pOr$)Y{_DC2r@i4#rewXzIo>v=Be3%fy z=3efsl9-6XWcI0nc~sA1*omDeDMVNWsfE_Q_tgD;JwaF1M{N|8%SH zlcy2DT5R^N;_%x|&qJz5r&jH}Q!`N%{l&8jL#<>}LSjN&?K9xrcf-3L^R^<7&OpE}%1>LXDibQ%*e!;9#KXY4%Ar955zaV?F+|oEi&|n@F>)E*fTb zhC+3GduXQgSSqRUQ-FTfb2vm8TQ>3_hPz_~3axFfC@{I!C0&-XGt-KjX%c>B3fh$& z8(yE_|1?`!foP?8z;fc9o_pNB)CvMgak`^7WIDSd^jQx@` ziw+z4XdD+mFJOe&BzUe7`Fk!p zN_OI_Ie=;3)x-kl$quoZ;zs$D5hM61tU+Qr!s>)km{%B3mrMQrC!T8 zSEF@F;?$=ldH(U6mdk#cpX=s4Vr&`bOf8XE-N9I+7W8V}*@t@@&SI<11|%Tt&?9HV zwk%q8*vCCLG<5oY>pIBMPkYkt7A*CZG+dIlba|w`^pJu*>Gj~Yj?9NyYPvTI$ONjccvaGEb{o-1Db|I~vO&H(DOas0KHfSf4r0*b%u!tyj$cEJgdu!3Ar) z!V%DCT8Fkh>Wg}rzBeNy^qr@ii z?(g%uRK&h1f*6X5Cclkm08MD`Q9X({kfD5m9Y~#|;zVh>hkM@``Y{KBT z=8bx9@ZhzD8+KlD?)-y(w*QN($4)+B@`w4_!)NFAzV@Ztwt0NOzzz#GOjx||mijU4 zzBGHsiJf-XZQ03#CN6Bd=J~~!9)0Y*4*PDbZ$I{kub+@#_2%89yFB^EdH<0=^MjR- zJ$3e)E5A1Ms&VTM*mmt3gNC;H$&+v0bj@xr>^e#%8+f}d3wRHk9r=m^DDnRdtje! zbJzD=*!h|#`mcCu-&XJJe*fSf9KUqLl=W{N{6YKtz`JWdf9!DdA$jre z;YVyUdZ+J<`DDL)wtw;YzDox6KIy_gzJBC+@8<@dH1gC{D^42S`-XX!cHY1L6??UL z`K5kc*RET2`JCUpzw71g&z<$sjHT~w_n)6#e)1lD@?$!^GU1ie-W}O_!y|{CUcPq7 z=NBE?W8lQPF`ssu|I_Ujw!i)U4=(uKuSRU&YDt$~<2(HMr88gXFz1l2OKy5=-%kC> z?cY}0(7RiHV&&%Yk>BcjWB0<4)4x0Vpq@*QYCLbsg8L7=W2dKw-2TX#tsXr8^8Py? zQn>N>Q5Uc5cF^qU^VTjt?1@%a^_lh5^*g?N)g$vCd+o(!!s2Th`z?CqivQWQ;gI9M zvd44FZ)`aGr7_3-vh5q4Z@;b8p-*>cyJY2gr`|t!&2a{g)T@+JDg{ z&;I_+Zx3AiSdX@ceUxmyPn$st8?NqjSNBE7P1&{atozp1-S_KzkN)CIL%KCi>3do2 zo(UfvFm!s4v$ktY+O0b3j@!B(F#N~I|7Q6$?U!{LxPHy|w%=j*GbVPQczEB>AD{a4 zs5TqhuU~vaV~^X0uDkK~o!^|ke9|KW&+RzoTlcMaVQBKjgfRjr%=eC`kD zcD!oWk8|e?*>1sy>rXp#?-OhH?%g_f*agoGo%zt-V}CZk|4y^|_Ut`t_%}bCH1oyR z9$CC>@_~EJ+vDBO|NX>Ue{}7*Mb#Cf_WX42A;*7rzh5qS;;ju2{Pw@vy?W$B%Z9ak z<|lJby>~Z@(^Y3c+@ll;iFZ}VN#m8OnmFITvI{V_? zPXGF&!}j^&t+&eupSa6_?)wbyxpL;i#a$2I^UYs;>AIJ8xNmWv?b{A-clv+7eaDJB zcAwqh>jy8M_x6~%%NE@F_=Oi=yZVx!-qh(2SB|^xfYCSZbNyZ;KJ9wvsJ=UV@6-)* zcJ8|Dw7I`H_n99)w7&boT`pL3(v$n`ls|2cskbiM>&_o6ANKnd_r90Ry6difrJFx0 z_xteDcly75&CH3%Zr!W)+<^y8Ja*VY2j8)7WqE4j8&8$`Pe0*|?5?KJjxcV6`F zUnjpkXy7gvKKteog9p9-;msF3cJ+v<>*sF$VCVXmKil)_XP;QvahJPxUvlt==da!P zv%{D7xbCLsC;jQ1jxQg1{^#>g+~?KRA7Ay%(bF!PG579?pR`^%@5IX|zy9o3f4rdM zxW)Op*UqYMy+fCLswGD(HQmA-EtJa5 z-I2{@+Ra}bD{o1G{A)RNOx1rSr%rz4|0Sm$$+hr9_s{e8Q#=vFALWEca6G%&ls7Gu z3+WqJk?z&pH!-*^qb6Nji~JIa@}@uHH7Fk6Nn6fj3Y-2>;q9tgnpUqb@akBJgyfd= zX7j9iX>)^H{wOwUIg{ROO466hN&1#ln*3%&&0Ef-)i=M~Roe2$ru_Qf&B*3?gRN=! zygZO5vA2x5<+S?dhs~N2?aJrBrDXG49`=f-uwq(UT5g_JFKiyF{^4V8T0vf#+x!$( zp(14w&2#GI%@5K2?T>#@7Ez_jBA4co>v?D{yMmdV`u{oRreS95B5JkGS!Bw{v*in$ zbLuS~?alF&TCQ1ytt@rd|1gOh&*%Nqk6;z}?LDZEsp~y*+Vt_$8|wMxw&wZLw6P{v z|DR1FBMq+$Z1?A{a@20avG{g{}~QAKcbZmE1MOLXh72x`!+U=pFVlo)PBK= zZv7765wIjjRY0Cvm{PuHF4vX+>t5FzR!lhcgoeiYZs`{d6Y9TlM*XNh!$*xiWMKX9 z0VC?W9X+DofcjqbJe4sb%W}ae;J^KFaYD zY8Imk$@;BU;&D5ntHpyj_2?lVPRUgc&+G5Q0syI7C@2pTr}9 zf}!^nDXyFp|iaKovjh&v&O$7 zb$z-wiZrNv0gJp;b}U7gSH)t@{|_gWFTW@ARK zSsyLPwPFi$t%zLnZ+Sjf#!@cVOn-pc8APlZ9hlRxa5|4_F)caFtOpgrCO5!Lhw@}o z7+l$Jt9d(@(3woER`HAN+k&09YPDp#471I2BUseAWXDp=*}7G0sj(?^MU%zVn(NwX zt=vL;>HEvlUSj&AT1(8%!QV7}l;ra999yP6G;)-1WU*o)jM*$!s8n=6Cq*1krDM9v ztE5;q*_o7D=%ZA$eVVYxtvzJB?u`W9-2!IvDk)bY29%u}!}C5|)+Ovop(ETQtQlPl#^_8oMG?B~wE|nx#uC3lpzRfi%?TDqM)wt1T=B?^nI8vGUCYIeqRKTe z3^Dtb=jaVfTIeJ3_R6Mv^2jjTYYBQ<&veKz$66Q*GECP9Y2_IAmXv?csn40O5$)4` zRpauLjs>qm^(FVG*r>uQFx8j&8qe}uALXj;zZx>u#*D3E-&XLXwBL%^AQ8RReGr(= zjmH468nW#LrgkiGms~_;n(wv1)YbzlsBIgV>SVC8`ow{090bhHTVTp(jGUs%#K2mh zV?ksdl|orip*B+jlg_-<)WT*=!z;Q!77o5YR>1t^vr-IYBvR@{%Vm(knRJr z7k?okp+cD%@7#}|)Wqn{r=Rxj7&nmwOX8ROXtF}c6O#L#jB!0dp%LCk6l{u(Y+Xp1%TcE=^(DsTY@3&a> z`C>mC4>&pAUd@;FECcLZb z0cP?v)RF2uLY5}SD^%@hdtj<-OSGo3`oPpL10xzP`vuI}tCHMRo`RWducD}xe_(dD zc&Whj44Cl+4yZqffmBp~5R4#?%mYmQTIdL@7@fs8O86QYyAI6sd6jY|-B)00bCs&3 zu~pZCsm)cQ3_x>0ftkKcFx<7@1cO}Hh}f(8#Ars6uEGN%GIox@OvfiE3te9@+vCJg z)J`mcsb335l1j%?su-^lWRu!C?0P#N#8A}7hEbM7E7ibc9%WRw*`3hoxePkx0hqwsL1-Ckiz*L9gY2?)24NT8MM5#6A7MRLOFpED2 zrv4y73yh!a`Ua+Zyo`od`zkQ4X8U~j(7@DRK!dV5w2wHfhM6tEx0bU8Gg|;G zElSGpuZBDoeT3#Fa*^$~k|(qz@+vT`=>Q{YWZ&ADp)>m>Fda*Ue1Pi9z|>}qke2c) zFx~eR^6{$U1Jl?XSW#`lz|=>qAc|G)V%(G65gtINIyC51|AJZm1I+diqvMBQwGU*X z*zLS0MIE2gdHx7G!dgaG(0vb``M-gwy#Z!%%)nI7;Aog%8<_1iq855q+4L6gtWe>g zzGPswZVW}`ICMqZYZY8hT}!YQ+Os+++C!rYPqE?DMyi1Md`T6F4*?y!NZKQ^uCllS zruGIHR=<5~au+&kK8+4Ru9(;HREXuqPo*}OFJNgQrD7&GSYEYlSze3HfweFX>Iy_& zRf{A}W!-2lDjhT93p%x*gHH7anB|k%eHQnQ9jW$9U>bXk@(7Ky2Bzl&%xY%>({oux z9;nY5n4U{8ixYwo;ZVL1hBN-59UZf}7TUvk(e`*aMC41A%$>$Xt4YQ38iCnZkh&T# zfzD!DV2Q?o15@9*nvklLy&IVNIABOInH!kOcIa>>jgBYe3`=b9GCK8{KrHSPn74UjIrV3%V0wNNd;=XHn2m)eD@~t+rN6Mwao%k{~U}% zBjW>8IYdaoVpV~u9Sdf$&A`-t0jsLa4ovMKFw3b^HD`HUFw2Vvrg{s^Vg-Sz9}3n2 zUkGQ5%qFZtf=l^_P3?3g=W_&U?OT+EVPv-rvw8-`V)YCdgcgqgvwDUEPH8MCFk4@A zw~ZN0bsk!QK#hzU%=l8IJVNIN=6xWv^~~VDY1{|R<9iZJ{Ws_cvFZ3~CABA^qq5KF z68jd5Mr~j&j+hMsW;L^cX&xKQ@&SRVe@VHc)r!N!Cv%O2%u=+iO(#(${ zl$KMS7nto`vi2G?N^rqU)=`nFwgq%lMhfpMn{F> zgz0FyC(KFRlZ1FoFI4z_AJr9DLuxCBg=9R%v`{}KUhlQ`s+64QJji0(8AK%;@1av0 zBtg~MT2_gK=z37%Z+i&LbS)ZLW2GD-T9oyzV$~?Gz%+i9U`+W~FtD^e+;g?zFfiFM z(r-dKxdlw~0aU5t_+-BgO#M7gM^1BIfoV(?tf1=)h7qTIc+3MjLTpAy)wW?48zBN< zJPl0O63p~MU@EV`D3H~DG0j8=K*x$09jZ$)kE=w6%G>j}3Un4%0pqr}^-)$CLBP`I z3#NLoMhs7F;K0=XK!cjx4b1pVq($Sq5q+^S$CU=%f0*)mrZH4VG01!)mL|40beLF1 zhYAsl)UnZ#I@Y`z?h$H5za{snyeIS!uVy!w<5<}k^NMko!stR^DP4kL=a|YcioRGR zqa##kn8`K1wfq(qgZc4+nLJPxa{V?1t$9d=Al`$yr=358!5XdQ#$dPQaU*wfoa?)u$uV9VAwR- zvz20E4}l@CvS&5LL~jK~m?Fdbz_g|p3~_4h5d_uw5@``1hi^$=Y9Hj}#E!^QB(1sB zz*KGorn);Y5@s?FFtJOZL5FbH_DH8F?{TBVR*4|G?!P>$R%KI)$=MH# z%(L_hW_?8JGaD!5+fr3*pTKHzj#5l)d9bqPTvN<=$}IxXjX}qyTN!hWvij_qChJVP zO!p9U5~EG&#Lo*1M?TvJ7=c#BqEOl~@eOD%E`usv6#p7V@Y*qn;YEAuZ>5;XH86_! ztX~TDY#yN)v|nsJId2q^arr^%OgGXmX+$}Lf$7XCGAU?Q^#q*)zkiiv#{SViSkV7mVh?5GtPU*taJY!SfoeE=qL5OfED zE?p0z)MBdy9cek~H?9$AzwBnIYvx>`r+2x*5V3lWqW;hN zpfW?(mr4zl2egNEq4O={lqeRziR74%g>nJoGd90tbZqTWs$iJjv4aPg8%9U6(&k&` z0*$m6K@=SexgFK#ETq1FK#fsV?{o!*!=-&BT1N&Q`q${tzdDZuQ`E+c<)r&6Y#(h8 zOzyxCFGm3C-XJAnYneL5GLLY8tq*)P9WA|{1)Jf+7W?C9+AhN2v3R}3QT1aB~%2PWqicBj4#lMABFOz98P!| zuCC+}p@TEZ11x#9fuXC~+^}*aA3%E)iHNKNW7TyYhz-Mt!ONcHMtb@SOU-;v`hYD? zr!`|ir#XVaP_{Cb*w4xX_Or?`ZlI>W9FrLfnCc_ww1x_mhu>p;R5cF)9brP{OAT|^ z#!P*fWBSHNv`5D}U&0Z}zqsCLbcCRcj_cD-hXJj95K{1O^$s-S!_U*R5Kf)Q2qmo_y(7=2wxZ*Z9>@df)PKNQZ@tw|jN@U%}64wZn&s27+ z{)n`d>TWQtcf_p2{u7-SnA($IS`!oBYJD-7+dhG*f60X@&ExPbb^mfM!AuT;nH&Pc zFqZuX=IvpF=-w!kqjwC$MB5`ruV<#hMQ~jY;z*Kv!Yt-kDxaZK*;FJ;toAOBv({&m z>>&Q_bH+97QVH)k>a!QfpNI9eUKa^>+hJv z*GTx|PdlCY(@`0!@;NY-r<@|KO{Nd_hO8wR!2%s0!2-wh9lmIfq_BNkQ$3AWi{Yen zWTR#Oh4*W`LPi)J9918dt7IBa#7E)f4;>#?yY3{E3S1TxVjiR%0DpM_mqI! zUISBIL3<2P)(uSc7IcZ)NML3wQ}wFvSu$qifsL6Xr@SH(skT5=(fXJ%#Pn>%6%Oyi z^l#iNvi6`dp7M;B#uWJ0d~vczW@84X_7zxBQ~QAEbJgTpjZlW}@fvQt$~rJRgH(~JtxQ(U;_YBsSHL{TDcL-jn#vMXkLg+@ zqQ?Gt;6v-y=>wNZ_Y0Wqd*a@9F1bDEeBomoA0G==CTyXxTriWdA#v1)jh8Sbe@;%^ z?$Luq#dr2hgX!BC(7E0R!x+_XdCo)j0nQszN9n@JHcWHs^oyF2^UDb&7A)U_shosP z^Sa0zVgNekLTGAj4_8<87I)$-zYv((qF`FjK)+lav3X#sw1BDq8+YtX_pe zkz;BfP!MBzp}^GsBjTIr*$+%@i*T(}#|Nf59*jaa86TLQ!7{r~&uU;cKEl~5i&4Mk zC*y)~lS=v}DsTLQPV+)>PtNuWVIPf4;lBAiqV7xg72crK5AoI^YC+^nU~0F6`CbDv zzHnFC_8OS#!7w8<{t}qRZNs!s-2+D2OL!U~b3Oav!a8R8ZrZCjuh2cl7h-98eyLTn z^AVwSJ-d?BN@e#=(qTx zy04(q+!)^ygwk(`U#W~B521D^)(cma^jpNUwMQkW@|jW`)o%p7QQq1fu_~K~)$HKV z*&7Barm+X;T+c)qvhj~2ZDS^7sC=pMG=cH}%;Y`M9JQZ${n_kiFod@70L;!H-k|0O z`PO0-yqc%=O&oIfaloveAu!vMczLF~`H2~|PkG+L@(TzTiwl71J)jUm7E6nln$&&{ zOwSQnL>o(BsxQGTj|gV50x%+!+4Tra^(C0T35d^4j!O1(xLYcV1Jj%h7y&Hp7uoKZ z)@{-+vR&jKnAzTe>AJ=1v3dprQ`sKXu^JnuEL`7^WGvJ>8vhuc@da16+1#c#j-NcG zp|OtNXc{&7%!W-rLeYEh)QQvTIc@QqEhDE*n_kZs{LQbhoHBiU@pi?<4c@cUB$|26IVr5>(n_pSI)U|-T4pa%C+&;x^mi-uWGB#m2%xF z7hmGKxGKJ!_u@;O_&(q6w`bk~0ZLjsyPFi>nx5{r`{}2j{{8gRPxt$^U?7+XJ{#y6 z7#cX;5etH!hmZWe5(Kf6|L&t5atBNK;QIgmAATd~$=X-{_d?vce#?7cd-uM~x#FqM zq%Y?_GZYEE-`O!R*ycGpL8`y`*MqKs(|v;{TR{hdQUHm;JxnKNe{d!?hy4Z2!C+VL zR|)*fxG&nUy}@7O-G%))_)-}5X&bgX_yW0dVb27A4Y%S=1G7JPEcpA)uvqYWVb~is z><@!~7zz8YAVTq$Y}i}D+q^rR`+`2oMPbV}tUq{(cNZ25s$tko8}{t83(c^O;4dC= z@iuJOUk&~e?>^oWM_t%08#Wsp;@yW;!?4>n>|n4E3A+)7-671^uk8K7?%-ww?$<-O zyB5cz!EZFf{!YgiaNi?8zfRaeYT@+rj+W=+dhZNg+e^s>o`(wfH zQer`H*i~6TaOL1cCVlbfrCfHrI92$}z`$UC@T_0}ic^F^@F+N*vOuN6SiU$rQz(5V zJu^K$lNYoR5+09|DDg)wj9p3>N;!o;8~nqz@B=jCeI(WlevLe|qCa{s`1AXwOH*O+ z^TA6t_6uN@1MHQ@EiDrlOD&S04PLiko`{MPnVczvSlSCZTIhd<+=-Y;7@kbNlqqEM z=S()ArJyh8OmS|!Ff&)m<})VV9Zw84AqttXiO*afn=M8`hE1iqR-Ip~)y=hPZMnKu z-;4|Qb5Yz=*&_Jv!!Edwx515-(o<3F@x)fh>i3-6Yq{C4rcNbcsUp|^2%_}p2R-0wzyn1YqhoEXZCj{ z4w=UF+RbX+ly6k)gDA|JaN=q zEMzT>%IVCx!q{}tK;|4}YVgX<H$C~M^^sTM0f~Th| zp7_YNxCcKF^34|V?a^#nG*s-7X!%ixB`V~;7GS$k@JIB?Z!%K+1I(Ma|4WRa?;XaN zk=FCdD`vcUZE`wU2AP^@kW{E;GdD6jxG<`SSv5Dmd$FlK3}Uh7R_q8zP31D zU81e>L?Kt}waWS}=&c&xnmxkzhpaE&s4kTmr54+8hN(kgU4uZQyj)#btC?F$q?vko zX^m*gSHtw06$)&fU%+1WC5&NSdl`%Ayc-mwNg_(kxn6pEz z9Ttw5myAYKLvx}VbR`}&S86L|v$DQit=DK2>Zi3u)1rKs_Hyf%f?SbP;g6a^_Ckh1 zai)+p!(AkG*yQ_@&|gkMOC2Nomb1%r8v$EkrDx0AVNU~YsRZ-p3fgvec*sku_ zGrK#Rb!`*gLhRF~US3_TR~r;y*-(26>({PVhs}-k+FH3Q@uXQ=TwYwOLJFTLW}CeU0}$S)p+RB%GQ<=S#Qi!0?c@o zaY zdS*70cU|Fdt6f*k;X*bVE4X5)wU#DmfCM6gy{y&2?#LXb+$j$KR##`+8fE~%vPbMN%nrD4Ht z2IgY9ZfB;$u0j`JiB@Zk#Wf0?Dw;2EHpi{S1%`-8b9H^qWX(cteWk*2{X|g@^xS4$0+vKFhtAzm5 zIjaZvycycbc;cC(rq3)^)P*%^Fj>I&gv`})nE|WI^!2@B;)#wIEcXHQ--%5|U*jiE zoG`77cw7wV6jNi(5WF))qq+qDTCE7m(I@&t@&X1F*v!eXf|x(%rv730kym;;5}hV9 zVjkU%{cFrpa0{-34l`^%hG%`4z&La7MshQGE4iM$k-V7{2Qt#V&m7p(9kYM$#JUdb ziK}dW!rI_Rc3tMmN%iGBtr>PC4A0kA7N~YRl~Xc{^^pgGFr04-0)mBg&4f5q>I^gR z2Cupt|4HN*-t1aUjc=_sys=WfncURhE&bI;-igH%d*V!)YfQo$YxU&D#$a-Db1-@9 z)?l(iZI)LCPjq(xM#)()W}O=X8v<+yu%Qra=tMVcNnF*i%hcA_ZVjJ+Zv<>Ko55w}iG2JjA+Ie7@fq}%!6|s@w zz>~BMba9^ff)NK=`^{C2E#>;A9cnbYIfie?2o|1b3^^_6zAcZb*6X$UVKZM|d47$W zREe6Ki)+`-#gPH3ZB1pJw52t|Qln}b>+|!~O4Yn-nJ1V$SS{PtnJAW)%0^AkG_-iU zu2x}ZsN@hnOY3XmPx+}*;2J^so-;QagI(P;VUfE;p9K<;FEeVefW* zO3pnrtvWLSW2qZv_jhST9Wnd66t}BVHXC}ub2GGWS$mi5vDUfU*ddqUq%(|F9^N5V zm-@1ev{$^H*h2f(rP|ThzTYgA=jRv8;k&Bdu2b)gw$`dz!|L(tSgl?4baf@3WlfMN zoXd`x(oAVAmoc+5#cZiClg~28n&A<1kXd9pb0G?uM*LFBrkhcAh755|WGvRP(%5}C z^K;Xg!c2Rr!l!3=q-TFTLLp0*D_LkvMetb)yTnC3X^tU!8B1FkjOC=xNg6|y#cVFR z4A~eaKXJ0%*8h!e|1dz zwes?EnRPP5YX{2`7Aeo}?||{vYSIk>cHtN<3RrE>`)b!#Jo8+QB%%SmEs;k^YKKI6 z5DAgS5i<)r=T@M0Sjs#G+Z^t^~ zZuMtLv+X(2VIP9D3)fEawhZqjqGRbu!~Geb}gL3ty~{qn2GI={VkZYE<`g^vABJC0!HGa zNFfo7mNLasF*$vSmvl1Ef3Y|MBDzSA8JoylNEY;097+%MPUnF;Zb>5kdru+8#Yv94Hm*E5d<-SM6d zN}(&3=t>r*W>jjA#1rvkKBKYmv80-S1~iQX*`gU%;b+oQGj=UDd^C41-&@F~lVh3W z*lcnxlboCF%}yUDb7NbP%&mF;+`;V$(| zF?%jKtG^7A>r2U5`=1#v3?^s8zoDcl(dfbqT1+-$d5~|RE;F9ZPmI~jSwda8`P5I{ zm-;ys@HwT!-_ZRjoKpfXA&j4youz5fPNyWS*J9l_x2+Aa)xv0Zi8E%L9@&JkZ7@Gm z0#iCSCs92pt!J7wyjt)0Wi!j@fw=tf2(|fh1!XFZ7-efCOV30LI2j3d1zaemOWS0u zV9}kp4?I0HMUB~}6e($24o9}+W9-6MHa9lT)E><xXN z{fq1P&5jj|LAp4V4K4@i;8Jjfc>eLY^!`EdiI(kf%D+R1c;xmpd;8s2{@~q((S-#o zRZ5RF!v*idE|30=-w{nuz4|wPC&2#gSB}5_?#Lg!YvYWKPm%%q2mhnx&4Sp#OB{jsI$H8{nV$S0T@pP4y77`!mHgxnGNc69@xf!38Rp<2DV zx>ROK8X*44P7DT9=^6gU=0d5D(v8xgbd~-GOnWG}G@Z@&pUd=LLOK{+4qq7-Cvmba6_?K zl=%zD$d-|auo-6t&g%8-X*4)&5$8oYf4S^50#(QgdiKPR$60Gi>egKL!mc}6UDzdg zr>+HV%U-gR!YmjI0mFZKyLt3+3t5k!rpcvwv zIw$Uy7apHpD3&gHjU4&^JNk_1P&t*@11N%=HF64mBbA!UQ2;K({~kEOtL>;cDK8o) zd|b7C6Qrin>PaaIB3lSfO_{!|>n(%hW+-c3oHTt?@)!!7$H_@Em^G)ocRm>8)q#|P z!IRECo;x4B6@fH#-kqNcuWon!iG(r3QmR2apw!bWVRHX&YIJt zIl<_4F|Aq8KfzRKY~1=f^$}wQ`6;alT``km_oyr?n14Y9nt;t=FGR;rWutNtjOzah z%wEhf%n8g<%&GpNLF!YbWlTC#Q1!Ye$j=xPXfg)OJ~>j*Lxs#tX9De0PtA^%ruv!S z?H(a)klr6}v&uaA6jGvo7@(!=P4U>sxJ9dD^DE z&$2m5dw0Y(w>^N>Q>xKA%PX61Zq$AR$rZ#a1o?BXP0uifXDxXug}&?r-9Iai=-g86 zD&up5t|?Jop9wv}mX3!-Z)sF}^m+BYyVa}x*itrOeo3E2UQnV($)RDv1he(p)urlk z!wDosC(1hNNIaA4D~<{k-QqI(5ZX6H@~^F$I$aNmfzR2M+=PvzveWa=DYy!@Ya@wW z=_^W7i^raQswTtEN*=V;l^PqL2G(KTiXVJaQ@(^t_kD>B(Ylm&v6VID7VX z#}364U1nx=Z6s_3u0v3cvr^M{9@`^=|5G5YGKUO8)i^^?bL z-?{tipMBM*O|q6AAVLT)~&8B9|O=P_d z+sYRVqSBE| z<%)B&sb3k-j#-h!-kx3fW+#&Q9D<5ueqzKNii-p8*{kH*>QL{h3k776gmj@HriAk6 z8J)5#Xe^bN7H?HeZgJ%eGX{5M%v*?vkU-hI`PR4Fqc{mrKDKUK| zkIvS8Id~LtPG%yN*_dZ9M6CLr_V%mx0}r9WJ{1^OK1xI7hGol1eMWGFtV?Kit~hmH zLQmR0l4AJ@wfdh(u~G~B4C(IHBw*>>Hu}d*p}JI?XSb43Z508XEdq5r6ijTtv&C!c z_z?8CH&^E>5522?pT($+8;tTHx5D)ZM)PtnMmW?4l+V|OZRHG6hOBj9am*FO1Y>M| zF!Y(LRacAJKMnM%DuvF-0-b=L`c7EUOTJoQNDHXhF;9<56q2c?`y zynTtdQlP=^VI-^flQwR2DrjyEz3fKcC0Dx{b|*acAjGwOt6%gzRf5B32SAD+*UKyq z%tCc#p3SfYyU=xj(Rxt%s}Rt6@}Tk^RLlr z3RlGCzCS;#_!?g|eig3RdE2OW6t1AK)DJ(wLds2qmUj-lJ(o~v^48%rV(9{vrAzdw zZK~~xMxT!86ulbT)gNCYWn&x_O?+r3d=Wmj(*sg39 zk5PrFGZQmNXSG$V!G}CD2{Jaa_h+OJE7JFx?2J@H_2N+2F;Gsmr?Ju{%hR#;fW_N^B;X63B?47V_00^P(!^U!oVz`b(>-2yd0376;`Z2BK0}cQ$w34V@V+Vc7 ztP4S?kLPPT91PH#^8}@9>r1GTtSoIZv{n0955c99thAccZyU{#!`F-YhRR;`qjLYZ z>L@&FlNwhw{;Cg)UqvM^SIl43c<9z?SgaRKz#g&n8jg(jY72~ls4t+_vshbipnHHy zOK;=2NgPCNlhX#3q@mV&2ak^+MY6d7ZNI5q)e;H@0A&znf5a{tamd9ZREZyec-?1K z97B#Wx5l6q^4O4OH(KGU$tW^3u3!St#b`s}T84#l16-d^yix;H%S$VDl(K$^Z*IkM zOwvoKp}A9Ehf>S0xenBZt0}Pzb5yVX+B%a2dD+LZ!tpUif~NNH2H_I)Sh+&KTc%O^ zExuB9;@4fOKRv2H)sxoF{!t(EaDMy|yoVj9@@9}OGEq{c7dSuC?{tD#=A-M)u-6*N z$gl_TBX(oGv8sylK#bZcmy?ED>o~^rupV<|lFiColRv4~mhJc%?>>;&@0pgCC(PWF zrY&Nqd)jZg-H&Y>#?TXD^(&b)EHsKJaCvd~%H3JJ!_~`fXPk{(BliBOgDkBP6zvom z@_kQwF^1)ueLr+33QX^>yf%@&kku|KOARrV`w~aT*4JwEy~TNRAyksGD~xQY9x0VZ zlnl_$_n3#fJ7BvX5h?qNYiM<gs;7<~sY0rl8cUT@tZCnl z?IP~ek+>U-dx}^@Vx=Nvi|cqR7=51VDorq@j!k~Gj>@DE5%g0f{aSa%k<6`dxWvn3 zg)4utG@i;|96t{QJ!k`<;^Jwg&${%4(k_$)oD^^}o4LU5lihdf*~?}+*|z+%)*mVl zJ@QnUNsmn9=aU%eob_NN=JDmmtL0(ElXxCieN?gt$@nI+#Vgaf{A@63$6DlVwyLgH zH*1KQGPQ~RX2@h2eJuw3GKZKLRqO1LiOv>)L>Uy1oeW#PbEqhqIy!#RL`|V9xUhhS z#ym#06*_jc(r=qh52eGnrmD0x*{a7*=ChpJkR#2>Tr!JJ(S@X4fA68}?Pi-sfddW& z4bL}#xS3fnuy2oxpRIGArChR#KQ?x*z$bCHEhm6Vu~ z`f3Y(g>|ufO>!dHdOQTptW=tS%x(AMJQxCAsa{36l&PTyeLv8C3f$tEME?0e0I^}V zJ*JRIQ#5XKhIZuJrQ{QO)ZVm~Q=e4?Z>ul+bzF0U4L`}OqHR{Z!oAQ>@$X`8V`ec^ zs48SG1+#^jUtxzvdL(TxmxE$1o6eX^8WT+Ta@oC%qdgM_n83cc0C`|D#qX;bPTEaneIc0 zu2Qy?%Wy2JBRhd-=XffOwOcJZn<*k2=$yz-lUh8_u`y{ZvMHV^fT(K@?Fl({k4;Pz z*vJQV7ftY7X$n`EOb=RNZ^e3J9KYF(u3HCYx0R-J9EzbP)+3!PJfGdy*@rv8K~DRa&15^kmmvPJC~mF65ZYy?wSmUz&|H>rTY7ssTR|32 zu)E3m%xS0Nh?dk9&LvJtKa7*Re(S~7nYa|jHKSHQ-D_LQNKNu_9rb%9!U+yDrI5LO z%3SYp=15zM62Dl#?%uKEAe>uSVfaEPxLz-BI-I`UC7yR}wHOrBK{229i52q`!8oeZ z4frZ6A=22+s@>8O{Bnueu5Z|k^QXQeo>}~s`irR7T1RpW@ndYLgiEh^yLdI_CeO4f zeza_^we7A1Q`3?K+5I}Z`2{n$WjhAUE*+JT(ijVJW8P184nIAfw-;S{1Ros(rqHa= zDGB)t*7Ju{&VDuDMBLSd`~{;&K7thGFO98#6 zFEep21GtSVTr`xIw}=8FQi1nzO4N74?I z%#6q(D7Lf7TAl`~rZ2Yym`qrD?CabrM<3nmFOoBjPbU}+I_ZiXCoGGs(dvYGcK&@=&~CLqnio#6HZ7uF$c?<0)q2Tz!>Tux_p^Z87U6TQ#EAMZ*$dIDvD ziA-TqSVO=4C(I0ULer}YZSNBXh zKO3}=U-FhmJ1+~_mgG2lg;W3?_q92cHCW1!Jyi^k>PUT4&o#`{Y%xt2yl77gHd(~& z;NY0d_Hg1)gy(o(+i&x3dG=;6Ib3bku0~1VLoMHAT6uEL^%U(C#A>8Zk?r`fo^T6Hi*1m<- zG=5DeIfK?WY7-yFNH(N#MD0VgL}8pRKk}T5FG!9L6WhI*W)BUN7i>pXlVNC3%kv8?&iCVnT zaLnIRyEbFWR8#tS+Z)OU;X%85M!I?N;4iA5Ox39r_*-ZOz)$n$7Z3n^a%I`ni?K z(RCq+8 z)DH|@H0ynb$VE5jc}NdEs>|t|=2|I*XHuo?v|dnYFO9_$rym$@4=xuoB}GQiJ{a0V z;HH#dlH$i%8)+NpV|Fot81H^RLC8EP?1O|ekLbMcE;4@z`kM#iL%BM$QWtWINWe1s ztf6?CcHUuLfYM!VY_V$Hv#^Wv$mz8AtblYyiwh3sG3_Dm5=LBTyYa` z?q}14?cAfbtoE%w*^5z|*I1-}q`t}yh1N9Tjx3|4^LW3V8*MZxV!ydh4Y*Zkn#t4` z)o=ZH)O%cmP9ONWUSWOhI_IQy*#~=d%oo~+wpv}=?S8h#9Q9K_*5b5!nd+}fM}56; zWp>UB*!}nr9S=M$Zh!G4S<$ryelxYQ&K*S8By970&Q^lC;?yaaF%TceoB0ubKW;?F zK=kCagWV>qA2wdHKjHVJQP8DP=?VwCeQQPe)PH!$745w+z-^le)*T*hpe$I|c4C>z z*LESx)vHKIm$`RBx*5Lbw>D->ka)@tUS1nGx+!!Vu`tJ38;e)jp^9n*XrzAdc^s%?;-d?}i?|BpV7_kEf)o@C3Rf-`N^W`!O6iN!+S>8+ zz1j_=Un!zg*whDO;6S~pI2F+aOJyf>W9O{2Ql)~CnqYQfO8Q{nRzJ*K;yB6$&Z%B0 zWpcUxfI-B$3>Pr;NsgOtsfnbKC!-NoTVVi;CDDoj0Z;nMx!KZKKZS#UMa5{+S0>JJ z-pqQ~ljGX>*0$tuTevRgXa;Z*2i;IeiEZ&Q;xX`vAF@c@wi`9*!fk-V*@|lEkxU#R zJ!f2OV=Y(I;=MF{W}+3QeMhU{s{J{u`-5_lrMKb4*%Gq~4^rDuhWrd{Bzh@u0~#D` z20iA!THgd&a1v||1867>V?An$n;hL=Hp=l4MA-)sL?NiASAxI%i7JE6`;5U8(}72EEVRgc*)+mWQ9()efaTL{Ib>|ntwF! z(E5+4!Y3!S7j=D|30IA8b#bY-mUI=CD^PvAxzH=z?kS*qStwneMUcd8KxFUZVph?c zwUIPnb98>mP34??Afvw%i$4~%Iv%4h4Rr5)<}ps8b}Hy?2SN5910&1QBVl~0l`>** zjSKxk2VCYYeRES6%!nX$G}5sVv=_Uum~vYsZs$vzgq8K>RVHrfJfjT@f941hx^1lb5LTsV#Nxwh=Smo%qmV%9r^v+Md?4ucEOZp zYgHM|quh-84%ISjat(S!{$hpuTClS-FXRz7_3Wk1veorWD~@F+LrrA#k+XgU~7AWZh{C{F;=8D0shs4>eO!1Vs(YYUf$Tx8B8`2^~ml zm5%sRkHHo^*+SFcY9%6ATy`sPmq8XXQEUUU1AG&R=$7xQEZ$g@=3h!$1gW)JPp8Hz ztsR?0TI^DW3L`hjnA)WBf;ixc4knQow^OT8Mz_(>jFM*DZLTt^uAvBHH~xF=M4si` zw{F*{ue}O}azj@B;#y6S@)y~YRpZ>n*uF3ELKvHIU3*)c~!Io6B{VDS*gLyWDI0{CNc8iZ_PF|(L zrPd7oWD?m=laEHLQ)fqpjmG7WpHaK?YZQ$esyB@p;^lQtL34#3!MUASMy^%@v?Hw< z7`PvaO#9##ypr(ak5PP#r*q~*(n(Z1cNsZ&M4z6)goKj6VdaLLxU=;7Jl!T-`eufFhGztkvllpYHG}qD^VFa_ z)J5lBv-5hWPGSM)f@j!RL(b!PXR*Q``bq33>aVy_8H<;@jHM^?g(87G(Q{vd*PJ4{1grEVHxfOfOW_({n&Zd*mN*yX2wnXXiZYnd ztxm)vCM{)Iin!$g+oBbm#1yRh;}RAR8?d@G$b!w6<}t|>l-3n)J}FHHiBAkh&&B9g zqP4#1T3u^bt1{`}ID3PdWXJEs_B_hXeI{ksbSbf0C?@WC)J^bDa5E}1^|(039Qz{> z)MpYec=Zs@D6x2&yqL^!L@hg$jGR|XN(&8L-!6OQ6Z~7xUi7e}i*-mc9=UgSKJ_Sa z*6Y;`%S<5pXr@(ODKBkGDoSccBB|wfNbP~CsBBc<{{r*RG5;s#2bh0``9CoK6!RV? zIya~e#m|~x;z}_;Hj5Y_R7ec+ua&rARLT-8B4WKy?Lo!m?O0-WoLiewFpW#c6pK?% zu~$%Zmffenv(kEg)`&yZO`UG>OO=mJ6ngEcA}yMq+GES&W5y*b0fb~YVwvp$sgrkJ|OjX zoo1HJlY33NmL`u?m?Px1LS~YGoiZeh?a~6)kZw^%J@x{-__B(Z0hyGiGy?h%>C55{ z8ty&f&02Y*^IN=GE3dR{1Ud9TQ!|g^FU#!P!L8U;OoLD=iO2V6xs}{o_cml2Oit-SP{b09@E8T zZpz&rVLLt3Tk$~82g2g%isY^E$HnE#$clGnr*sg}%DA{%-!4zI0Mksz#f_XAWoe{> zQ9dw^SB^x|C7nC)$~F>zqTR3KwSTPrP3`q*O|S7w>WVQl5}*(Q z4)ond0<%|Jv7$|Tb?Wb;MLE@YE}AsPi&i})WG)Y6mP^yKliNUfPFd;rIl-?-l!nU1 z=8iuxp;l|ArApOQuCkJ^R<2t7HSzU$y5UBs&R-gXaCQqn=UGg==T=s&);dnHf{fMT z1m#p`n?%5s*v*=l=aczPOSKIlR!T$EGee)iPsB);?%X?HFg5i^Cq7)XBn! z2p`42WG^zrPnogK1Hmp~27g*|C7h3-yMI6-@S>Lk$dV4B4~mjq4Q#$jW@haur=(VAG>R4v3UG zr5+v*BX*B4Dj!l_pEXw)t&1G(Vxp6rdj|bFw}Cgy##2>dPQV7klMD_R+5Lju=0c{y zeYRI=dyc~?RqM-(+F7fuAm$@MzW3O>e9@=i&UMrn#6^H<5GKMRWWFXZ${$&^eD?o~{YMzx zmHq!0{@lP3aVOo0sTpq8RyI{g zj~-XUnVMlDrQzV~l@*}VCO9poS5LofEk)7+L73bF?(x|9$_~hB?rDq0ABN>GI!ypS z$*psF4h*Oji?dV5y{au}Hq7nHqi-t^6TY^GU=?x?inSFg2&-9Z5Cmzdw`2SEQ^ZAN zH5%&e15YH@78Odn=VoQ*%|O>?*z?g`1fj?22&|^etFIbml1KTQxWcZId$sMyuUF-z z{;u{UzC-yJpP>OR^l{D>`BB=_nm2lPE_ZOJhV?`nLDNZgyWev;43FIpt$`d)N)%pQkE|qj(6|2M;rEZ zcRYoH38debKfiug-%{HYucI>2+FTLn;?q~O5+bp1vZ4j*iyArsNB@U4XT`blMoLFr z=>NNIGPb-Du|es3cRy{Ffsg?1g74r~TaCp(C5?X*wkyTZ`fdFD#08bHU)PJ@6E7=% zFWSE~22hQMbooxKpB}o`9$6B-m1IgmXNaM+FeA=`l5nPFW!8KMfNFHKm9k)3MU$Za8vz-H4q zf79eP=-`~WU?s>-i~*$elKPL|uTb4<4)c$%=X;~BUwu8zJw4-N7hdR_;}d+j^hp2I z#O2b(B8#4ZOMznt%yZf2th~Q`qrA8z*=3MD$1$K{;C6a6A(Xj&QM`e)LxYRbhQ5dz zwCSB+=-0CS3ST~$??28BT_x`JjR3&$=Q)bWMUPuS8IA_HX73tY85$Z(wQI2IYuClQ zDy?9kpD#)RG04_N?<8XYJ&-@zT4%hk-)GmhYmJ$Q415t#b4@S`}3Ev)O zbiWU}NM2}_J@*=|iPP-9%6)(eGGEG%=Svs!`O<~ii3>A1ds950o6&`+L+k=kK6->( z<)!Bw1yUHB9ej{Fx#9NI*-QGn97GguR#C;!wx%EEYLk*vHoaUTAtSYgiA$65BeTjl}4`BSC;ao^0;4 zr^x$6bwP)i!>c0fu>Vhk2D-@$GX*tgEie=edJS zfIN6mT_YpJ&+OHwDV*EBfMW}2h}G)WSxD`0C%UZD;+!1I7AMDwSw2mLhEg$?5|M?EbvQOxxH5Jzm(QnXaubE=iQ?saI-SeTsu${;n4-gQI(W&g@))FOMbv*|ZF8wA z0waOekJ_zNH$=9$DWrMq;VT1(^cxZzINga*O~CZ#C(_52HwS!kv9fku*+c?>R%qSE zR+jyHW4^qE9+K5QRxuzlSlWbr=Tk>~w5{$ESJ~JAt6GW{bSp?j7NIy2qJlIf=~J|M z!slDh@)92(lLmJ4u_XG zIBikYk{P!nx&4lyYA-rT==wN%Pxf3XbE%|i4V`@ClD!huIehF@KEq;XQ%O+N2BLno zg$49o)Iv;Y5$T1B2o)G0)e6j*X5pJC_1X%$zNRL_L3+i}B<J2+? zTvWyF1fjMS$pvqG!BLIOq?f`kl}WOpW*GsqwJIf-lM`gGyjpFjty&3?yZ53|z9GTi z0xY~8jVAPUKYO&iM~;$A zaPCUcvf>)o#UuWOPu8@2fO9?6A$IlH@k9Ea$)Q-pKGY$fW^nkb*bawyb<0CBzA)eq z4WtGK1J#!Ggag%Tmuo~+c#7la809}V`UmgolIwSV3*WyIYiCEBmyNV|)|pwRedKq1 zS#c?%18r|g-@=~4d_}oYf9sItZnKUH(n7Gw(P}6O3^OH%o%x`YMaeZ5v$-*@Hg9=x zt^CByMaQsl?hoGaGau{dh!4Z?kvBd$8t9X-tCDYCtB(f9j`58-5HRodw5#6ocP}{EXElfTnv(ndpdU2&zsciA# zf|f}58iSepjO*a3hx(1xg z^>E^O)cX9oL2E?uE_yuw^P+nVPKS0WwCAvQns1tH>nm_Ec33@YP6e7MSxSV@h0uNt zTQrOBQXX7y2!hWBWzP6rwdWS`W-M@zMME2zrZNTnB09qTmi&Wr1ixCDkt%mdQ?Ax7k|patdM3T)JJG`$N9X39SsTK5e%XZdv|#D%2=rsj+hEN zh*3J?X}9vSK5dnq%1ykj9;N5g4i|)N3HR(fq$?ooBmI1zkL~gx+61d{++1AwMWT}6t9X=TzWiEadqWZzt&x0qc=DgwFHEl3)DtL%PGu* zczB<0wV@&=N_Vs@M1vlchv;|HE2JFS=f(ZDoP4^!%rwf ztEXT!cy54g*}$Z31{{G|z#cNUZ<--a>fE>+`ka){$)-)%;ztfO2 z-E13{9DTH7SuD|rby-eygte`bw+~0Y=EIK)gzbdo>H8dX&IHfwt^)Wv2lIi z5q4?3#y5V0(O~Kd+JxC+CWmD+?fSdZDN_4V`&2(w+Yp_?@Ayt%Sct{&Q~DaiHJiI>`5VFcG4TGmWpa$*w&&+l_kR8lr-FB+MKk)Bl){6b=4Ial zeJ}J!r2~~ZU)1G_Nfv=`p!f{;tT9l+DfI*_eNcq{QZ*-9pMKT(VX^@C%fu3 zy<_7=iFfQ}Q^!d{Yi^$GRPjPKGaTNF2XoIpVxPW^+?a>KVf=o=4Df`1FUrNAuJ(cF z3qLor^(!+dwj9mPoXh4l5c4Pxmj(wp_#qjS6mOeSnILJg zZ?TV0EyKbgwDciYYfF{B`VRhW@YkzLH>&V4Wsj{b)_tM1D9%>s{poE9Yl}BuaW8gLigZM02lZ&bsKZNBj7!Az9G#S=lck8riNL6LAaYSj$bLz$-HEDF~9tW>$-(#$t$yh={qk*av^g?MwX-;1U*sesh3}+0OrU&W0Su+Z zwWTVvi+z?MO^(qNr+QcBZDSicD_kY2VsnF!Z`3ED=(p08s0Q zqT*AN2(DJD!RT!@15PuN9f>5WzZQiG(b;>)5H79q1$dt_AGhR&M1*Vbq<2Ha!RWT3 z)bT=fsZoWay}imsoEV(WFEDp?s831&VO(-IN!eIkcvo=ZdQDiW<)s>{2QV~!LK=tI zhFZK~Znv;B^Q6nF+sF-2hFL{r7#y}{Jk^vf-OU!PO@UDg@?42?rtk(E!Jpy_9WT9T zQsrxPwPro|oEbE!u{Ix?ZK^!KSZ7teuvFau=H($^B0i(+Q3F$9(4LB0gql@FXx%3Wo+sE7R_C8kh)$ z#3HAeh!2=w0;Y|^!85?L`#|$zBo?1mf#z()XLN|K38kp8NZyB_Ios|7&76^1LcPkB z^ANo-m2dZf!dg3K=$VQ5kUI3xx+7_wgr1ppALvp_Al&y*(Cb&9(cG z7MpeSBv11x)qA@S`Pz)c8XY=CzAi_6%+M*dv9=mKMe1X1KBvLF-d2OB!8{l7`Lu1k zsgiWn`U=Qv`Bp~sC2Z7wIAcn4p;^96jKsVBZ71Mym@5xz-46n6$1L#B=l)1jo)t5x zmh%B%eKS{=7P%-V0^am`P&7w>Gl{+(^3V>jO5&y0bCwJ%sb^E8te&e!{9x)Btx^PN$veHtzSMuZ!_*}PysL5znq ze&NB8LwJs$vBtONZkyBsw+S^_f`ygHiv)SCSAv?e9B~>Y~oSa2WJmT2y+VOk9_ z+Bo8Itb^IeZbh-SGL4&ovLL$1_$J7vx^-3mEvPVv|D3LRQmRm3Nb zoH`@-ymg;^QSPhOJ#l=yZ@C}}nzr*Kng!o$E zE=dPIbXxvww=Y(Lz%1Rg{8kG6Db3rq8Kt5OWQ%`nRyFcpbNf>_P5(V=*~U^Y)1F$Xw?%J{*y6&MnKo~e ztRq1rp0@8T5)mbVw-x@PUR^NVc$uP#q^UM(Nfu!)O%XoNCoOEAVP=j z7Uq?xCw;!X+ahtvS|n#eBt%i7w!GyaW)r274pd4GyG0e2Ba$$AM-A+Vavib2#)V!!Q^s=MRmV z>TE;ZXhW*XZ#Gv)n`=}N;;B!SQ$VZUnjr=Gmem%DWYe_-g1Iu-_qAm;rVp52B$k?_ zVnvgW;%^TLtG`K@Po0s0e6VtbonhHAZ`Wf?Ong^CO!$lNs;f)u4Ma}s4Vul1@Jnpz z$|Vj}-@`>(zyWRfz@>3<4oV~qy^p>KkH!?T#F{KHFTn~rpj*fy=%Z4d-Cs;FrBcL=gyJRueoag#acKA1<4o(y3_BFfqCc8|^i)#d( z0#N)g*9SDgPXX@yR2jkOX^6JFepDR!JPpxHwOibY0A*rVudZ_oC78vivZ}0c+nCMG zX{fgE>#HDvZu{bLep;-sVEGa?6?7W%?d#Rr8VhGpRiQ$vq#!nW9yw7NCka@R%qd}$ zY6G57V4V)JIBK_}NE?Atq>EwMpHepXAPI9c0szG%m>ZL9Nxm!sR=G%FBZPig1yftO z20LU+%@rYvj?~WjQn}9J57}o*>d`bvzQ+V-GpNPi0(u{dWNB~!!Yoq|enV7VWPnD? zt~PG+MS9drV_knL!NCFY#hDHkmr+m3ifqu`LLg}MF})Ym`gq<8ifLw&uYp(#Och1T z15#=6tWR7x#3E}^%R~032#^|j)RbAREM0|NU2>H)2#?bAa)m#rwWWum)`{jTwsLhT zY!-uBTzVi^{ey!LTV7T%xYV__tm#HU_C@%RC-tzTB+ZfRBVDA4T8EPvKDfdB@#sd`^# zT_IEHB2eeVc7W)l7<7)^DcIlFYC35(c591cAa14TJ0~}xp#Wv$>1vA)veXPQ*rlg> zv{swY7SqMuF~h}y9Oa<1T{ZE6Q`&J20ux-X&rMioa7-8NS*^D4o*H+Yl9+WC7dCX)pd)l-V0G>GU3Ouue6$h?h&X{+T&OHAYcXvb zaVyMCvdn)@N5?BD*d=1Uyx^9Wa*PbzzT5PDWnggu&J5{q??7_k_-#}o?Ba2)y6WLz z@>WOe%2lqjg9_i+u>bno+_eAtt61NP^;oj*CF^c1F|7)KoLWmuU^(nERt~$imBX%J z<*=)2IqXim98UIeWH5PTD0$>$^2n)A-lnX|l^bQa2LUVz?JGwtf!5vE^zDoIj`Z0q z9~pYZF2a{Kl1;F?ceN1jwb+l}4#Cb2IOglb?EzOfx~b7_{mXI0uFb8>uJmEOR$A$f zgz4gW_wK@?En7iE*Z+|mC6Ao`2uwg=Q#4hq}HqXk_7Ue58 z@79^5oNOT}&=p-1EZG+gv}K)xT1%+~HBOG7cXys^H+O%($RyQne+D2kMTCSvplAPKm@AT+H zdY1WG8k^Q(H~rMo)lc89uP;?^9~o@TRg~aeH-(~OxQ4c6Jy;4p&D?r7_|@CNXKn|_ z?tUgX3JfLW@o9Q8x9@Tc^S0u~Fr#86qzMbh5R5v_hvu%dRu|E5!5D3z`$dP?<29?d z9o4%NUOm#s_@lGG{d=dF>%Wfj&Ti~e*iT~rcpQU!6{9mY>m$GYd(uObkgCBpa=_6G zr!^%^?i&@-x#9li**UA#(MtRaBTQJJA=vTSv z{*i%!l>OmsKK@>kNAc;#9K;Bp9^o(;r6JvWrTG{}Fyhpn!YHj?%=t_qe_`yBF+=?b zD$L8L22P^wG>F3YOB9ZK(!c;3sGi)dzI;A~nWLZMn)279G)H@n92Y))T*aK~KlyTq z??tw(`;kES=M`HAPw|1-0;g`M1UYiqaeFkM!+>wa3^!jqm9YK~LKaqXczSTY&Ypq2 zp?7)F-MS7ZSgmtAm3b}C^4Nh{Y9IdnNt8e?KYdgeMP;UK)5+6U+!qj!RRS(?nFy~# z3GYUnt}fTOO*Fj2l8Z(B)r`IL!mFEW)yDDReft9LSFxY-${}T0^DT^cJY`u&oBuXfCm8wPUE_Sg_{)%Y1?hC@B%OBbjY3Rb<2=BnLa++mZ z0{swlY;d6A(m8g<6Mt+z^f_&Pj=fxgaC?r{9#9FUsyCU()`AoBZh!X#V*n{=9iZcT zR;xtHE0h$8kMb#^FoMxwvBA2_?skS>9c^$Y;_9XqgK;|eIO-!WpX56aY~U9bC0(jC z?0FZ|j!&G}H-#AS7CJNZG~wvq7hFSPWmB{&Ml`Q2L5^+Z(IGRkVY(Ck#f8 zEpaP1dwqr*W0&2VJ<%%XJ}W@u!`Qma!cny^C{QW7pZAzP6F?(W#r1ynSmSvbjXBoP zzZL0@9OEFiPP&a8s~q1Kj8#<7N~DPlV%)p-2Q2}u(YHRofye2so*(f2@BZDnxd)kviN_v$kO_i`2_zGUCbqZl-5VV> z=HS6Sd*bnqj#zB#yD_7q_wL1F>}bdL>^XRF>-)s}JT9B=UO}DC-TMC6W8e73ojb$B zk3IIgzx(^Y|5yIX*7t)vy}iHx`!Sy1{LMRew!VMBVtfepumt{8OW=>gZ|n&U#P&Y1sj+fJ||0e#Y{>@bIlP&&V#QzNb`$t=}DBZX5|J=Wx3XX2^ z{}TS6$Ny}^-_u^Qel8#Kiy<^Vb!AUm9)Ca{??mD%t#`or?VnP15r4%O>{mjZa(kRp zTX6n}u`5kcnAmXq1g8f;DQ*Mtl2hNAj!xDH{0uM{zKlKvOypyzJWDp$1 z))@D0rZaf&ly>S4DENT3R!TwjFonUg-dkXs)_6)Wk--YY_^8P$=)`%0e|7Q3u`{DDS zPYLYAGtSZm&P;WRmyA!HQ>%L~Hp~AgZZ!e5aoK|Vd#OVH{?ZSRU*pD$k3E@1=;=i_|xr_9N z!t_1Og01{YXRw5c(%b_bpTloEn%~C%9gOdD z%Wa`eu)%ri8WW|>fY0E!9c`!ZKa26S4MJN1yeaIzfUWWAzr)^2^DH<;vv7;%OW51t z|01}*v_slo!~YvLZP%WnYnyZ2&w8V*3t>3v!=@sq{mM%^e`9uf^r@z0?t!S6&7wY4 z%2xr=y+ILno()I7jLrcEx7FN4kS4}_dHL$%HZht&ZH`G|=o*3dC8imRf^9xS^uhOR ze&36I2j9Pk8@Ar|C1)79=+*LE){fGGJUC-BWe>H^N&h4Q5{{f-rc~9N8 z`S`x?>%JM#bUZko+L%D~_ql0LSu^rO$HNkMSOO1A;9&_oEP;n5@UR3PSOQvqtj;;! z=ZEk;Kf;K=_^Gv#of4)s#J>%Bq90@b1ix=#_Tv9@-o@m|fByw|eC%%%Cf-DTT35&x zUhj^EOCMOzyTo}LUgjOlcX96n_g*%G#gBi7_v_%6e-ut>#e+TZgZs|`Wn$6=P7tq$ zcn7eb$9@X?boidfR`~1KB{)i0R`;>MCfE2N#}qL*0bhf+U0z;%5Tp;AAqMBzKg1(G zmxD4NB8Iqccy5koUNhG{d6BQjgesR2jDHeTiNh!7T-3!`ZTr2I2m#wVsXFd@JrMs6 z^(=n5!v9~#ZRIYwWh*>+(S`qY-v2G(dQ)4It?=KAgujV98m@MI>5{_>Nd%ArY3Gc(_VF{=NG$&qv-Hj99yMnBRcJmy5 z|Cm1H#kW5o{9BlBW4?oV7xP`rA7Z|T`98+4?>|A@AAiWWYM0*thwS$(E*x8)mi*kr zB$d_^_4}?m4v+F9+tdE_Pq)SZ`ET%k2XhbeIn3uVn)9FLAWkU25Loa-{Cjjbr}_9j zfTqWe4vn(|ze>Nxd=U2$(*H7P$^Lz8W#G-w{vviS{{L6RR`}bIaMAq^?>(e<6!RtA zN>_eg!04Xo-+J*M=!)uJ%5wsGK9}I+Kxm7e>%2eC``^QU1p8aqqQO6s`TfuM^<)2R z8(863+QENy2mkHhrH60==1BMyPqM{N@-ANJQpARxYJ0v%xqTn=9!Ad(v44d5G3FQp&%iaj>JE46KTm9skn7Hs!{d^3+H}O;d`)@+~53mLMudokd zA41zo;qrg@JS>5SCGeka3H&cFf8>9V`P0mSrp>iV`y3gi$A@1BW(l*p1@@ziMVA